Use Elif for third m.next assignment.
[c4m-jtag.git] / test / ghdl /
2019-12-06 Staf VerhaegenFix code after move
2019-12-06 Staf Verhaegen[broken]Move code