Use Elif for third m.next assignment.
[c4m-jtag.git] / test / rtl /
2019-12-06 Staf Verhaegen[broken]Move code