From 9d1d3720d89f602851bec554efb2b5881e88a5db Mon Sep 17 00:00:00 2001 From: Staf Verhaegen Date: Fri, 6 Dec 2019 17:09:26 +0100 Subject: [PATCH 1/1] Handle stall signal. --- rtl/nmigen/jtag.py | 6 ++++-- 1 file changed, 4 insertions(+), 2 deletions(-) diff --git a/rtl/nmigen/jtag.py b/rtl/nmigen/jtag.py index 6a1c7ca..a9e04b5 100755 --- a/rtl/nmigen/jtag.py +++ b/rtl/nmigen/jtag.py @@ -199,7 +199,8 @@ class JTAGWishbone(Elaboratable): wb.stb.eq(1), wb.we.eq(0), ] - m.next = "READACK" + with m.If(~wb.stall): + m.next = "READACK" with m.State("READACK"): m.d.comb += [ wb.cyc.eq(1), @@ -215,7 +216,8 @@ class JTAGWishbone(Elaboratable): wb.stb.eq(1), wb.we.eq(1), ] - m.next = "WRITEREADACK" + with m.If(~wb.stall): + m.next = "WRITEREADACK" with m.State("WRITEREADACK"): m.d.comb += [ wb.cyc.eq(1), -- 2.30.2