From f67c338f9e8a4dfcde0e012a79de497b68ced8da Mon Sep 17 00:00:00 2001 From: Staf Verhaegen Date: Tue, 29 Oct 2019 13:02:21 +0100 Subject: [PATCH] Only add assert statement if DEBUG generic is true. Default value is false. --- rtl/vhdl/c4m_jtag_pkg.vhdl | 2 ++ rtl/vhdl/c4m_jtag_tap_controller.vhdl | 15 ++++++++++----- 2 files changed, 12 insertions(+), 5 deletions(-) diff --git a/rtl/vhdl/c4m_jtag_pkg.vhdl b/rtl/vhdl/c4m_jtag_pkg.vhdl index d25f2ae..0fc0749 100644 --- a/rtl/vhdl/c4m_jtag_pkg.vhdl +++ b/rtl/vhdl/c4m_jtag_pkg.vhdl @@ -148,6 +148,8 @@ package c4m_jtag is component c4m_jtag_tap_controller is generic ( + DEBUG: boolean := false; + IR_WIDTH: integer := 2; IOS: integer := 1; diff --git a/rtl/vhdl/c4m_jtag_tap_controller.vhdl b/rtl/vhdl/c4m_jtag_tap_controller.vhdl index 35c7f52..217982e 100644 --- a/rtl/vhdl/c4m_jtag_tap_controller.vhdl +++ b/rtl/vhdl/c4m_jtag_tap_controller.vhdl @@ -8,6 +8,8 @@ use work.c4m_jtag.ALL; entity c4m_jtag_tap_controller is generic ( + DEBUG: boolean := false; + IR_WIDTH: integer := 2; IOS: integer := 1; @@ -57,7 +59,6 @@ architecture rtl of c4m_jtag_tap_controller is signal ID_TDO_EN: std_logic; signal IO_TDO: std_logic; signal IO_TDO_EN: std_logic; - signal EN: std_logic_vector(2 downto 0) := "000"; begin IR <= S_IR; @@ -140,10 +141,14 @@ begin IO_TDO when IO_TDO_EN = '1' else '0'; - EN <= IR_TDO_EN & ID_TDO_EN & IO_TDO_EN; - assert EN = "000" or EN = "100" or EN = "010" or EN = "001" - report "TDO conflict in c4m_jtag_tap_controller" - severity ERROR; + CHECK_EN: if DEBUG generate + signal EN: std_logic_vector(2 downto 0) := "000"; + begin + EN <= IR_TDO_EN & ID_TDO_EN & IO_TDO_EN; + assert EN = "000" or EN = "100" or EN = "010" or EN = "001" + report "TDO conflict in c4m_jtag_tap_controller" + severity ERROR; + end generate CHECK_EN; end rtl; -- 2.30.2