Use Elif for third m.next assignment.