fix dependency problems caused by pypi
[c4m-jtag.git] / test /
drwxr-xr-x   ..
drwxr-xr-x - nmigen
drwxr-xr-x - vhdl