dev-env-setup.git
2024-04-04 Cesar StraussFix correct commit hash for release 0.7.0 of openXC7 master
2024-04-03 Cesar StraussSwitch nextpnr-xilinx to the openXC7 fork
2024-03-23 Cesar Straussfix typo
2024-03-23 Cesar StraussInstall powerpc gcc without a trailing "8"
2023-10-29 Luke Kenneth Casso... add texlive-xetex package, needed for supporting "pi...
2023-10-29 Luke Kenneth Casso... Revert "use https to clone"
2023-10-25 Jacob Lifshayuse https to clone
2023-10-25 Jacob Lifshayadd pytest-subtests==0.11.0
2023-10-24 Dmitry Selyutinhdl-dev-repos: pin Linux kernel tag
2023-10-02 Luke Kenneth Casso... add ssh and rsync to install-hdl-reqs
2023-09-21 Dmitry Selyutinhdl-dev-repos: specify syscalls generation mode
2023-09-18 Dmitry Selyutinhdl-dev-repos: build syscalls table
2023-09-02 Cesar StraussFix python-utils version
2023-09-02 Cesar Strausssymbiflow-arch-defs needs newer cmake
2023-08-22 Cesar StraussAllow choosing an alternate Debian mirror for the chroot
2023-07-10 Cesar Straussnextpnr-xilinx-install: generate database for XC7A200T
2023-07-07 Andrey Miroshnikovice40k-toolchain: New script for installing icestorm...
2023-06-22 Dmitry Selyutinhdl-dev-repos: move mdis dependency atop
2023-06-21 Dmitry Selyutinhdl-dev-repos: introduce mdis dependency
2023-06-13 Jacob Lifshayswitch to pytest-xdist==3.3.1 pytest==7.3.1
2023-06-07 Andrey Miroshnikovnextpnr-xilinx-install: Missed -y flag for apt install
2023-06-01 Luke Kenneth Casso... add pytest to install dependencies
2023-05-21 Andrey Miroshnikovhdl-dev-repos: Fixed cd path for pyvcd
2023-05-19 Andrey Miroshnikovhdl-dev-repos: Changed pyvcd to 0.2.4
2023-05-19 Andrey Miroshnikovhdl-dev-repos: Added pyvcd dependency (for normal and...
2023-04-13 Jacob Lifshayremove trailing spaces
2023-03-12 Konstantinos Marga... add missing libpython3.7-dev dependency
2023-01-17 Luke Kenneth Casso... sigh forgot the "y" on install qemu-system-ppc
2023-01-13 Andrey Miroshnikovnextpnr-ecp5-install: Pinning prjterllis version to...
2022-10-13 Andrey Miroshnikovfix(tasyagle-install): avt_env script moved to comply...
2022-10-12 Andrey Miroshnikovfeat(tasyagle-install): Added flex removal, running...
2022-09-17 Luke Kenneth Casso... add libgtest-dev to install-hdl-apt-reqs
2022-08-15 Luke Kenneth Casso... use specific version of alliance-check-toolkit
2022-08-15 Luke Kenneth Casso... whoops add wget to coriolis-install
2022-08-15 Luke Kenneth Casso... set buster-backports pin-priority to low so as to stop...
2022-08-15 Luke Kenneth Casso... check if schroot and debootstrap installed already
2022-07-26 Andrey Miroshnikovtasyagle-install: workaround to reload .bashrc works...
2022-07-25 Andrey Miroshnikovtasyagle-install: Added check with .bashrc, commented...
2022-07-25 Andrey Miroshnikovtasyagle-install: Change file ownership to sudo_user
2022-07-25 Andrey Miroshnikovtasyagle-install: Add jdk package, make docs
2022-07-22 Jacob Lifshayupdate to released version of cvc5 that includes powerp...
2022-07-21 Andrey Miroshnikovtasyagle-install: was overwriting .bashrc...
2022-07-21 Andrey Miroshnikovtasyagle-install: Added login source for avt_env.sh
2022-07-18 Andrey Miroshnikovtasyagle-install: Install to /usr/local
2022-07-17 Luke Kenneth Casso... meson dependency moved to linstaoo-mesa-deps
2022-07-17 Luke Kenneth Casso... installing mesa deps needs meson drm mesa first
2022-07-17 Luke Kenneth Casso... cannot install sysvinit-core
2022-07-17 Luke Kenneth Casso... qemu backports explicit install separate from other...
2022-07-17 Luke Kenneth Casso... add mesa build dependencies
2022-07-17 Luke Kenneth Casso... install meson as build dependency
2022-07-15 Andrey Miroshnikovtasyagle-install: added origin and libresoc repos
2022-07-15 Luke Kenneth Casso... needs -y on apt-get
2022-07-15 Luke Kenneth Casso... add texlive latex extra
2022-07-15 Luke Kenneth Casso... add inkscape build depenndency
2022-07-15 Luke Kenneth Casso... add new build dependencies
2022-07-14 Luke Kenneth Casso... fix package-pin on libcurl3-gnutls
2022-07-14 Luke Kenneth Casso... adapt devscripts to only do buster-backports once
2022-07-13 Andrey MiroshnikovAdded full deps from debian file
2022-07-13 Andrey Miroshnikovtasyagle: Added a few more package deps, not working
2022-07-12 Luke Kenneth Casso... do not run dependent scripts
2022-07-12 Andrey Miroshnikovtasyagle script more functional
2022-07-12 Andrey MiroshnikovCreated tasyagle script, not functional yet
2022-07-12 R Veera KumarAdd initial support for cvc5 and bitwuzla
2022-07-11 Luke Kenneth Casso... pin libcurl3-gnutls
2022-07-11 Luke Kenneth Casso... pin libcurl3-gnutls
2022-07-07 Luke Kenneth Casso... add migen to hdl-kestrel-repos
2022-07-07 Luke Kenneth Casso... whitespace, keep to 80 char limit
2022-07-07 Raptor Engineering... Add build scripts for Kesrel Zephyr firmware image
2022-07-06 Luke Kenneth Casso... adding path-exports for nextpnr-ecp5, ecppack, ecpbram...
2022-07-06 Luke Kenneth Casso... add mention of dependent scripts needed for kestrel...
2022-07-06 Luke Kenneth Casso... add missing gcc-powerpc64-linux-gnu package
2022-07-06 Luke Kenneth Casso... whitespace cleanup
2022-07-06 Luke Kenneth Casso... env-var $KESTREL, keep (as much as possible) to 80...
2022-07-06 Luke Kenneth Casso... add bitwuzla/cvc5 to hdl-tools-yosys
2022-07-06 Raptor Engineering... Add Kestrel install and build scripts
2022-06-16 Luke Kenneth Casso... update yosys tag
2022-06-16 Luke Kenneth Casso... clone from libre-soc.org for SymbiYosys
2022-06-15 R Veera KumarAdd support for libisl in binutils
2022-06-14 Luke Kenneth Casso... set src directory to $SUDO_USER
2022-06-14 Luke Kenneth Casso... update to 0.13-with-write_jny tag in yosys
2022-06-14 Luke Kenneth Casso... add python3-setuptools-scm to list of dependencies...
2022-06-13 R Veera KumarAdd ldconfig command to installation, fixes library...
2022-06-11 Luke Kenneth Casso... remove prefix, install in /usr/local as default
2022-06-11 R Veera KumarRemoved HOST variable and --host option to configure
2022-06-11 R Veera KumarRemove one configure option so to make warning as error
2022-06-10 R Veera KumarAdd initial binutils-gdb install script
2022-04-25 Luke Kenneth Casso... add reproducible script
2022-04-25 Luke Kenneth Casso... charter, yken
2022-04-25 Luke Kenneth Casso... add README
2022-04-15 Luke Kenneth Casso... missing quote
2022-04-15 Luke Kenneth Casso... comments on fasm build dependencies
2022-04-15 Luke Kenneth Casso... add libantlr etc. to nextpnr-xilinx-install,
2022-04-15 Luke Kenneth Casso... comments on why cp-scripts-to-chroot is needed
2022-04-15 Luke Kenneth Casso... add manual install/download of fasm-0.0.2.post88
2022-04-14 Luke Kenneth Casso... check out verilog-wishbone at specific tag
2022-04-14 Luke Kenneth Casso... add verilog-wishbone (for async bridge) to hdl-dev-ls2
2022-04-08 R Veera KumarAdd fpga-boot-load-prog-install script
2022-04-06 R Veera KumarRemove and add yosys and nextpnr-xilinx pkg dependencies
2022-04-04 Luke Kenneth Casso... also add powerpc64le gcc compiler
2022-04-04 Luke Kenneth Casso... add tercel, uart and ethmac to ls2 repos
next