Initial commit.
[freedom-sifive.git] / fpga / u500vc707devkit / script /
drwxr-xr-x   ..
-rw-r--r-- 155 board.tcl
-rw-r--r-- 286 cfgmem.tcl
-rw-r--r-- 1895 impl.tcl
-rw-r--r-- 1420 init.tcl
-rw-r--r-- 4439 ip.tcl
-rw-r--r-- 18148 mig.prj
-rw-r--r-- 2305 prologue.tcl