arch-power: Fix precedence of register operands
authorSandipan Das <sandipan@linux.ibm.com>
Sat, 6 Feb 2021 11:46:54 +0000 (17:16 +0530)
committerSandipan Das <sandipan@linux.ibm.com>
Mon, 15 Feb 2021 08:32:38 +0000 (14:02 +0530)
commit911e065e74368b45ab337ba8b24392290f4cee11
tree7fbf241b25131a10d026504ba0881ef31c715a5c
parentaa9b487bbb2db9f19d93771bbe700c7cd9bf2058
arch-power: Fix precedence of register operands

When RS and RA are both used as operands by an instruction,
RS takes precedence over RA. In such cases, either both the
register operands are used as sources or RS is a source and
RA is a destination.

This changes the order by giving RS the highest precedence
and will be useful for proper disassembly generation.

Change-Id: If351a03a814653f2f371afa936ec7a5cd4377b3a
Signed-off-by: Sandipan Das <sandipan@linux.ibm.com>
src/arch/power/isa/operands.isa