amd/registers: add RLC_PERFMON_CLK_CNTL for pre-GFX10
[mesa.git] / src / amd / registers / gfx10.json
1 {
2 "enums": {
3 "ReadPolicy": {
4 "entries": [
5 {"name": "CACHE_LRU_RD", "value": 0},
6 {"name": "CACHE_STREAM_RD", "value": 1},
7 {"name": "CACHE_NOA_RD", "value": 2},
8 {"name": "RESERVED_RDPOLICY", "value": 3}
9 ]
10 },
11 "WritePolicy": {
12 "entries": [
13 {"name": "CACHE_LRU_WR", "value": 0},
14 {"name": "CACHE_STREAM_WR", "value": 1},
15 {"name": "CACHE_NOA_WR", "value": 2},
16 {"name": "CACHE_BYPASS_WR", "value": 3}
17 ]
18 },
19 "BinMapMode": {
20 "entries": [
21 {"name": "BIN_MAP_MODE_NONE", "value": 0},
22 {"name": "BIN_MAP_MODE_RTA_INDEX", "value": 1},
23 {"name": "BIN_MAP_MODE_POPS", "value": 2}
24 ]
25 },
26 "BinSizeExtend": {
27 "entries": [
28 {"name": "BIN_SIZE_32_PIXELS", "value": 0},
29 {"name": "BIN_SIZE_64_PIXELS", "value": 1},
30 {"name": "BIN_SIZE_128_PIXELS", "value": 2},
31 {"name": "BIN_SIZE_256_PIXELS", "value": 3},
32 {"name": "BIN_SIZE_512_PIXELS", "value": 4}
33 ]
34 },
35 "BinningMode": {
36 "entries": [
37 {"name": "BINNING_ALLOWED", "value": 0},
38 {"name": "FORCE_BINNING_ON", "value": 1},
39 {"name": "DISABLE_BINNING_USE_NEW_SC", "value": 2},
40 {"name": "DISABLE_BINNING_USE_LEGACY_SC", "value": 3}
41 ]
42 },
43 "BlendOp": {
44 "entries": [
45 {"name": "BLEND_ZERO", "value": 0},
46 {"name": "BLEND_ONE", "value": 1},
47 {"name": "BLEND_SRC_COLOR", "value": 2},
48 {"name": "BLEND_ONE_MINUS_SRC_COLOR", "value": 3},
49 {"name": "BLEND_SRC_ALPHA", "value": 4},
50 {"name": "BLEND_ONE_MINUS_SRC_ALPHA", "value": 5},
51 {"name": "BLEND_DST_ALPHA", "value": 6},
52 {"name": "BLEND_ONE_MINUS_DST_ALPHA", "value": 7},
53 {"name": "BLEND_DST_COLOR", "value": 8},
54 {"name": "BLEND_ONE_MINUS_DST_COLOR", "value": 9},
55 {"name": "BLEND_SRC_ALPHA_SATURATE", "value": 10},
56 {"name": "BLEND_BOTH_SRC_ALPHA", "value": 11},
57 {"name": "BLEND_BOTH_INV_SRC_ALPHA", "value": 12},
58 {"name": "BLEND_CONSTANT_COLOR", "value": 13},
59 {"name": "BLEND_ONE_MINUS_CONSTANT_COLOR", "value": 14},
60 {"name": "BLEND_SRC1_COLOR", "value": 15},
61 {"name": "BLEND_INV_SRC1_COLOR", "value": 16},
62 {"name": "BLEND_SRC1_ALPHA", "value": 17},
63 {"name": "BLEND_INV_SRC1_ALPHA", "value": 18},
64 {"name": "BLEND_CONSTANT_ALPHA", "value": 19},
65 {"name": "BLEND_ONE_MINUS_CONSTANT_ALPHA", "value": 20}
66 ]
67 },
68 "BlendOpt": {
69 "entries": [
70 {"name": "FORCE_OPT_AUTO", "value": 0},
71 {"name": "FORCE_OPT_DISABLE", "value": 1},
72 {"name": "FORCE_OPT_ENABLE_IF_SRC_A_0", "value": 2},
73 {"name": "FORCE_OPT_ENABLE_IF_SRC_RGB_0", "value": 3},
74 {"name": "FORCE_OPT_ENABLE_IF_SRC_ARGB_0", "value": 4},
75 {"name": "FORCE_OPT_ENABLE_IF_SRC_A_1", "value": 5},
76 {"name": "FORCE_OPT_ENABLE_IF_SRC_RGB_1", "value": 6},
77 {"name": "FORCE_OPT_ENABLE_IF_SRC_ARGB_1", "value": 7}
78 ]
79 },
80 "CBMode": {
81 "entries": [
82 {"name": "CB_DISABLE", "value": 0},
83 {"name": "CB_NORMAL", "value": 1},
84 {"name": "CB_ELIMINATE_FAST_CLEAR", "value": 2},
85 {"name": "CB_RESOLVE", "value": 3},
86 {"name": "CB_DECOMPRESS", "value": 4},
87 {"name": "CB_FMASK_DECOMPRESS", "value": 5},
88 {"name": "CB_DCC_DECOMPRESS", "value": 6},
89 {"name": "CB_RESERVED", "value": 7}
90 ]
91 },
92 "CB_COLOR0_INFO__FORMAT": {
93 "entries": [
94 {"name": "COLOR_INVALID", "value": 0},
95 {"name": "COLOR_8", "value": 1},
96 {"name": "COLOR_16", "value": 2},
97 {"name": "COLOR_8_8", "value": 3},
98 {"name": "COLOR_32", "value": 4},
99 {"name": "COLOR_16_16", "value": 5},
100 {"name": "COLOR_10_11_11", "value": 6},
101 {"name": "COLOR_11_11_10", "value": 7},
102 {"name": "COLOR_10_10_10_2", "value": 8},
103 {"name": "COLOR_2_10_10_10", "value": 9},
104 {"name": "COLOR_8_8_8_8", "value": 10},
105 {"name": "COLOR_32_32", "value": 11},
106 {"name": "COLOR_16_16_16_16", "value": 12},
107 {"name": "COLOR_32_32_32_32", "value": 14},
108 {"name": "COLOR_5_6_5", "value": 16},
109 {"name": "COLOR_1_5_5_5", "value": 17},
110 {"name": "COLOR_5_5_5_1", "value": 18},
111 {"name": "COLOR_4_4_4_4", "value": 19},
112 {"name": "COLOR_8_24", "value": 20},
113 {"name": "COLOR_24_8", "value": 21},
114 {"name": "COLOR_X24_8_32_FLOAT", "value": 22},
115 {"name": "COLOR_5_9_9_9", "value": 24}
116 ]
117 },
118 "CBPerfClearFilterSel": {
119 "entries": [
120 {"name": "CB_PERF_CLEAR_FILTER_SEL_NONCLEAR", "value": 0},
121 {"name": "CB_PERF_CLEAR_FILTER_SEL_CLEAR", "value": 1}
122 ]
123 },
124 "CBPerfOpFilterSel": {
125 "entries": [
126 {"name": "CB_PERF_OP_FILTER_SEL_WRITE_ONLY", "value": 0},
127 {"name": "CB_PERF_OP_FILTER_SEL_NEEDS_DESTINATION", "value": 1},
128 {"name": "CB_PERF_OP_FILTER_SEL_RESOLVE", "value": 2},
129 {"name": "CB_PERF_OP_FILTER_SEL_DECOMPRESS", "value": 3},
130 {"name": "CB_PERF_OP_FILTER_SEL_FMASK_DECOMPRESS", "value": 4},
131 {"name": "CB_PERF_OP_FILTER_SEL_ELIMINATE_FAST_CLEAR", "value": 5}
132 ]
133 },
134 "CBPerfSel": {
135 "entries": [
136 {"name": "CB_PERF_SEL_NONE", "value": 0},
137 {"name": "CB_PERF_SEL_BUSY", "value": 1},
138 {"name": "CB_PERF_SEL_CORE_SCLK_VLD", "value": 2},
139 {"name": "CB_PERF_SEL_REG_SCLK0_VLD", "value": 3},
140 {"name": "CB_PERF_SEL_REG_SCLK1_VLD", "value": 4},
141 {"name": "CB_PERF_SEL_DRAWN_QUAD", "value": 5},
142 {"name": "CB_PERF_SEL_DRAWN_PIXEL", "value": 6},
143 {"name": "CB_PERF_SEL_DRAWN_QUAD_FRAGMENT", "value": 7},
144 {"name": "CB_PERF_SEL_DRAWN_TILE", "value": 8},
145 {"name": "CB_PERF_SEL_DB_CB_TILE_VALID_READY", "value": 9},
146 {"name": "CB_PERF_SEL_DB_CB_TILE_VALID_READYB", "value": 10},
147 {"name": "CB_PERF_SEL_DB_CB_TILE_VALIDB_READY", "value": 11},
148 {"name": "CB_PERF_SEL_DB_CB_TILE_VALIDB_READYB", "value": 12},
149 {"name": "CB_PERF_SEL_CM_FC_TILE_VALID_READY", "value": 13},
150 {"name": "CB_PERF_SEL_CM_FC_TILE_VALID_READYB", "value": 14},
151 {"name": "CB_PERF_SEL_CM_FC_TILE_VALIDB_READY", "value": 15},
152 {"name": "CB_PERF_SEL_CM_FC_TILE_VALIDB_READYB", "value": 16},
153 {"name": "CB_PERF_SEL_MERGE_TILE_ONLY_VALID_READY", "value": 17},
154 {"name": "CB_PERF_SEL_MERGE_TILE_ONLY_VALID_READYB", "value": 18},
155 {"name": "CB_PERF_SEL_DB_CB_LQUAD_VALID_READY", "value": 19},
156 {"name": "CB_PERF_SEL_DB_CB_LQUAD_VALID_READYB", "value": 20},
157 {"name": "CB_PERF_SEL_DB_CB_LQUAD_VALIDB_READY", "value": 21},
158 {"name": "CB_PERF_SEL_DB_CB_LQUAD_VALIDB_READYB", "value": 22},
159 {"name": "CB_PERF_SEL_LQUAD_NO_TILE", "value": 23},
160 {"name": "CB_PERF_SEL_LQUAD_FORMAT_IS_EXPORT_32_R", "value": 24},
161 {"name": "CB_PERF_SEL_LQUAD_FORMAT_IS_EXPORT_32_AR", "value": 25},
162 {"name": "CB_PERF_SEL_LQUAD_FORMAT_IS_EXPORT_32_GR", "value": 26},
163 {"name": "CB_PERF_SEL_LQUAD_FORMAT_IS_EXPORT_32_ABGR", "value": 27},
164 {"name": "CB_PERF_SEL_LQUAD_FORMAT_IS_EXPORT_FP16_ABGR", "value": 28},
165 {"name": "CB_PERF_SEL_LQUAD_FORMAT_IS_EXPORT_SIGNED16_ABGR", "value": 29},
166 {"name": "CB_PERF_SEL_LQUAD_FORMAT_IS_EXPORT_UNSIGNED16_ABGR", "value": 30},
167 {"name": "CB_PERF_SEL_QUAD_KILLED_BY_EXTRA_PIXEL_EXPORT", "value": 31},
168 {"name": "CB_PERF_SEL_QUAD_KILLED_BY_COLOR_INVALID", "value": 32},
169 {"name": "CB_PERF_SEL_QUAD_KILLED_BY_NULL_TARGET_SHADER_MASK", "value": 33},
170 {"name": "CB_PERF_SEL_QUAD_KILLED_BY_NULL_SAMPLE_MASK", "value": 34},
171 {"name": "CB_PERF_SEL_QUAD_KILLED_BY_DISCARD_PIXEL", "value": 35},
172 {"name": "CB_PERF_SEL_FC_CLEAR_QUAD_VALID_READY", "value": 36},
173 {"name": "CB_PERF_SEL_FC_CLEAR_QUAD_VALID_READYB", "value": 37},
174 {"name": "CB_PERF_SEL_FC_CLEAR_QUAD_VALIDB_READY", "value": 38},
175 {"name": "CB_PERF_SEL_FC_CLEAR_QUAD_VALIDB_READYB", "value": 39},
176 {"name": "CB_PERF_SEL_FOP_IN_VALID_READY", "value": 40},
177 {"name": "CB_PERF_SEL_FOP_IN_VALID_READYB", "value": 41},
178 {"name": "CB_PERF_SEL_FOP_IN_VALIDB_READY", "value": 42},
179 {"name": "CB_PERF_SEL_FOP_IN_VALIDB_READYB", "value": 43},
180 {"name": "CB_PERF_SEL_FC_CC_QUADFRAG_VALID_READY", "value": 44},
181 {"name": "CB_PERF_SEL_FC_CC_QUADFRAG_VALID_READYB", "value": 45},
182 {"name": "CB_PERF_SEL_FC_CC_QUADFRAG_VALIDB_READY", "value": 46},
183 {"name": "CB_PERF_SEL_FC_CC_QUADFRAG_VALIDB_READYB", "value": 47},
184 {"name": "CB_PERF_SEL_CC_IB_SR_FRAG_VALID_READY", "value": 48},
185 {"name": "CB_PERF_SEL_CC_IB_SR_FRAG_VALID_READYB", "value": 49},
186 {"name": "CB_PERF_SEL_CC_IB_SR_FRAG_VALIDB_READY", "value": 50},
187 {"name": "CB_PERF_SEL_CC_IB_SR_FRAG_VALIDB_READYB", "value": 51},
188 {"name": "CB_PERF_SEL_CC_IB_TB_FRAG_VALID_READY", "value": 52},
189 {"name": "CB_PERF_SEL_CC_IB_TB_FRAG_VALID_READYB", "value": 53},
190 {"name": "CB_PERF_SEL_CC_IB_TB_FRAG_VALIDB_READY", "value": 54},
191 {"name": "CB_PERF_SEL_CC_IB_TB_FRAG_VALIDB_READYB", "value": 55},
192 {"name": "CB_PERF_SEL_CC_RB_BC_EVENFRAG_VALID_READY", "value": 56},
193 {"name": "CB_PERF_SEL_CC_RB_BC_EVENFRAG_VALID_READYB", "value": 57},
194 {"name": "CB_PERF_SEL_CC_RB_BC_EVENFRAG_VALIDB_READY", "value": 58},
195 {"name": "CB_PERF_SEL_CC_RB_BC_EVENFRAG_VALIDB_READYB", "value": 59},
196 {"name": "CB_PERF_SEL_CC_RB_BC_ODDFRAG_VALID_READY", "value": 60},
197 {"name": "CB_PERF_SEL_CC_RB_BC_ODDFRAG_VALID_READYB", "value": 61},
198 {"name": "CB_PERF_SEL_CC_RB_BC_ODDFRAG_VALIDB_READY", "value": 62},
199 {"name": "CB_PERF_SEL_CC_RB_BC_ODDFRAG_VALIDB_READYB", "value": 63},
200 {"name": "CB_PERF_SEL_CC_BC_CS_FRAG_VALID", "value": 64},
201 {"name": "CB_PERF_SEL_CM_CACHE_HIT", "value": 65},
202 {"name": "CB_PERF_SEL_CM_CACHE_TAG_MISS", "value": 66},
203 {"name": "CB_PERF_SEL_CM_CACHE_SECTOR_MISS", "value": 67},
204 {"name": "CB_PERF_SEL_CM_CACHE_REEVICTION_STALL", "value": 68},
205 {"name": "CB_PERF_SEL_CM_CACHE_EVICT_NONZERO_INFLIGHT_STALL", "value": 69},
206 {"name": "CB_PERF_SEL_CM_CACHE_REPLACE_PENDING_EVICT_STALL", "value": 70},
207 {"name": "CB_PERF_SEL_CM_CACHE_INFLIGHT_COUNTER_MAXIMUM_STALL", "value": 71},
208 {"name": "CB_PERF_SEL_CM_CACHE_READ_OUTPUT_STALL", "value": 72},
209 {"name": "CB_PERF_SEL_CM_CACHE_WRITE_OUTPUT_STALL", "value": 73},
210 {"name": "CB_PERF_SEL_CM_CACHE_ACK_OUTPUT_STALL", "value": 74},
211 {"name": "CB_PERF_SEL_CM_CACHE_STALL", "value": 75},
212 {"name": "CB_PERF_SEL_CM_CACHE_FLUSH", "value": 76},
213 {"name": "CB_PERF_SEL_CM_CACHE_TAGS_FLUSHED", "value": 77},
214 {"name": "CB_PERF_SEL_CM_CACHE_SECTORS_FLUSHED", "value": 78},
215 {"name": "CB_PERF_SEL_CM_CACHE_DIRTY_SECTORS_FLUSHED", "value": 79},
216 {"name": "CB_PERF_SEL_FC_CACHE_HIT", "value": 80},
217 {"name": "CB_PERF_SEL_FC_CACHE_TAG_MISS", "value": 81},
218 {"name": "CB_PERF_SEL_FC_CACHE_SECTOR_MISS", "value": 82},
219 {"name": "CB_PERF_SEL_FC_CACHE_REEVICTION_STALL", "value": 83},
220 {"name": "CB_PERF_SEL_FC_CACHE_EVICT_NONZERO_INFLIGHT_STALL", "value": 84},
221 {"name": "CB_PERF_SEL_FC_CACHE_REPLACE_PENDING_EVICT_STALL", "value": 85},
222 {"name": "CB_PERF_SEL_FC_CACHE_INFLIGHT_COUNTER_MAXIMUM_STALL", "value": 86},
223 {"name": "CB_PERF_SEL_FC_CACHE_READ_OUTPUT_STALL", "value": 87},
224 {"name": "CB_PERF_SEL_FC_CACHE_WRITE_OUTPUT_STALL", "value": 88},
225 {"name": "CB_PERF_SEL_FC_CACHE_ACK_OUTPUT_STALL", "value": 89},
226 {"name": "CB_PERF_SEL_FC_CACHE_STALL", "value": 90},
227 {"name": "CB_PERF_SEL_FC_CACHE_FLUSH", "value": 91},
228 {"name": "CB_PERF_SEL_FC_CACHE_TAGS_FLUSHED", "value": 92},
229 {"name": "CB_PERF_SEL_FC_CACHE_SECTORS_FLUSHED", "value": 93},
230 {"name": "CB_PERF_SEL_FC_CACHE_DIRTY_SECTORS_FLUSHED", "value": 94},
231 {"name": "CB_PERF_SEL_CC_CACHE_HIT", "value": 95},
232 {"name": "CB_PERF_SEL_CC_CACHE_TAG_MISS", "value": 96},
233 {"name": "CB_PERF_SEL_CC_CACHE_SECTOR_MISS", "value": 97},
234 {"name": "CB_PERF_SEL_CC_CACHE_REEVICTION_STALL", "value": 98},
235 {"name": "CB_PERF_SEL_CC_CACHE_EVICT_NONZERO_INFLIGHT_STALL", "value": 99},
236 {"name": "CB_PERF_SEL_CC_CACHE_REPLACE_PENDING_EVICT_STALL", "value": 100},
237 {"name": "CB_PERF_SEL_CC_CACHE_INFLIGHT_COUNTER_MAXIMUM_STALL", "value": 101},
238 {"name": "CB_PERF_SEL_CC_CACHE_READ_OUTPUT_STALL", "value": 102},
239 {"name": "CB_PERF_SEL_CC_CACHE_WRITE_OUTPUT_STALL", "value": 103},
240 {"name": "CB_PERF_SEL_CC_CACHE_ACK_OUTPUT_STALL", "value": 104},
241 {"name": "CB_PERF_SEL_CC_CACHE_STALL", "value": 105},
242 {"name": "CB_PERF_SEL_CC_CACHE_FLUSH", "value": 106},
243 {"name": "CB_PERF_SEL_CC_CACHE_TAGS_FLUSHED", "value": 107},
244 {"name": "CB_PERF_SEL_CC_CACHE_SECTORS_FLUSHED", "value": 108},
245 {"name": "CB_PERF_SEL_CC_CACHE_DIRTY_SECTORS_FLUSHED", "value": 109},
246 {"name": "CB_PERF_SEL_CC_CACHE_WA_TO_RMW_CONVERSION", "value": 110},
247 {"name": "CB_PERF_SEL_CB_TAP_WRREQ_VALID_READY", "value": 111},
248 {"name": "CB_PERF_SEL_CB_TAP_WRREQ_VALID_READYB", "value": 112},
249 {"name": "CB_PERF_SEL_CB_TAP_WRREQ_VALIDB_READY", "value": 113},
250 {"name": "CB_PERF_SEL_CB_TAP_WRREQ_VALIDB_READYB", "value": 114},
251 {"name": "CB_PERF_SEL_CM_MC_WRITE_REQUEST", "value": 115},
252 {"name": "CB_PERF_SEL_FC_MC_WRITE_REQUEST", "value": 116},
253 {"name": "CB_PERF_SEL_CC_MC_WRITE_REQUEST", "value": 117},
254 {"name": "CB_PERF_SEL_CM_MC_WRITE_REQUESTS_IN_FLIGHT", "value": 118},
255 {"name": "CB_PERF_SEL_FC_MC_WRITE_REQUESTS_IN_FLIGHT", "value": 119},
256 {"name": "CB_PERF_SEL_CC_MC_WRITE_REQUESTS_IN_FLIGHT", "value": 120},
257 {"name": "CB_PERF_SEL_CB_TAP_RDREQ_VALID_READY", "value": 121},
258 {"name": "CB_PERF_SEL_CB_TAP_RDREQ_VALID_READYB", "value": 122},
259 {"name": "CB_PERF_SEL_CB_TAP_RDREQ_VALIDB_READY", "value": 123},
260 {"name": "CB_PERF_SEL_CB_TAP_RDREQ_VALIDB_READYB", "value": 124},
261 {"name": "CB_PERF_SEL_CM_MC_READ_REQUEST", "value": 125},
262 {"name": "CB_PERF_SEL_FC_MC_READ_REQUEST", "value": 126},
263 {"name": "CB_PERF_SEL_CC_MC_READ_REQUEST", "value": 127},
264 {"name": "CB_PERF_SEL_CM_MC_READ_REQUESTS_IN_FLIGHT", "value": 128},
265 {"name": "CB_PERF_SEL_FC_MC_READ_REQUESTS_IN_FLIGHT", "value": 129},
266 {"name": "CB_PERF_SEL_CC_MC_READ_REQUESTS_IN_FLIGHT", "value": 130},
267 {"name": "CB_PERF_SEL_CM_TQ_FULL", "value": 131},
268 {"name": "CB_PERF_SEL_CM_TQ_FIFO_TILE_RESIDENCY_STALL", "value": 132},
269 {"name": "CB_PERF_SEL_CM_TQ_FIFO_STUTTER_STALL", "value": 133},
270 {"name": "CB_PERF_SEL_FC_QUAD_RDLAT_FIFO_FULL", "value": 134},
271 {"name": "CB_PERF_SEL_FC_TILE_RDLAT_FIFO_FULL", "value": 135},
272 {"name": "CB_PERF_SEL_FC_RDLAT_FIFO_QUAD_RESIDENCY_STALL", "value": 136},
273 {"name": "CB_PERF_SEL_FC_TILE_STUTTER_STALL", "value": 137},
274 {"name": "CB_PERF_SEL_FC_QUAD_STUTTER_STALL", "value": 138},
275 {"name": "CB_PERF_SEL_FC_KEYID_STUTTER_STALL", "value": 139},
276 {"name": "CB_PERF_SEL_FOP_FMASK_RAW_STALL", "value": 140},
277 {"name": "CB_PERF_SEL_FOP_FMASK_BYPASS_STALL", "value": 141},
278 {"name": "CB_PERF_SEL_CC_SF_FULL", "value": 142},
279 {"name": "CB_PERF_SEL_CC_RB_FULL", "value": 143},
280 {"name": "CB_PERF_SEL_CC_EVENFIFO_QUAD_RESIDENCY_STALL", "value": 144},
281 {"name": "CB_PERF_SEL_CC_ODDFIFO_QUAD_RESIDENCY_STALL", "value": 145},
282 {"name": "CB_PERF_SEL_CC_EVENFIFO_STUTTER_STALL", "value": 146},
283 {"name": "CB_PERF_SEL_CC_ODDFIFO_STUTTER_STALL", "value": 147},
284 {"name": "CB_PERF_SEL_BLENDER_RAW_HAZARD_STALL", "value": 148},
285 {"name": "CB_PERF_SEL_EVENT", "value": 149},
286 {"name": "CB_PERF_SEL_EVENT_CACHE_FLUSH_TS", "value": 150},
287 {"name": "CB_PERF_SEL_EVENT_CONTEXT_DONE", "value": 151},
288 {"name": "CB_PERF_SEL_EVENT_CACHE_FLUSH", "value": 152},
289 {"name": "CB_PERF_SEL_EVENT_CACHE_FLUSH_AND_INV_TS_EVENT", "value": 153},
290 {"name": "CB_PERF_SEL_EVENT_CACHE_FLUSH_AND_INV_EVENT", "value": 154},
291 {"name": "CB_PERF_SEL_EVENT_FLUSH_AND_INV_CB_DATA_TS", "value": 155},
292 {"name": "CB_PERF_SEL_EVENT_FLUSH_AND_INV_CB_META", "value": 156},
293 {"name": "CB_PERF_SEL_CC_SURFACE_SYNC", "value": 157},
294 {"name": "CB_PERF_SEL_CMASK_READ_DATA_0xC", "value": 158},
295 {"name": "CB_PERF_SEL_CMASK_READ_DATA_0xD", "value": 159},
296 {"name": "CB_PERF_SEL_CMASK_READ_DATA_0xE", "value": 160},
297 {"name": "CB_PERF_SEL_CMASK_READ_DATA_0xF", "value": 161},
298 {"name": "CB_PERF_SEL_CMASK_WRITE_DATA_0xC", "value": 162},
299 {"name": "CB_PERF_SEL_CMASK_WRITE_DATA_0xD", "value": 163},
300 {"name": "CB_PERF_SEL_CMASK_WRITE_DATA_0xE", "value": 164},
301 {"name": "CB_PERF_SEL_CMASK_WRITE_DATA_0xF", "value": 165},
302 {"name": "CB_PERF_SEL_TWO_PROBE_QUAD_FRAGMENT", "value": 166},
303 {"name": "CB_PERF_SEL_EXPORT_32_ABGR_QUAD_FRAGMENT", "value": 167},
304 {"name": "CB_PERF_SEL_DUAL_SOURCE_COLOR_QUAD_FRAGMENT", "value": 168},
305 {"name": "CB_PERF_SEL_QUAD_HAS_1_FRAGMENT_BEFORE_UPDATE", "value": 169},
306 {"name": "CB_PERF_SEL_QUAD_HAS_2_FRAGMENTS_BEFORE_UPDATE", "value": 170},
307 {"name": "CB_PERF_SEL_QUAD_HAS_3_FRAGMENTS_BEFORE_UPDATE", "value": 171},
308 {"name": "CB_PERF_SEL_QUAD_HAS_4_FRAGMENTS_BEFORE_UPDATE", "value": 172},
309 {"name": "CB_PERF_SEL_QUAD_HAS_5_FRAGMENTS_BEFORE_UPDATE", "value": 173},
310 {"name": "CB_PERF_SEL_QUAD_HAS_6_FRAGMENTS_BEFORE_UPDATE", "value": 174},
311 {"name": "CB_PERF_SEL_QUAD_HAS_7_FRAGMENTS_BEFORE_UPDATE", "value": 175},
312 {"name": "CB_PERF_SEL_QUAD_HAS_8_FRAGMENTS_BEFORE_UPDATE", "value": 176},
313 {"name": "CB_PERF_SEL_QUAD_HAS_1_FRAGMENT_AFTER_UPDATE", "value": 177},
314 {"name": "CB_PERF_SEL_QUAD_HAS_2_FRAGMENTS_AFTER_UPDATE", "value": 178},
315 {"name": "CB_PERF_SEL_QUAD_HAS_3_FRAGMENTS_AFTER_UPDATE", "value": 179},
316 {"name": "CB_PERF_SEL_QUAD_HAS_4_FRAGMENTS_AFTER_UPDATE", "value": 180},
317 {"name": "CB_PERF_SEL_QUAD_HAS_5_FRAGMENTS_AFTER_UPDATE", "value": 181},
318 {"name": "CB_PERF_SEL_QUAD_HAS_6_FRAGMENTS_AFTER_UPDATE", "value": 182},
319 {"name": "CB_PERF_SEL_QUAD_HAS_7_FRAGMENTS_AFTER_UPDATE", "value": 183},
320 {"name": "CB_PERF_SEL_QUAD_HAS_8_FRAGMENTS_AFTER_UPDATE", "value": 184},
321 {"name": "CB_PERF_SEL_QUAD_ADDED_1_FRAGMENT", "value": 185},
322 {"name": "CB_PERF_SEL_QUAD_ADDED_2_FRAGMENTS", "value": 186},
323 {"name": "CB_PERF_SEL_QUAD_ADDED_3_FRAGMENTS", "value": 187},
324 {"name": "CB_PERF_SEL_QUAD_ADDED_4_FRAGMENTS", "value": 188},
325 {"name": "CB_PERF_SEL_QUAD_ADDED_5_FRAGMENTS", "value": 189},
326 {"name": "CB_PERF_SEL_QUAD_ADDED_6_FRAGMENTS", "value": 190},
327 {"name": "CB_PERF_SEL_QUAD_ADDED_7_FRAGMENTS", "value": 191},
328 {"name": "CB_PERF_SEL_QUAD_REMOVED_1_FRAGMENT", "value": 192},
329 {"name": "CB_PERF_SEL_QUAD_REMOVED_2_FRAGMENTS", "value": 193},
330 {"name": "CB_PERF_SEL_QUAD_REMOVED_3_FRAGMENTS", "value": 194},
331 {"name": "CB_PERF_SEL_QUAD_REMOVED_4_FRAGMENTS", "value": 195},
332 {"name": "CB_PERF_SEL_QUAD_REMOVED_5_FRAGMENTS", "value": 196},
333 {"name": "CB_PERF_SEL_QUAD_REMOVED_6_FRAGMENTS", "value": 197},
334 {"name": "CB_PERF_SEL_QUAD_REMOVED_7_FRAGMENTS", "value": 198},
335 {"name": "CB_PERF_SEL_QUAD_READS_FRAGMENT_0", "value": 199},
336 {"name": "CB_PERF_SEL_QUAD_READS_FRAGMENT_1", "value": 200},
337 {"name": "CB_PERF_SEL_QUAD_READS_FRAGMENT_2", "value": 201},
338 {"name": "CB_PERF_SEL_QUAD_READS_FRAGMENT_3", "value": 202},
339 {"name": "CB_PERF_SEL_QUAD_READS_FRAGMENT_4", "value": 203},
340 {"name": "CB_PERF_SEL_QUAD_READS_FRAGMENT_5", "value": 204},
341 {"name": "CB_PERF_SEL_QUAD_READS_FRAGMENT_6", "value": 205},
342 {"name": "CB_PERF_SEL_QUAD_READS_FRAGMENT_7", "value": 206},
343 {"name": "CB_PERF_SEL_QUAD_WRITES_FRAGMENT_0", "value": 207},
344 {"name": "CB_PERF_SEL_QUAD_WRITES_FRAGMENT_1", "value": 208},
345 {"name": "CB_PERF_SEL_QUAD_WRITES_FRAGMENT_2", "value": 209},
346 {"name": "CB_PERF_SEL_QUAD_WRITES_FRAGMENT_3", "value": 210},
347 {"name": "CB_PERF_SEL_QUAD_WRITES_FRAGMENT_4", "value": 211},
348 {"name": "CB_PERF_SEL_QUAD_WRITES_FRAGMENT_5", "value": 212},
349 {"name": "CB_PERF_SEL_QUAD_WRITES_FRAGMENT_6", "value": 213},
350 {"name": "CB_PERF_SEL_QUAD_WRITES_FRAGMENT_7", "value": 214},
351 {"name": "CB_PERF_SEL_QUAD_BLEND_OPT_DONT_READ_DST", "value": 215},
352 {"name": "CB_PERF_SEL_QUAD_BLEND_OPT_BLEND_BYPASS", "value": 216},
353 {"name": "CB_PERF_SEL_QUAD_BLEND_OPT_DISCARD_PIXELS", "value": 217},
354 {"name": "CB_PERF_SEL_QUAD_DST_READ_COULD_HAVE_BEEN_OPTIMIZED", "value": 218},
355 {"name": "CB_PERF_SEL_QUAD_BLENDING_COULD_HAVE_BEEN_BYPASSED", "value": 219},
356 {"name": "CB_PERF_SEL_QUAD_COULD_HAVE_BEEN_DISCARDED", "value": 220},
357 {"name": "CB_PERF_SEL_BLEND_OPT_PIXELS_RESULT_EQ_DEST", "value": 221},
358 {"name": "CB_PERF_SEL_DRAWN_BUSY", "value": 222},
359 {"name": "CB_PERF_SEL_TILE_TO_CMR_REGION_BUSY", "value": 223},
360 {"name": "CB_PERF_SEL_CMR_TO_FCR_REGION_BUSY", "value": 224},
361 {"name": "CB_PERF_SEL_FCR_TO_CCR_REGION_BUSY", "value": 225},
362 {"name": "CB_PERF_SEL_CCR_TO_CCW_REGION_BUSY", "value": 226},
363 {"name": "CB_PERF_SEL_FC_PF_SLOW_MODE_QUAD_EMPTY_HALF_DROPPED", "value": 227},
364 {"name": "CB_PERF_SEL_FC_SEQUENCER_CLEAR", "value": 228},
365 {"name": "CB_PERF_SEL_FC_SEQUENCER_ELIMINATE_FAST_CLEAR", "value": 229},
366 {"name": "CB_PERF_SEL_FC_SEQUENCER_FMASK_DECOMPRESS", "value": 230},
367 {"name": "CB_PERF_SEL_FC_SEQUENCER_FMASK_COMPRESSION_DISABLE", "value": 231},
368 {"name": "CB_PERF_SEL_CC_CACHE_READS_SAVED_DUE_TO_DCC", "value": 232},
369 {"name": "CB_PERF_SEL_FC_KEYID_RDLAT_FIFO_FULL", "value": 233},
370 {"name": "CB_PERF_SEL_FC_DOC_IS_STALLED", "value": 234},
371 {"name": "CB_PERF_SEL_FC_DOC_MRTS_NOT_COMBINED", "value": 235},
372 {"name": "CB_PERF_SEL_FC_DOC_MRTS_COMBINED", "value": 236},
373 {"name": "CB_PERF_SEL_FC_DOC_QTILE_CAM_MISS", "value": 237},
374 {"name": "CB_PERF_SEL_FC_DOC_QTILE_CAM_HIT", "value": 238},
375 {"name": "CB_PERF_SEL_FC_DOC_CLINE_CAM_MISS", "value": 239},
376 {"name": "CB_PERF_SEL_FC_DOC_CLINE_CAM_HIT", "value": 240},
377 {"name": "CB_PERF_SEL_FC_DOC_QUAD_PTR_FIFO_IS_FULL", "value": 241},
378 {"name": "CB_PERF_SEL_FC_DOC_OVERWROTE_1_SECTOR", "value": 242},
379 {"name": "CB_PERF_SEL_FC_DOC_OVERWROTE_2_SECTORS", "value": 243},
380 {"name": "CB_PERF_SEL_FC_DOC_OVERWROTE_3_SECTORS", "value": 244},
381 {"name": "CB_PERF_SEL_FC_DOC_OVERWROTE_4_SECTORS", "value": 245},
382 {"name": "CB_PERF_SEL_FC_DOC_TOTAL_OVERWRITTEN_SECTORS", "value": 246},
383 {"name": "CB_PERF_SEL_FC_DCC_CACHE_HIT", "value": 247},
384 {"name": "CB_PERF_SEL_FC_DCC_CACHE_TAG_MISS", "value": 248},
385 {"name": "CB_PERF_SEL_FC_DCC_CACHE_SECTOR_MISS", "value": 249},
386 {"name": "CB_PERF_SEL_FC_DCC_CACHE_REEVICTION_STALL", "value": 250},
387 {"name": "CB_PERF_SEL_FC_DCC_CACHE_EVICT_NONZERO_INFLIGHT_STALL", "value": 251},
388 {"name": "CB_PERF_SEL_FC_DCC_CACHE_REPLACE_PENDING_EVICT_STALL", "value": 252},
389 {"name": "CB_PERF_SEL_FC_DCC_CACHE_INFLIGHT_COUNTER_MAXIMUM_STALL", "value": 253},
390 {"name": "CB_PERF_SEL_FC_DCC_CACHE_READ_OUTPUT_STALL", "value": 254},
391 {"name": "CB_PERF_SEL_FC_DCC_CACHE_WRITE_OUTPUT_STALL", "value": 255},
392 {"name": "CB_PERF_SEL_FC_DCC_CACHE_ACK_OUTPUT_STALL", "value": 256},
393 {"name": "CB_PERF_SEL_FC_DCC_CACHE_STALL", "value": 257},
394 {"name": "CB_PERF_SEL_FC_DCC_CACHE_FLUSH", "value": 258},
395 {"name": "CB_PERF_SEL_FC_DCC_CACHE_TAGS_FLUSHED", "value": 259},
396 {"name": "CB_PERF_SEL_FC_DCC_CACHE_SECTORS_FLUSHED", "value": 260},
397 {"name": "CB_PERF_SEL_FC_DCC_CACHE_DIRTY_SECTORS_FLUSHED", "value": 261},
398 {"name": "CB_PERF_SEL_CC_DCC_BEYOND_TILE_SPLIT", "value": 262},
399 {"name": "CB_PERF_SEL_FC_MC_DCC_WRITE_REQUEST", "value": 263},
400 {"name": "CB_PERF_SEL_FC_MC_DCC_WRITE_REQUESTS_IN_FLIGHT", "value": 264},
401 {"name": "CB_PERF_SEL_FC_MC_DCC_READ_REQUEST", "value": 265},
402 {"name": "CB_PERF_SEL_FC_MC_DCC_READ_REQUESTS_IN_FLIGHT", "value": 266},
403 {"name": "CB_PERF_SEL_CC_DCC_RDREQ_STALL", "value": 267},
404 {"name": "CB_PERF_SEL_CC_DCC_DECOMPRESS_TIDS_IN", "value": 268},
405 {"name": "CB_PERF_SEL_CC_DCC_DECOMPRESS_TIDS_OUT", "value": 269},
406 {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_TIDS_IN", "value": 270},
407 {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_TIDS_OUT", "value": 271},
408 {"name": "CB_PERF_SEL_FC_DCC_KEY_VALUE__CLEAR", "value": 272},
409 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__4_BLOCKS__2TO1", "value": 273},
410 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__3BLOCKS_2TO1__1BLOCK_2TO2", "value": 274},
411 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_2TO1__1BLOCK_2TO2__1BLOCK_2TO1", "value": 275},
412 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_2TO2__2BLOCKS_2TO1", "value": 276},
413 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__3BLOCKS_2TO1", "value": 277},
414 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_2TO1__2BLOCKS_2TO2", "value": 278},
415 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__2BLOCKS_2TO2__1BLOCK_2TO1", "value": 279},
416 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_2TO2__1BLOCK_2TO1__1BLOCK_2TO2", "value": 280},
417 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_2TO1__1BLOCK_2TO2__1BLOCK_2TO1", "value": 281},
418 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_2TO2__2BLOCKS_2TO1", "value": 282},
419 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__2BLOCKS_2TO1__1BLOCK_2TO2", "value": 283},
420 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__3BLOCKS_2TO2", "value": 284},
421 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_2TO1__2BLOCKS_2TO2", "value": 285},
422 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_2TO2__1BLOCK_2TO1__1BLOCK_2TO2", "value": 286},
423 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__3BLOCKS_2TO2__1BLOCK_2TO1", "value": 287},
424 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_4TO1", "value": 288},
425 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO1__1BLOCK_4TO2", "value": 289},
426 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO1__1BLOCK_4TO3", "value": 290},
427 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO1__1BLOCK_4TO4", "value": 291},
428 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO2__1BLOCK_4TO1", "value": 292},
429 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_4TO2", "value": 293},
430 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO2__1BLOCK_4TO3", "value": 294},
431 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO2__1BLOCK_4TO4", "value": 295},
432 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO3__1BLOCK_4TO1", "value": 296},
433 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO3__1BLOCK_4TO2", "value": 297},
434 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_4TO3", "value": 298},
435 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO3__1BLOCK_4TO4", "value": 299},
436 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO4__1BLOCK_4TO1", "value": 300},
437 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO4__1BLOCK_4TO2", "value": 301},
438 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO4__1BLOCK_4TO3", "value": 302},
439 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_2TO1__1BLOCK_4TO1", "value": 303},
440 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_2TO1__1BLOCK_4TO2", "value": 304},
441 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_2TO1__1BLOCK_4TO3", "value": 305},
442 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_2TO1__1BLOCK_4TO4", "value": 306},
443 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_2TO2__1BLOCK_4TO1", "value": 307},
444 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_2TO2__1BLOCK_4TO2", "value": 308},
445 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_2TO2__1BLOCK_4TO3", "value": 309},
446 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_2TO2__1BLOCK_4TO4", "value": 310},
447 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_2TO1__1BLOCK_4TO1", "value": 311},
448 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_2TO1__1BLOCK_4TO2", "value": 312},
449 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_2TO1__1BLOCK_4TO3", "value": 313},
450 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_2TO1__1BLOCK_4TO4", "value": 314},
451 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_2TO2__1BLOCK_4TO1", "value": 315},
452 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_2TO2__1BLOCK_4TO2", "value": 316},
453 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_2TO2__1BLOCK_4TO3", "value": 317},
454 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_4TO1__1BLOCK_2TO1", "value": 318},
455 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_4TO2__1BLOCK_2TO1", "value": 319},
456 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_4TO3__1BLOCK_2TO1", "value": 320},
457 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_4TO4__1BLOCK_2TO1", "value": 321},
458 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_4TO1__1BLOCK_2TO1", "value": 322},
459 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_4TO2__1BLOCK_2TO1", "value": 323},
460 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_4TO3__1BLOCK_2TO1", "value": 324},
461 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_4TO4__1BLOCK_2TO1", "value": 325},
462 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_4TO1__1BLOCK_2TO2", "value": 326},
463 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_4TO2__1BLOCK_2TO2", "value": 327},
464 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_4TO3__1BLOCK_2TO2", "value": 328},
465 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_4TO4__1BLOCK_2TO2", "value": 329},
466 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_4TO1__1BLOCK_2TO2", "value": 330},
467 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_4TO2__1BLOCK_2TO2", "value": 331},
468 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_4TO3__1BLOCK_2TO2", "value": 332},
469 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO1__2BLOCKS_2TO1", "value": 333},
470 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO2__2BLOCKS_2TO1", "value": 334},
471 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO3__2BLOCKS_2TO1", "value": 335},
472 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO4__2BLOCKS_2TO1", "value": 336},
473 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO1__2BLOCKS_2TO2", "value": 337},
474 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO2__2BLOCKS_2TO2", "value": 338},
475 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO3__2BLOCKS_2TO2", "value": 339},
476 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO1__1BLOCK_2TO1__1BLOCK_2TO2", "value": 340},
477 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO2__1BLOCK_2TO1__1BLOCK_2TO2", "value": 341},
478 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO3__1BLOCK_2TO1__1BLOCK_2TO2", "value": 342},
479 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO4__1BLOCK_2TO1__1BLOCK_2TO2", "value": 343},
480 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO1__1BLOCK_2TO2__1BLOCK_2TO1", "value": 344},
481 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO2__1BLOCK_2TO2__1BLOCK_2TO1", "value": 345},
482 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO3__1BLOCK_2TO2__1BLOCK_2TO1", "value": 346},
483 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO4__1BLOCK_2TO2__1BLOCK_2TO1", "value": 347},
484 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_6TO1", "value": 348},
485 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_6TO2", "value": 349},
486 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_6TO3", "value": 350},
487 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_6TO4", "value": 351},
488 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_6TO5", "value": 352},
489 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_6TO6", "value": 353},
490 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__INV0", "value": 354},
491 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__INV1", "value": 355},
492 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_6TO1", "value": 356},
493 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_6TO2", "value": 357},
494 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_6TO3", "value": 358},
495 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_6TO4", "value": 359},
496 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_6TO5", "value": 360},
497 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__INV0", "value": 361},
498 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__INV1", "value": 362},
499 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_6TO1__1BLOCK_2TO1", "value": 363},
500 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_6TO2__1BLOCK_2TO1", "value": 364},
501 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_6TO3__1BLOCK_2TO1", "value": 365},
502 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_6TO4__1BLOCK_2TO1", "value": 366},
503 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_6TO5__1BLOCK_2TO1", "value": 367},
504 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_6TO6__1BLOCK_2TO1", "value": 368},
505 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__INV0__1BLOCK_2TO1", "value": 369},
506 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__INV1__1BLOCK_2TO1", "value": 370},
507 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_6TO1__1BLOCK_2TO2", "value": 371},
508 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_6TO2__1BLOCK_2TO2", "value": 372},
509 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_6TO3__1BLOCK_2TO2", "value": 373},
510 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_6TO4__1BLOCK_2TO2", "value": 374},
511 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_6TO5__1BLOCK_2TO2", "value": 375},
512 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__INV0__1BLOCK_2TO2", "value": 376},
513 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__INV1__1BLOCK_2TO2", "value": 377},
514 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_8TO1", "value": 378},
515 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_8TO2", "value": 379},
516 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_8TO3", "value": 380},
517 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_8TO4", "value": 381},
518 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_8TO5", "value": 382},
519 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_8TO6", "value": 383},
520 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_8TO7", "value": 384},
521 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__UNCOMPRESSED", "value": 385},
522 {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_2TO1", "value": 386},
523 {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_4TO1", "value": 387},
524 {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_4TO2", "value": 388},
525 {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_4TO3", "value": 389},
526 {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_6TO1", "value": 390},
527 {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_6TO2", "value": 391},
528 {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_6TO3", "value": 392},
529 {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_6TO4", "value": 393},
530 {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_6TO5", "value": 394},
531 {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_8TO1", "value": 395},
532 {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_8TO2", "value": 396},
533 {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_8TO3", "value": 397},
534 {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_8TO4", "value": 398},
535 {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_8TO5", "value": 399},
536 {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_8TO6", "value": 400},
537 {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_8TO7", "value": 401},
538 {"name": "CB_PERF_SEL_RBP_EXPORT_8PIX_LIT_BOTH", "value": 402},
539 {"name": "CB_PERF_SEL_RBP_EXPORT_8PIX_LIT_LEFT", "value": 403},
540 {"name": "CB_PERF_SEL_RBP_EXPORT_8PIX_LIT_RIGHT", "value": 404},
541 {"name": "CB_PERF_SEL_RBP_SPLIT_MICROTILE", "value": 405},
542 {"name": "CB_PERF_SEL_RBP_SPLIT_AA_SAMPLE_MASK", "value": 406},
543 {"name": "CB_PERF_SEL_RBP_SPLIT_PARTIAL_TARGET_MASK", "value": 407},
544 {"name": "CB_PERF_SEL_RBP_SPLIT_LINEAR_ADDRESSING", "value": 408},
545 {"name": "CB_PERF_SEL_RBP_SPLIT_AA_NO_FMASK_COMPRESS", "value": 409},
546 {"name": "CB_PERF_SEL_RBP_INSERT_MISSING_LAST_QUAD", "value": 410},
547 {"name": "CB_PERF_SEL_NACK_CM_READ", "value": 411},
548 {"name": "CB_PERF_SEL_NACK_CM_WRITE", "value": 412},
549 {"name": "CB_PERF_SEL_NACK_FC_READ", "value": 413},
550 {"name": "CB_PERF_SEL_NACK_FC_WRITE", "value": 414},
551 {"name": "CB_PERF_SEL_NACK_DC_READ", "value": 415},
552 {"name": "CB_PERF_SEL_NACK_DC_WRITE", "value": 416},
553 {"name": "CB_PERF_SEL_NACK_CC_READ", "value": 417},
554 {"name": "CB_PERF_SEL_NACK_CC_WRITE", "value": 418},
555 {"name": "CB_PERF_SEL_CM_MC_EARLY_WRITE_RETURN", "value": 419},
556 {"name": "CB_PERF_SEL_FC_MC_EARLY_WRITE_RETURN", "value": 420},
557 {"name": "CB_PERF_SEL_DC_MC_EARLY_WRITE_RETURN", "value": 421},
558 {"name": "CB_PERF_SEL_CC_MC_EARLY_WRITE_RETURN", "value": 422},
559 {"name": "CB_PERF_SEL_CM_MC_EARLY_WRITE_REQUESTS_IN_FLIGHT", "value": 423},
560 {"name": "CB_PERF_SEL_FC_MC_EARLY_WRITE_REQUESTS_IN_FLIGHT", "value": 424},
561 {"name": "CB_PERF_SEL_DC_MC_EARLY_WRITE_REQUESTS_IN_FLIGHT", "value": 425},
562 {"name": "CB_PERF_SEL_CC_MC_EARLY_WRITE_REQUESTS_IN_FLIGHT", "value": 426},
563 {"name": "CB_PERF_SEL_CM_MC_WRITE_ACK64B", "value": 427},
564 {"name": "CB_PERF_SEL_FC_MC_WRITE_ACK64B", "value": 428},
565 {"name": "CB_PERF_SEL_DC_MC_WRITE_ACK64B", "value": 429},
566 {"name": "CB_PERF_SEL_CC_MC_WRITE_ACK64B", "value": 430},
567 {"name": "CB_PERF_SEL_EVENT_BOTTOM_OF_PIPE_TS", "value": 431},
568 {"name": "CB_PERF_SEL_EVENT_FLUSH_AND_INV_DB_DATA_TS", "value": 432},
569 {"name": "CB_PERF_SEL_EVENT_FLUSH_AND_INV_CB_PIXEL_DATA", "value": 433},
570 {"name": "CB_PERF_SEL_DB_CB_TILE_TILENOTEVENT", "value": 434},
571 {"name": "CB_PERF_SEL_LQUAD_FORMAT_IS_EXPORT_32BPP_8PIX", "value": 435},
572 {"name": "CB_PERF_SEL_LQUAD_FORMAT_IS_EXPORT_16_16_UNSIGNED_8PIX", "value": 436},
573 {"name": "CB_PERF_SEL_LQUAD_FORMAT_IS_EXPORT_16_16_SIGNED_8PIX", "value": 437},
574 {"name": "CB_PERF_SEL_LQUAD_FORMAT_IS_EXPORT_16_16_FLOAT_8PIX", "value": 438},
575 {"name": "CB_PERF_SEL_MERGE_PIXELS_WITH_BLEND_ENABLED", "value": 439},
576 {"name": "CB_PERF_SEL_DB_CB_CONTEXT_DONE", "value": 440},
577 {"name": "CB_PERF_SEL_DB_CB_EOP_DONE", "value": 441},
578 {"name": "CB_PERF_SEL_CC_MC_WRITE_REQUEST_PARTIAL", "value": 442},
579 {"name": "CB_PERF_SEL_CC_BB_BLEND_PIXEL_VLD", "value": 443},
580 {"name": "CB_PERF_SEL_CC_CACHE_256BS_SAVED_DUE_TO_QSB", "value": 444},
581 {"name": "CB_PERF_SEL_FC_CACHE_FMASK_NO_FETCH", "value": 445},
582 {"name": "CB_PERF_SEL_CC_CACHE_SECTOR_HIT", "value": 446},
583 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__CONST_CLEAR_REG", "value": 447},
584 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__CONST_CLEAR_SINGLE", "value": 448},
585 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__CONST_CLEAR_AC00", "value": 449},
586 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__CONST_CLEAR_AC01", "value": 450},
587 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__CONST_CLEAR_AC10", "value": 451},
588 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__CONST_CLEAR_AC11", "value": 452},
589 {"name": "CB_PERF_SEL_TQ_STUTTER_STALL", "value": 453}
590 ]
591 },
592 "CHA_PERF_SEL": {
593 "entries": [
594 {"name": "CHA_PERF_SEL_BUSY", "value": 0},
595 {"name": "CHA_PERF_SEL_STALL_CHC0", "value": 1},
596 {"name": "CHA_PERF_SEL_STALL_CHC1", "value": 2},
597 {"name": "CHA_PERF_SEL_STALL_CHC2", "value": 3},
598 {"name": "CHA_PERF_SEL_STALL_CHC3", "value": 4},
599 {"name": "CHA_PERF_SEL_STALL_CHC4", "value": 5},
600 {"name": "CHA_PERF_SEL_STALL_CHC5", "value": 6},
601 {"name": "CHA_PERF_SEL_REQUEST_CHC0", "value": 7},
602 {"name": "CHA_PERF_SEL_REQUEST_CHC1", "value": 8},
603 {"name": "CHA_PERF_SEL_REQUEST_CHC2", "value": 9},
604 {"name": "CHA_PERF_SEL_REQUEST_CHC3", "value": 10},
605 {"name": "CHA_PERF_SEL_REQUEST_CHC4", "value": 11},
606 {"name": "CHA_PERF_SEL_MEM_32B_WDS_CHC0", "value": 12},
607 {"name": "CHA_PERF_SEL_MEM_32B_WDS_CHC1", "value": 13},
608 {"name": "CHA_PERF_SEL_MEM_32B_WDS_CHC2", "value": 14},
609 {"name": "CHA_PERF_SEL_MEM_32B_WDS_CHC3", "value": 15},
610 {"name": "CHA_PERF_SEL_MEM_32B_WDS_CHC4", "value": 16},
611 {"name": "CHA_PERF_SEL_IO_32B_WDS_CHC0", "value": 17},
612 {"name": "CHA_PERF_SEL_IO_32B_WDS_CHC1", "value": 18},
613 {"name": "CHA_PERF_SEL_IO_32B_WDS_CHC2", "value": 19},
614 {"name": "CHA_PERF_SEL_IO_32B_WDS_CHC3", "value": 20},
615 {"name": "CHA_PERF_SEL_IO_32B_WDS_CHC4", "value": 21},
616 {"name": "CHA_PERF_SEL_MEM_BURST_COUNT_CHC0", "value": 22},
617 {"name": "CHA_PERF_SEL_MEM_BURST_COUNT_CHC1", "value": 23},
618 {"name": "CHA_PERF_SEL_MEM_BURST_COUNT_CHC2", "value": 24},
619 {"name": "CHA_PERF_SEL_MEM_BURST_COUNT_CHC3", "value": 25},
620 {"name": "CHA_PERF_SEL_MEM_BURST_COUNT_CHC4", "value": 26},
621 {"name": "CHA_PERF_SEL_IO_BURST_COUNT_CHC0", "value": 27},
622 {"name": "CHA_PERF_SEL_IO_BURST_COUNT_CHC1", "value": 28},
623 {"name": "CHA_PERF_SEL_IO_BURST_COUNT_CHC2", "value": 29},
624 {"name": "CHA_PERF_SEL_IO_BURST_COUNT_CHC3", "value": 30},
625 {"name": "CHA_PERF_SEL_IO_BURST_COUNT_CHC4", "value": 31},
626 {"name": "CHA_PERF_SEL_ARB_REQUESTS", "value": 32},
627 {"name": "CHA_PERF_SEL_REQ_ARB_LEVEL_CHC0", "value": 33},
628 {"name": "CHA_PERF_SEL_REQ_ARB_LEVEL_CHC1", "value": 34},
629 {"name": "CHA_PERF_SEL_REQ_ARB_LEVEL_CHC2", "value": 35},
630 {"name": "CHA_PERF_SEL_REQ_ARB_LEVEL_CHC3", "value": 36},
631 {"name": "CHA_PERF_SEL_REQ_ARB_LEVEL_CHC4", "value": 37},
632 {"name": "CHA_PERF_SEL_REQ_INFLIGHT_LEVEL", "value": 38},
633 {"name": "CHA_PERF_SEL_STALL_RET_CONFLICT_CHC0", "value": 39},
634 {"name": "CHA_PERF_SEL_STALL_RET_CONFLICT_CHC1", "value": 40},
635 {"name": "CHA_PERF_SEL_STALL_RET_CONFLICT_CHC2", "value": 41},
636 {"name": "CHA_PERF_SEL_STALL_RET_CONFLICT_CHC3", "value": 42},
637 {"name": "CHA_PERF_SEL_STALL_RET_CONFLICT_CHC4", "value": 43},
638 {"name": "CHA_PERF_SEL_CYCLE", "value": 44}
639 ]
640 },
641 "CHCG_PERF_SEL": {
642 "entries": [
643 {"name": "CHCG_PERF_SEL_CYCLE", "value": 0},
644 {"name": "CHCG_PERF_SEL_BUSY", "value": 1},
645 {"name": "CHCG_PERF_SEL_ARB_RET_LEVEL", "value": 2},
646 {"name": "CHCG_PERF_SEL_GL2_REQ_READ_LATENCY", "value": 3},
647 {"name": "CHCG_PERF_SEL_GL2_REQ_WRITE_LATENCY", "value": 4},
648 {"name": "CHCG_PERF_SEL_REQ", "value": 5},
649 {"name": "CHCG_PERF_SEL_REQ_ATOMIC_WITH_RET", "value": 6},
650 {"name": "CHCG_PERF_SEL_REQ_ATOMIC_WITHOUT_RET", "value": 7},
651 {"name": "CHCG_PERF_SEL_REQ_NOP_ACK", "value": 8},
652 {"name": "CHCG_PERF_SEL_REQ_NOP_RTN0", "value": 9},
653 {"name": "CHCG_PERF_SEL_REQ_READ", "value": 10},
654 {"name": "CHCG_PERF_SEL_REQ_READ_128B", "value": 11},
655 {"name": "CHCG_PERF_SEL_REQ_READ_32B", "value": 12},
656 {"name": "CHCG_PERF_SEL_REQ_READ_64B", "value": 13},
657 {"name": "CHCG_PERF_SEL_REQ_WRITE", "value": 14},
658 {"name": "CHCG_PERF_SEL_REQ_WRITE_32B", "value": 15},
659 {"name": "CHCG_PERF_SEL_REQ_WRITE_64B", "value": 16},
660 {"name": "CHCG_PERF_SEL_STALL_GUS_GL1", "value": 17},
661 {"name": "CHCG_PERF_SEL_STALL_BUFFER_FULL", "value": 18},
662 {"name": "CHCG_PERF_SEL_REQ_CLIENT0", "value": 19},
663 {"name": "CHCG_PERF_SEL_REQ_CLIENT1", "value": 20},
664 {"name": "CHCG_PERF_SEL_REQ_CLIENT2", "value": 21},
665 {"name": "CHCG_PERF_SEL_REQ_CLIENT3", "value": 22},
666 {"name": "CHCG_PERF_SEL_REQ_CLIENT4", "value": 23},
667 {"name": "CHCG_PERF_SEL_REQ_CLIENT5", "value": 24},
668 {"name": "CHCG_PERF_SEL_REQ_CLIENT6", "value": 25},
669 {"name": "CHCG_PERF_SEL_REQ_CLIENT7", "value": 26},
670 {"name": "CHCG_PERF_SEL_REQ_CLIENT8", "value": 27},
671 {"name": "CHCG_PERF_SEL_REQ_CLIENT9", "value": 28},
672 {"name": "CHCG_PERF_SEL_REQ_CLIENT10", "value": 29},
673 {"name": "CHCG_PERF_SEL_REQ_CLIENT11", "value": 30},
674 {"name": "CHCG_PERF_SEL_REQ_CLIENT12", "value": 31},
675 {"name": "CHCG_PERF_SEL_REQ_CLIENT13", "value": 32},
676 {"name": "CHCG_PERF_SEL_REQ_CLIENT14", "value": 33}
677 ]
678 },
679 "CHC_PERF_SEL": {
680 "entries": [
681 {"name": "CHC_PERF_SEL_CYCLE", "value": 0},
682 {"name": "CHC_PERF_SEL_BUSY", "value": 1},
683 {"name": "CHC_PERF_SEL_ARB_RET_LEVEL", "value": 2},
684 {"name": "CHC_PERF_SEL_GL2_REQ_READ_LATENCY", "value": 3},
685 {"name": "CHC_PERF_SEL_GL2_REQ_WRITE_LATENCY", "value": 4},
686 {"name": "CHC_PERF_SEL_REQ", "value": 5},
687 {"name": "CHC_PERF_SEL_REQ_ATOMIC_WITH_RET", "value": 6},
688 {"name": "CHC_PERF_SEL_REQ_ATOMIC_WITHOUT_RET", "value": 7},
689 {"name": "CHC_PERF_SEL_REQ_NOP_ACK", "value": 8},
690 {"name": "CHC_PERF_SEL_REQ_NOP_RTN0", "value": 9},
691 {"name": "CHC_PERF_SEL_REQ_READ", "value": 10},
692 {"name": "CHC_PERF_SEL_REQ_READ_128B", "value": 11},
693 {"name": "CHC_PERF_SEL_REQ_READ_32B", "value": 12},
694 {"name": "CHC_PERF_SEL_REQ_READ_64B", "value": 13},
695 {"name": "CHC_PERF_SEL_REQ_WRITE", "value": 14},
696 {"name": "CHC_PERF_SEL_REQ_WRITE_32B", "value": 15},
697 {"name": "CHC_PERF_SEL_REQ_WRITE_64B", "value": 16},
698 {"name": "CHC_PERF_SEL_STALL_GL2_GL1", "value": 17},
699 {"name": "CHC_PERF_SEL_STALL_BUFFER_FULL", "value": 18},
700 {"name": "CHC_PERF_SEL_REQ_CLIENT0", "value": 19},
701 {"name": "CHC_PERF_SEL_REQ_CLIENT1", "value": 20},
702 {"name": "CHC_PERF_SEL_REQ_CLIENT2", "value": 21},
703 {"name": "CHC_PERF_SEL_REQ_CLIENT3", "value": 22},
704 {"name": "CHC_PERF_SEL_REQ_CLIENT4", "value": 23},
705 {"name": "CHC_PERF_SEL_REQ_CLIENT5", "value": 24},
706 {"name": "CHC_PERF_SEL_REQ_CLIENT6", "value": 25},
707 {"name": "CHC_PERF_SEL_REQ_CLIENT7", "value": 26},
708 {"name": "CHC_PERF_SEL_REQ_CLIENT8", "value": 27},
709 {"name": "CHC_PERF_SEL_REQ_CLIENT9", "value": 28},
710 {"name": "CHC_PERF_SEL_REQ_CLIENT10", "value": 29},
711 {"name": "CHC_PERF_SEL_REQ_CLIENT11", "value": 30},
712 {"name": "CHC_PERF_SEL_REQ_CLIENT12", "value": 31},
713 {"name": "CHC_PERF_SEL_REQ_CLIENT13", "value": 32},
714 {"name": "CHC_PERF_SEL_REQ_CLIENT14", "value": 33}
715 ]
716 },
717 "CPC_LATENCY_STATS_SEL": {
718 "entries": [
719 {"name": "CPC_LATENCY_STATS_SEL_XACK_MAX", "value": 0},
720 {"name": "CPC_LATENCY_STATS_SEL_XACK_MIN", "value": 1},
721 {"name": "CPC_LATENCY_STATS_SEL_XACK_LAST", "value": 2},
722 {"name": "CPC_LATENCY_STATS_SEL_XNACK_MAX", "value": 3},
723 {"name": "CPC_LATENCY_STATS_SEL_XNACK_MIN", "value": 4},
724 {"name": "CPC_LATENCY_STATS_SEL_XNACK_LAST", "value": 5},
725 {"name": "CPC_LATENCY_STATS_SEL_INVAL_MAX", "value": 6},
726 {"name": "CPC_LATENCY_STATS_SEL_INVAL_MIN", "value": 7},
727 {"name": "CPC_LATENCY_STATS_SEL_INVAL_LAST", "value": 8}
728 ]
729 },
730 "CPC_PERFCOUNT_SEL": {
731 "entries": [
732 {"name": "CPC_PERF_SEL_ALWAYS_COUNT", "value": 0},
733 {"name": "CPC_PERF_SEL_RCIU_STALL_WAIT_ON_FREE", "value": 1},
734 {"name": "CPC_PERF_SEL_RCIU_STALL_PRIV_VIOLATION", "value": 2},
735 {"name": "CPC_PERF_SEL_MIU_STALL_ON_RDREQ_FREE", "value": 3},
736 {"name": "CPC_PERF_SEL_MIU_STALL_ON_WRREQ_FREE", "value": 4},
737 {"name": "CPC_PERF_SEL_TCIU_STALL_WAIT_ON_FREE", "value": 5},
738 {"name": "CPC_PERF_SEL_ME1_STALL_WAIT_ON_RCIU_READY", "value": 6},
739 {"name": "CPC_PERF_SEL_ME1_STALL_WAIT_ON_RCIU_READY_PERF", "value": 7},
740 {"name": "CPC_PERF_SEL_ME1_STALL_WAIT_ON_RCIU_READ", "value": 8},
741 {"name": "CPC_PERF_SEL_ME1_STALL_WAIT_ON_GUS_READ", "value": 9},
742 {"name": "CPC_PERF_SEL_ME1_STALL_WAIT_ON_GUS_WRITE", "value": 10},
743 {"name": "CPC_PERF_SEL_ME1_STALL_ON_DATA_FROM_ROQ", "value": 11},
744 {"name": "CPC_PERF_SEL_ME1_STALL_ON_DATA_FROM_ROQ_PERF", "value": 12},
745 {"name": "CPC_PERF_SEL_ME1_BUSY_FOR_PACKET_DECODE", "value": 13},
746 {"name": "CPC_PERF_SEL_ME2_STALL_WAIT_ON_RCIU_READY", "value": 14},
747 {"name": "CPC_PERF_SEL_ME2_STALL_WAIT_ON_RCIU_READY_PERF", "value": 15},
748 {"name": "CPC_PERF_SEL_ME2_STALL_WAIT_ON_RCIU_READ", "value": 16},
749 {"name": "CPC_PERF_SEL_ME2_STALL_WAIT_ON_GUS_READ", "value": 17},
750 {"name": "CPC_PERF_SEL_ME2_STALL_WAIT_ON_GUS_WRITE", "value": 18},
751 {"name": "CPC_PERF_SEL_ME2_STALL_ON_DATA_FROM_ROQ", "value": 19},
752 {"name": "CPC_PERF_SEL_ME2_STALL_ON_DATA_FROM_ROQ_PERF", "value": 20},
753 {"name": "CPC_PERF_SEL_ME2_BUSY_FOR_PACKET_DECODE", "value": 21},
754 {"name": "CPC_PERF_SEL_UTCL2IU_STALL_WAIT_ON_FREE", "value": 22},
755 {"name": "CPC_PERF_SEL_UTCL2IU_STALL_WAIT_ON_TAGS", "value": 23},
756 {"name": "CPC_PERF_SEL_UTCL1_STALL_ON_TRANSLATION", "value": 24},
757 {"name": "CPC_PERF_SEL_CPC_STAT_BUSY", "value": 25},
758 {"name": "CPC_PERF_SEL_CPC_STAT_IDLE", "value": 26},
759 {"name": "CPC_PERF_SEL_CPC_STAT_STALL", "value": 27},
760 {"name": "CPC_PERF_SEL_CPC_TCIU_BUSY", "value": 28},
761 {"name": "CPC_PERF_SEL_CPC_TCIU_IDLE", "value": 29},
762 {"name": "CPC_PERF_SEL_CPC_UTCL2IU_BUSY", "value": 30},
763 {"name": "CPC_PERF_SEL_CPC_UTCL2IU_IDLE", "value": 31},
764 {"name": "CPC_PERF_SEL_CPC_UTCL2IU_STALL", "value": 32},
765 {"name": "CPC_PERF_SEL_ME1_DC0_SPI_BUSY", "value": 33},
766 {"name": "CPC_PERF_SEL_ME2_DC1_SPI_BUSY", "value": 34},
767 {"name": "CPC_PERF_SEL_CPC_GCRIU_BUSY", "value": 35},
768 {"name": "CPC_PERF_SEL_CPC_GCRIU_IDLE", "value": 36},
769 {"name": "CPC_PERF_SEL_CPC_GCRIU_STALL", "value": 37},
770 {"name": "CPC_PERF_SEL_GCRIU_STALL_WAIT_ON_FREE", "value": 38},
771 {"name": "CPC_PERF_SEL_ME1_STALL_WAIT_ON_TCIU_READ", "value": 39},
772 {"name": "CPC_PERF_SEL_ME2_STALL_WAIT_ON_TCIU_READ", "value": 40},
773 {"name": "CPC_PERF_SEL_CPC_UTCL2IU_XACK", "value": 41},
774 {"name": "CPC_PERF_SEL_CPC_UTCL2IU_XNACK", "value": 42},
775 {"name": "CPC_PERF_SEL_MEC_INSTR_CACHE_HIT", "value": 43},
776 {"name": "CPC_PERF_SEL_MEC_INSTR_CACHE_MISS", "value": 44},
777 {"name": "CPC_PERF_SEL_MES_THREAD0", "value": 45},
778 {"name": "CPC_PERF_SEL_MES_THREAD1", "value": 46}
779 ]
780 },
781 "CPF_LATENCY_STATS_SEL": {
782 "entries": [
783 {"name": "CPF_LATENCY_STATS_SEL_XACK_MAX", "value": 0},
784 {"name": "CPF_LATENCY_STATS_SEL_XACK_MIN", "value": 1},
785 {"name": "CPF_LATENCY_STATS_SEL_XACK_LAST", "value": 2},
786 {"name": "CPF_LATENCY_STATS_SEL_XNACK_MAX", "value": 3},
787 {"name": "CPF_LATENCY_STATS_SEL_XNACK_MIN", "value": 4},
788 {"name": "CPF_LATENCY_STATS_SEL_XNACK_LAST", "value": 5},
789 {"name": "CPF_LATENCY_STATS_SEL_READ_MAX", "value": 6},
790 {"name": "CPF_LATENCY_STATS_SEL_READ_MIN", "value": 7},
791 {"name": "CPF_LATENCY_STATS_SEL_READ_LAST", "value": 8},
792 {"name": "CPF_LATENCY_STATS_SEL_INVAL_MAX", "value": 9},
793 {"name": "CPF_LATENCY_STATS_SEL_INVAL_MIN", "value": 10},
794 {"name": "CPF_LATENCY_STATS_SEL_INVAL_LAST", "value": 11}
795 ]
796 },
797 "CPF_PERFCOUNTWINDOW_SEL": {
798 "entries": [
799 {"name": "CPF_PERFWINDOW_SEL_CSF", "value": 0},
800 {"name": "CPF_PERFWINDOW_SEL_HQD1", "value": 1},
801 {"name": "CPF_PERFWINDOW_SEL_HQD2", "value": 2},
802 {"name": "CPF_PERFWINDOW_SEL_RDMA", "value": 3},
803 {"name": "CPF_PERFWINDOW_SEL_RWPP", "value": 4}
804 ]
805 },
806 "CPF_PERFCOUNT_SEL": {
807 "entries": [
808 {"name": "CPF_PERF_SEL_ALWAYS_COUNT", "value": 0},
809 {"name": "CPF_PERF_SEL_MIU_STALLED_WAITING_RDREQ_FREE", "value": 1},
810 {"name": "CPF_PERF_SEL_TCIU_STALLED_WAITING_ON_FREE", "value": 2},
811 {"name": "CPF_PERF_SEL_TCIU_STALLED_WAITING_ON_TAGS", "value": 3},
812 {"name": "CPF_PERF_SEL_CSF_BUSY_FOR_FETCHING_RING", "value": 4},
813 {"name": "CPF_PERF_SEL_CSF_BUSY_FOR_FETCHING_IB1", "value": 5},
814 {"name": "CPF_PERF_SEL_CSF_BUSY_FOR_FETCHING_IB2", "value": 6},
815 {"name": "CPF_PERF_SEL_CSF_BUSY_FOR_FECTHINC_STATE", "value": 7},
816 {"name": "CPF_PERF_SEL_MIU_BUSY_FOR_OUTSTANDING_TAGS", "value": 8},
817 {"name": "CPF_PERF_SEL_CSF_RTS_MIU_NOT_RTR", "value": 9},
818 {"name": "CPF_PERF_SEL_CSF_STATE_FIFO_NOT_RTR", "value": 10},
819 {"name": "CPF_PERF_SEL_CSF_FETCHING_CMD_BUFFERS", "value": 11},
820 {"name": "CPF_PERF_SEL_GRBM_DWORDS_SENT", "value": 12},
821 {"name": "CPF_PERF_SEL_DYNAMIC_CLOCK_VALID", "value": 13},
822 {"name": "CPF_PERF_SEL_REGISTER_CLOCK_VALID", "value": 14},
823 {"name": "CPF_PERF_SEL_GUS_WRITE_REQUEST_SENT", "value": 15},
824 {"name": "CPF_PERF_SEL_GUS_READ_REQUEST_SENT", "value": 16},
825 {"name": "CPF_PERF_SEL_UTCL2IU_STALL_WAIT_ON_FREE", "value": 17},
826 {"name": "CPF_PERF_SEL_UTCL2IU_STALL_WAIT_ON_TAGS", "value": 18},
827 {"name": "CPF_PERF_SEL_GFX_UTCL1_STALL_ON_TRANSLATION", "value": 19},
828 {"name": "CPF_PERF_SEL_CMP_UTCL1_STALL_ON_TRANSLATION", "value": 20},
829 {"name": "CPF_PERF_SEL_RCIU_STALL_WAIT_ON_FREE", "value": 21},
830 {"name": "CPF_PERF_SEL_TCIU_WRITE_REQUEST_SENT", "value": 22},
831 {"name": "CPF_PERF_SEL_TCIU_READ_REQUEST_SENT", "value": 23},
832 {"name": "CPF_PERF_SEL_CPF_STAT_BUSY", "value": 24},
833 {"name": "CPF_PERF_SEL_CPF_STAT_IDLE", "value": 25},
834 {"name": "CPF_PERF_SEL_CPF_STAT_STALL", "value": 26},
835 {"name": "CPF_PERF_SEL_CPF_TCIU_BUSY", "value": 27},
836 {"name": "CPF_PERF_SEL_CPF_TCIU_IDLE", "value": 28},
837 {"name": "CPF_PERF_SEL_CPF_TCIU_STALL", "value": 29},
838 {"name": "CPF_PERF_SEL_CPF_UTCL2IU_BUSY", "value": 30},
839 {"name": "CPF_PERF_SEL_CPF_UTCL2IU_IDLE", "value": 31},
840 {"name": "CPF_PERF_SEL_CPF_UTCL2IU_STALL", "value": 32},
841 {"name": "CPF_PERF_SEL_CPF_GCRIU_BUSY", "value": 33},
842 {"name": "CPF_PERF_SEL_CPF_GCRIU_IDLE", "value": 34},
843 {"name": "CPF_PERF_SEL_CPF_GCRIU_STALL", "value": 35},
844 {"name": "CPF_PERF_SEL_GCRIU_STALL_WAIT_ON_FREE", "value": 36},
845 {"name": "CPF_PERF_SEL_CSF_BUSY_FOR_FETCHING_DB", "value": 37},
846 {"name": "CPF_PERF_SEL_CPF_UTCL2IU_XACK", "value": 38},
847 {"name": "CPF_PERF_SEL_CPF_UTCL2IU_XNACK", "value": 39}
848 ]
849 },
850 "CPG_LATENCY_STATS_SEL": {
851 "entries": [
852 {"name": "CPG_LATENCY_STATS_SEL_XACK_MAX", "value": 0},
853 {"name": "CPG_LATENCY_STATS_SEL_XACK_MIN", "value": 1},
854 {"name": "CPG_LATENCY_STATS_SEL_XACK_LAST", "value": 2},
855 {"name": "CPG_LATENCY_STATS_SEL_XNACK_MAX", "value": 3},
856 {"name": "CPG_LATENCY_STATS_SEL_XNACK_MIN", "value": 4},
857 {"name": "CPG_LATENCY_STATS_SEL_XNACK_LAST", "value": 5},
858 {"name": "CPG_LATENCY_STATS_SEL_WRITE_MAX", "value": 6},
859 {"name": "CPG_LATENCY_STATS_SEL_WRITE_MIN", "value": 7},
860 {"name": "CPG_LATENCY_STATS_SEL_WRITE_LAST", "value": 8},
861 {"name": "CPG_LATENCY_STATS_SEL_READ_MAX", "value": 9},
862 {"name": "CPG_LATENCY_STATS_SEL_READ_MIN", "value": 10},
863 {"name": "CPG_LATENCY_STATS_SEL_READ_LAST", "value": 11},
864 {"name": "CPG_LATENCY_STATS_SEL_ATOMIC_MAX", "value": 12},
865 {"name": "CPG_LATENCY_STATS_SEL_ATOMIC_MIN", "value": 13},
866 {"name": "CPG_LATENCY_STATS_SEL_ATOMIC_LAST", "value": 14},
867 {"name": "CPG_LATENCY_STATS_SEL_INVAL_MAX", "value": 15},
868 {"name": "CPG_LATENCY_STATS_SEL_INVAL_MIN", "value": 16},
869 {"name": "CPG_LATENCY_STATS_SEL_INVAL_LAST", "value": 17}
870 ]
871 },
872 "CPG_PERFCOUNTWINDOW_SEL": {
873 "entries": [
874 {"name": "CPG_PERFWINDOW_SEL_PFP", "value": 0},
875 {"name": "CPG_PERFWINDOW_SEL_ME", "value": 1},
876 {"name": "CPG_PERFWINDOW_SEL_CE", "value": 2},
877 {"name": "CPG_PERFWINDOW_SEL_MES", "value": 3},
878 {"name": "CPG_PERFWINDOW_SEL_MEC1", "value": 4},
879 {"name": "CPG_PERFWINDOW_SEL_MEC2", "value": 5},
880 {"name": "CPG_PERFWINDOW_SEL_DFY", "value": 6},
881 {"name": "CPG_PERFWINDOW_SEL_DMA", "value": 7},
882 {"name": "CPG_PERFWINDOW_SEL_SHADOW", "value": 8},
883 {"name": "CPG_PERFWINDOW_SEL_RB", "value": 9},
884 {"name": "CPG_PERFWINDOW_SEL_CEDMA", "value": 10},
885 {"name": "CPG_PERFWINDOW_SEL_PRT_HDR_RPTR", "value": 11},
886 {"name": "CPG_PERFWINDOW_SEL_PRT_SMP_RPTR", "value": 12},
887 {"name": "CPG_PERFWINDOW_SEL_PQ1", "value": 13},
888 {"name": "CPG_PERFWINDOW_SEL_PQ2", "value": 14},
889 {"name": "CPG_PERFWINDOW_SEL_PQ3", "value": 15},
890 {"name": "CPG_PERFWINDOW_SEL_MEMWR", "value": 16},
891 {"name": "CPG_PERFWINDOW_SEL_MEMRD", "value": 17},
892 {"name": "CPG_PERFWINDOW_SEL_VGT0", "value": 18},
893 {"name": "CPG_PERFWINDOW_SEL_VGT1", "value": 19},
894 {"name": "CPG_PERFWINDOW_SEL_APPEND", "value": 20},
895 {"name": "CPG_PERFWINDOW_SEL_QURD", "value": 21},
896 {"name": "CPG_PERFWINDOW_SEL_DDID", "value": 22},
897 {"name": "CPG_PERFWINDOW_SEL_SR", "value": 23},
898 {"name": "CPG_PERFWINDOW_SEL_QU_EOP", "value": 24},
899 {"name": "CPG_PERFWINDOW_SEL_QU_STRM", "value": 25},
900 {"name": "CPG_PERFWINDOW_SEL_QU_PIPE", "value": 26},
901 {"name": "CPG_PERFWINDOW_SEL_RESERVED1", "value": 27},
902 {"name": "CPG_PERFWINDOW_SEL_CPC_IC", "value": 28},
903 {"name": "CPG_PERFWINDOW_SEL_RESERVED2", "value": 29},
904 {"name": "CPG_PERFWINDOW_SEL_CPG_IC", "value": 30}
905 ]
906 },
907 "CPG_PERFCOUNT_SEL": {
908 "entries": [
909 {"name": "CPG_PERF_SEL_ALWAYS_COUNT", "value": 0},
910 {"name": "CPG_PERF_SEL_RBIU_FIFO_FULL", "value": 1},
911 {"name": "CPG_PERF_SEL_CSF_RTS_BUT_MIU_NOT_RTR", "value": 2},
912 {"name": "CPG_PERF_SEL_CSF_ST_BASE_SIZE_FIFO_FULL", "value": 3},
913 {"name": "CPG_PERF_SEL_CP_GRBM_DWORDS_SENT", "value": 4},
914 {"name": "CPG_PERF_SEL_ME_PARSER_BUSY", "value": 5},
915 {"name": "CPG_PERF_SEL_COUNT_TYPE0_PACKETS", "value": 6},
916 {"name": "CPG_PERF_SEL_COUNT_TYPE3_PACKETS", "value": 7},
917 {"name": "CPG_PERF_SEL_CSF_FETCHING_CMD_BUFFERS", "value": 8},
918 {"name": "CPG_PERF_SEL_CP_GRBM_OUT_OF_CREDITS", "value": 9},
919 {"name": "CPG_PERF_SEL_CP_PFP_GRBM_OUT_OF_CREDITS", "value": 10},
920 {"name": "CPG_PERF_SEL_CP_GDS_GRBM_OUT_OF_CREDITS", "value": 11},
921 {"name": "CPG_PERF_SEL_RCIU_STALLED_ON_ME_READ", "value": 12},
922 {"name": "CPG_PERF_SEL_RCIU_STALLED_ON_DMA_READ", "value": 13},
923 {"name": "CPG_PERF_SEL_SSU_STALLED_ON_ACTIVE_CNTX", "value": 14},
924 {"name": "CPG_PERF_SEL_SSU_STALLED_ON_CLEAN_SIGNALS", "value": 15},
925 {"name": "CPG_PERF_SEL_QU_STALLED_ON_EOP_DONE_PULSE", "value": 16},
926 {"name": "CPG_PERF_SEL_QU_STALLED_ON_EOP_DONE_WR_CONFIRM", "value": 17},
927 {"name": "CPG_PERF_SEL_PFP_STALLED_ON_CSF_READY", "value": 18},
928 {"name": "CPG_PERF_SEL_PFP_STALLED_ON_MEQ_READY", "value": 19},
929 {"name": "CPG_PERF_SEL_PFP_STALLED_ON_RCIU_READY", "value": 20},
930 {"name": "CPG_PERF_SEL_PFP_STALLED_FOR_DATA_FROM_ROQ", "value": 21},
931 {"name": "CPG_PERF_SEL_ME_STALLED_FOR_DATA_FROM_PFP", "value": 22},
932 {"name": "CPG_PERF_SEL_ME_STALLED_FOR_DATA_FROM_STQ", "value": 23},
933 {"name": "CPG_PERF_SEL_ME_STALLED_ON_NO_AVAIL_GFX_CNTX", "value": 24},
934 {"name": "CPG_PERF_SEL_ME_STALLED_WRITING_TO_RCIU", "value": 25},
935 {"name": "CPG_PERF_SEL_ME_STALLED_WRITING_CONSTANTS", "value": 26},
936 {"name": "CPG_PERF_SEL_ME_STALLED_ON_PARTIAL_FLUSH", "value": 27},
937 {"name": "CPG_PERF_SEL_ME_WAIT_ON_CE_COUNTER", "value": 28},
938 {"name": "CPG_PERF_SEL_ME_WAIT_ON_AVAIL_BUFFER", "value": 29},
939 {"name": "CPG_PERF_SEL_SEMAPHORE_BUSY_POLLING_FOR_PASS", "value": 30},
940 {"name": "CPG_PERF_SEL_LOAD_STALLED_ON_SET_COHERENCY", "value": 31},
941 {"name": "CPG_PERF_SEL_DYNAMIC_CLK_VALID", "value": 32},
942 {"name": "CPG_PERF_SEL_REGISTER_CLK_VALID", "value": 33},
943 {"name": "CPG_PERF_SEL_GUS_WRITE_REQUEST_SENT", "value": 34},
944 {"name": "CPG_PERF_SEL_GUS_READ_REQUEST_SENT", "value": 35},
945 {"name": "CPG_PERF_SEL_CE_STALL_RAM_DUMP", "value": 36},
946 {"name": "CPG_PERF_SEL_CE_STALL_RAM_WRITE", "value": 37},
947 {"name": "CPG_PERF_SEL_CE_STALL_ON_INC_FIFO", "value": 38},
948 {"name": "CPG_PERF_SEL_CE_STALL_ON_WR_RAM_FIFO", "value": 39},
949 {"name": "CPG_PERF_SEL_CE_STALL_ON_DATA_FROM_MIU", "value": 40},
950 {"name": "CPG_PERF_SEL_CE_STALL_ON_DATA_FROM_ROQ", "value": 41},
951 {"name": "CPG_PERF_SEL_CE_STALL_ON_CE_BUFFER_FLAG", "value": 42},
952 {"name": "CPG_PERF_SEL_CE_STALL_ON_DE_COUNTER", "value": 43},
953 {"name": "CPG_PERF_SEL_TCIU_STALL_WAIT_ON_FREE", "value": 44},
954 {"name": "CPG_PERF_SEL_TCIU_STALL_WAIT_ON_TAGS", "value": 45},
955 {"name": "CPG_PERF_SEL_UTCL2IU_STALL_WAIT_ON_FREE", "value": 46},
956 {"name": "CPG_PERF_SEL_UTCL2IU_STALL_WAIT_ON_TAGS", "value": 47},
957 {"name": "CPG_PERF_SEL_UTCL1_STALL_ON_TRANSLATION", "value": 48},
958 {"name": "CPG_PERF_SEL_TCIU_WRITE_REQUEST_SENT", "value": 49},
959 {"name": "CPG_PERF_SEL_TCIU_READ_REQUEST_SENT", "value": 50},
960 {"name": "CPG_PERF_SEL_CPG_STAT_BUSY", "value": 51},
961 {"name": "CPG_PERF_SEL_CPG_STAT_IDLE", "value": 52},
962 {"name": "CPG_PERF_SEL_CPG_STAT_STALL", "value": 53},
963 {"name": "CPG_PERF_SEL_CPG_TCIU_BUSY", "value": 54},
964 {"name": "CPG_PERF_SEL_CPG_TCIU_IDLE", "value": 55},
965 {"name": "CPG_PERF_SEL_CPG_TCIU_STALL", "value": 56},
966 {"name": "CPG_PERF_SEL_CPG_UTCL2IU_BUSY", "value": 57},
967 {"name": "CPG_PERF_SEL_CPG_UTCL2IU_IDLE", "value": 58},
968 {"name": "CPG_PERF_SEL_CPG_UTCL2IU_STALL", "value": 59},
969 {"name": "CPG_PERF_SEL_CPG_GCRIU_BUSY", "value": 60},
970 {"name": "CPG_PERF_SEL_CPG_GCRIU_IDLE", "value": 61},
971 {"name": "CPG_PERF_SEL_CPG_GCRIU_STALL", "value": 62},
972 {"name": "CPG_PERF_SEL_GCRIU_STALL_WAIT_ON_FREE", "value": 63},
973 {"name": "CPG_PERF_SEL_ALL_GFX_PIPES_BUSY", "value": 64},
974 {"name": "CPG_PERF_SEL_CPG_UTCL2IU_XACK", "value": 65},
975 {"name": "CPG_PERF_SEL_CPG_UTCL2IU_XNACK", "value": 66},
976 {"name": "CPG_PERF_SEL_PFP_STALLED_ON_MEQ_DDID_READY", "value": 67},
977 {"name": "CPG_PERF_SEL_PFP_INSTR_CACHE_HIT", "value": 68},
978 {"name": "CPG_PERF_SEL_PFP_INSTR_CACHE_MISS", "value": 69},
979 {"name": "CPG_PERF_SEL_CE_INSTR_CACHE_HIT", "value": 70},
980 {"name": "CPG_PERF_SEL_CE_INSTR_CACHE_MISS", "value": 71},
981 {"name": "CPG_PERF_SEL_ME_INSTR_CACHE_HIT", "value": 72},
982 {"name": "CPG_PERF_SEL_ME_INSTR_CACHE_MISS", "value": 73},
983 {"name": "CPG_PERF_SEL_PFP_PACKET_FILTER_HIT_IB1", "value": 74},
984 {"name": "CPG_PERF_SEL_PFP_PACKET_FILTER_MISS_IB1", "value": 75},
985 {"name": "CPG_PERF_SEL_PFP_PACKET_FILTER_HIT_IB2", "value": 76},
986 {"name": "CPG_PERF_SEL_PFP_PACKET_FILTER_MISS_IB2", "value": 77},
987 {"name": "CPG_PERF_SEL_DMA_BUSY", "value": 78},
988 {"name": "CPG_PERF_SEL_DMA_STARVED", "value": 79},
989 {"name": "CPG_PERF_SEL_DMA_STALLED", "value": 80},
990 {"name": "CPG_PERF_SEL_DMA_FETCHER_STALLED_ON_ROQ_FULL", "value": 81}
991 ]
992 },
993 "CP_PERFMON_ENABLE_MODE": {
994 "entries": [
995 {"name": "CP_PERFMON_ENABLE_MODE_ALWAYS_COUNT", "value": 0},
996 {"name": "CP_PERFMON_ENABLE_MODE_RESERVED_1", "value": 1},
997 {"name": "CP_PERFMON_ENABLE_MODE_COUNT_CONTEXT_TRUE", "value": 2},
998 {"name": "CP_PERFMON_ENABLE_MODE_COUNT_CONTEXT_FALSE", "value": 3}
999 ]
1000 },
1001 "CP_PERFMON_STATE": {
1002 "entries": [
1003 {"name": "CP_PERFMON_STATE_DISABLE_AND_RESET", "value": 0},
1004 {"name": "CP_PERFMON_STATE_START_COUNTING", "value": 1},
1005 {"name": "CP_PERFMON_STATE_STOP_COUNTING", "value": 2},
1006 {"name": "CP_PERFMON_STATE_RESERVED_3", "value": 3},
1007 {"name": "CP_PERFMON_STATE_DISABLE_AND_RESET_PHANTOM", "value": 4},
1008 {"name": "CP_PERFMON_STATE_COUNT_AND_DUMP_PHANTOM", "value": 5}
1009 ]
1010 },
1011 "CmaskAddr": {
1012 "entries": [
1013 {"name": "CMASK_ADDR_TILED", "value": 0},
1014 {"name": "CMASK_ADDR_LINEAR", "value": 1},
1015 {"name": "CMASK_ADDR_COMPATIBLE", "value": 2}
1016 ]
1017 },
1018 "CombFunc": {
1019 "entries": [
1020 {"name": "COMB_DST_PLUS_SRC", "value": 0},
1021 {"name": "COMB_SRC_MINUS_DST", "value": 1},
1022 {"name": "COMB_MIN_DST_SRC", "value": 2},
1023 {"name": "COMB_MAX_DST_SRC", "value": 3},
1024 {"name": "COMB_DST_MINUS_SRC", "value": 4}
1025 ]
1026 },
1027 "CompareFrag": {
1028 "entries": [
1029 {"name": "FRAG_NEVER", "value": 0},
1030 {"name": "FRAG_LESS", "value": 1},
1031 {"name": "FRAG_EQUAL", "value": 2},
1032 {"name": "FRAG_LEQUAL", "value": 3},
1033 {"name": "FRAG_GREATER", "value": 4},
1034 {"name": "FRAG_NOTEQUAL", "value": 5},
1035 {"name": "FRAG_GEQUAL", "value": 6},
1036 {"name": "FRAG_ALWAYS", "value": 7}
1037 ]
1038 },
1039 "ConservativeZExport": {
1040 "entries": [
1041 {"name": "EXPORT_ANY_Z", "value": 0},
1042 {"name": "EXPORT_LESS_THAN_Z", "value": 1},
1043 {"name": "EXPORT_GREATER_THAN_Z", "value": 2},
1044 {"name": "EXPORT_RESERVED", "value": 3}
1045 ]
1046 },
1047 "CovToShaderSel": {
1048 "entries": [
1049 {"name": "INPUT_COVERAGE", "value": 0},
1050 {"name": "INPUT_INNER_COVERAGE", "value": 1},
1051 {"name": "INPUT_DEPTH_COVERAGE", "value": 2},
1052 {"name": "RAW", "value": 3}
1053 ]
1054 },
1055 "DbPRTFaultBehavior": {
1056 "entries": [
1057 {"name": "FAULT_ZERO", "value": 0},
1058 {"name": "FAULT_ONE", "value": 1},
1059 {"name": "FAULT_FAIL", "value": 2},
1060 {"name": "FAULT_PASS", "value": 3}
1061 ]
1062 },
1063 "DbPSLControl": {
1064 "entries": [
1065 {"name": "PSLC_AUTO", "value": 0},
1066 {"name": "PSLC_ON_HANG_ONLY", "value": 1},
1067 {"name": "PSLC_ASAP", "value": 2},
1068 {"name": "PSLC_COUNTDOWN", "value": 3}
1069 ]
1070 },
1071 "ForceControl": {
1072 "entries": [
1073 {"name": "FORCE_OFF", "value": 0},
1074 {"name": "FORCE_ENABLE", "value": 1},
1075 {"name": "FORCE_DISABLE", "value": 2},
1076 {"name": "FORCE_RESERVED", "value": 3}
1077 ]
1078 },
1079 "GCRPerfSel": {
1080 "entries": [
1081 {"name": "GCR_PERF_SEL_NONE", "value": 0},
1082 {"name": "GCR_PERF_SEL_SDMA0_ALL_REQ", "value": 1},
1083 {"name": "GCR_PERF_SEL_SDMA0_GL2_RANGE_REQ", "value": 2},
1084 {"name": "GCR_PERF_SEL_SDMA0_GL2_RANGE_LT16K_REQ", "value": 3},
1085 {"name": "GCR_PERF_SEL_SDMA0_GL2_RANGE_16K_REQ", "value": 4},
1086 {"name": "GCR_PERF_SEL_SDMA0_GL2_RANGE_GT16K_REQ", "value": 5},
1087 {"name": "GCR_PERF_SEL_SDMA0_GL2_ALL_REQ", "value": 6},
1088 {"name": "GCR_PERF_SEL_SDMA0_GL1_RANGE_REQ", "value": 7},
1089 {"name": "GCR_PERF_SEL_SDMA0_GL1_RANGE_LT16K_REQ", "value": 8},
1090 {"name": "GCR_PERF_SEL_SDMA0_GL1_RANGE_16K_REQ", "value": 9},
1091 {"name": "GCR_PERF_SEL_SDMA0_GL1_RANGE_GT16K_REQ", "value": 10},
1092 {"name": "GCR_PERF_SEL_SDMA0_GL1_ALL_REQ", "value": 11},
1093 {"name": "GCR_PERF_SEL_SDMA0_METADATA_REQ", "value": 12},
1094 {"name": "GCR_PERF_SEL_SDMA0_SQC_DATA_REQ", "value": 13},
1095 {"name": "GCR_PERF_SEL_SDMA0_SQC_INST_REQ", "value": 14},
1096 {"name": "GCR_PERF_SEL_SDMA0_TCP_REQ", "value": 15},
1097 {"name": "GCR_PERF_SEL_SDMA0_TCP_TLB_SHOOTDOWN_REQ", "value": 16},
1098 {"name": "GCR_PERF_SEL_SDMA1_ALL_REQ", "value": 17},
1099 {"name": "GCR_PERF_SEL_SDMA1_GL2_RANGE_REQ", "value": 18},
1100 {"name": "GCR_PERF_SEL_SDMA1_GL2_RANGE_LT16K_REQ", "value": 19},
1101 {"name": "GCR_PERF_SEL_SDMA1_GL2_RANGE_16K_REQ", "value": 20},
1102 {"name": "GCR_PERF_SEL_SDMA1_GL2_RANGE_GT16K_REQ", "value": 21},
1103 {"name": "GCR_PERF_SEL_SDMA1_GL2_ALL_REQ", "value": 22},
1104 {"name": "GCR_PERF_SEL_SDMA1_GL1_RANGE_REQ", "value": 23},
1105 {"name": "GCR_PERF_SEL_SDMA1_GL1_RANGE_LT16K_REQ", "value": 24},
1106 {"name": "GCR_PERF_SEL_SDMA1_GL1_RANGE_16K_REQ", "value": 25},
1107 {"name": "GCR_PERF_SEL_SDMA1_GL1_RANGE_GT16K_REQ", "value": 26},
1108 {"name": "GCR_PERF_SEL_SDMA1_GL1_ALL_REQ", "value": 27},
1109 {"name": "GCR_PERF_SEL_SDMA1_METADATA_REQ", "value": 28},
1110 {"name": "GCR_PERF_SEL_SDMA1_SQC_DATA_REQ", "value": 29},
1111 {"name": "GCR_PERF_SEL_SDMA1_SQC_INST_REQ", "value": 30},
1112 {"name": "GCR_PERF_SEL_SDMA1_TCP_REQ", "value": 31},
1113 {"name": "GCR_PERF_SEL_SDMA1_TCP_TLB_SHOOTDOWN_REQ", "value": 32},
1114 {"name": "GCR_PERF_SEL_CPG_ALL_REQ", "value": 33},
1115 {"name": "GCR_PERF_SEL_CPG_GL2_RANGE_REQ", "value": 34},
1116 {"name": "GCR_PERF_SEL_CPG_GL2_RANGE_LT16K_REQ", "value": 35},
1117 {"name": "GCR_PERF_SEL_CPG_GL2_RANGE_16K_REQ", "value": 36},
1118 {"name": "GCR_PERF_SEL_CPG_GL2_RANGE_GT16K_REQ", "value": 37},
1119 {"name": "GCR_PERF_SEL_CPG_GL2_ALL_REQ", "value": 38},
1120 {"name": "GCR_PERF_SEL_CPG_GL1_RANGE_REQ", "value": 39},
1121 {"name": "GCR_PERF_SEL_CPG_GL1_RANGE_LT16K_REQ", "value": 40},
1122 {"name": "GCR_PERF_SEL_CPG_GL1_RANGE_16K_REQ", "value": 41},
1123 {"name": "GCR_PERF_SEL_CPG_GL1_RANGE_GT16K_REQ", "value": 42},
1124 {"name": "GCR_PERF_SEL_CPG_GL1_ALL_REQ", "value": 43},
1125 {"name": "GCR_PERF_SEL_CPG_METADATA_REQ", "value": 44},
1126 {"name": "GCR_PERF_SEL_CPG_SQC_DATA_REQ", "value": 45},
1127 {"name": "GCR_PERF_SEL_CPG_SQC_INST_REQ", "value": 46},
1128 {"name": "GCR_PERF_SEL_CPG_TCP_REQ", "value": 47},
1129 {"name": "GCR_PERF_SEL_CPG_TCP_TLB_SHOOTDOWN_REQ", "value": 48},
1130 {"name": "GCR_PERF_SEL_CPC_ALL_REQ", "value": 49},
1131 {"name": "GCR_PERF_SEL_CPC_GL2_RANGE_REQ", "value": 50},
1132 {"name": "GCR_PERF_SEL_CPC_GL2_RANGE_LT16K_REQ", "value": 51},
1133 {"name": "GCR_PERF_SEL_CPC_GL2_RANGE_16K_REQ", "value": 52},
1134 {"name": "GCR_PERF_SEL_CPC_GL2_RANGE_GT16K_REQ", "value": 53},
1135 {"name": "GCR_PERF_SEL_CPC_GL2_ALL_REQ", "value": 54},
1136 {"name": "GCR_PERF_SEL_CPC_GL1_RANGE_REQ", "value": 55},
1137 {"name": "GCR_PERF_SEL_CPC_GL1_RANGE_LT16K_REQ", "value": 56},
1138 {"name": "GCR_PERF_SEL_CPC_GL1_RANGE_16K_REQ", "value": 57},
1139 {"name": "GCR_PERF_SEL_CPC_GL1_RANGE_GT16K_REQ", "value": 58},
1140 {"name": "GCR_PERF_SEL_CPC_GL1_ALL_REQ", "value": 59},
1141 {"name": "GCR_PERF_SEL_CPC_METADATA_REQ", "value": 60},
1142 {"name": "GCR_PERF_SEL_CPC_SQC_DATA_REQ", "value": 61},
1143 {"name": "GCR_PERF_SEL_CPC_SQC_INST_REQ", "value": 62},
1144 {"name": "GCR_PERF_SEL_CPC_TCP_REQ", "value": 63},
1145 {"name": "GCR_PERF_SEL_CPC_TCP_TLB_SHOOTDOWN_REQ", "value": 64},
1146 {"name": "GCR_PERF_SEL_CPF_ALL_REQ", "value": 65},
1147 {"name": "GCR_PERF_SEL_CPF_GL2_RANGE_REQ", "value": 66},
1148 {"name": "GCR_PERF_SEL_CPF_GL2_RANGE_LT16K_REQ", "value": 67},
1149 {"name": "GCR_PERF_SEL_CPF_GL2_RANGE_16K_REQ", "value": 68},
1150 {"name": "GCR_PERF_SEL_CPF_GL2_RANGE_GT16K_REQ", "value": 69},
1151 {"name": "GCR_PERF_SEL_CPF_GL2_ALL_REQ", "value": 70},
1152 {"name": "GCR_PERF_SEL_CPF_GL1_RANGE_REQ", "value": 71},
1153 {"name": "GCR_PERF_SEL_CPF_GL1_RANGE_LT16K_REQ", "value": 72},
1154 {"name": "GCR_PERF_SEL_CPF_GL1_RANGE_16K_REQ", "value": 73},
1155 {"name": "GCR_PERF_SEL_CPF_GL1_RANGE_GT16K_REQ", "value": 74},
1156 {"name": "GCR_PERF_SEL_CPF_GL1_ALL_REQ", "value": 75},
1157 {"name": "GCR_PERF_SEL_CPF_METADATA_REQ", "value": 76},
1158 {"name": "GCR_PERF_SEL_CPF_SQC_DATA_REQ", "value": 77},
1159 {"name": "GCR_PERF_SEL_CPF_SQC_INST_REQ", "value": 78},
1160 {"name": "GCR_PERF_SEL_CPF_TCP_REQ", "value": 79},
1161 {"name": "GCR_PERF_SEL_CPF_TCP_TLB_SHOOTDOWN_REQ", "value": 80},
1162 {"name": "GCR_PERF_SEL_VIRT_REQ", "value": 81},
1163 {"name": "GCR_PERF_SEL_PHY_REQ", "value": 82},
1164 {"name": "GCR_PERF_SEL_TLB_SHOOTDOWN_HEAVY_REQ", "value": 83},
1165 {"name": "GCR_PERF_SEL_TLB_SHOOTDOWN_LIGHT_REQ", "value": 84},
1166 {"name": "GCR_PERF_SEL_ALL_REQ", "value": 85},
1167 {"name": "GCR_PERF_SEL_CLK_FOR_PHY_OUTSTANDING_REQ", "value": 86},
1168 {"name": "GCR_PERF_SEL_CLK_FOR_VIRT_OUTSTANDING_REQ", "value": 87},
1169 {"name": "GCR_PERF_SEL_CLK_FOR_ALL_OUTSTANDING_REQ", "value": 88},
1170 {"name": "GCR_PERF_SEL_UTCL2_REQ", "value": 89},
1171 {"name": "GCR_PERF_SEL_UTCL2_RET", "value": 90},
1172 {"name": "GCR_PERF_SEL_UTCL2_OUT_OF_CREDIT_EVENT", "value": 91},
1173 {"name": "GCR_PERF_SEL_UTCL2_INFLIGHT_REQ", "value": 92},
1174 {"name": "GCR_PERF_SEL_UTCL2_FILTERED_RET", "value": 93}
1175 ]
1176 },
1177 "GE_PERFCOUNT_SELECT": {
1178 "entries": [
1179 {"name": "ge_assembler_busy", "value": 0},
1180 {"name": "ge_assembler_stalled", "value": 1},
1181 {"name": "ge_cm_reading_stalled", "value": 2},
1182 {"name": "ge_cm_stalled_by_gog", "value": 3},
1183 {"name": "ge_cm_stalled_by_gsfetch_done", "value": 4},
1184 {"name": "ge_dma_busy", "value": 5},
1185 {"name": "ge_dma_lat_bin_0", "value": 6},
1186 {"name": "ge_dma_lat_bin_1", "value": 7},
1187 {"name": "ge_dma_lat_bin_2", "value": 8},
1188 {"name": "ge_dma_lat_bin_3", "value": 9},
1189 {"name": "ge_dma_lat_bin_4", "value": 10},
1190 {"name": "ge_dma_lat_bin_5", "value": 11},
1191 {"name": "ge_dma_lat_bin_6", "value": 12},
1192 {"name": "ge_dma_lat_bin_7", "value": 13},
1193 {"name": "ge_dma_return_cl0", "value": 14},
1194 {"name": "ge_dma_return_cl1", "value": 15},
1195 {"name": "ge_dma_utcl1_consecutive_retry_event", "value": 16},
1196 {"name": "ge_dma_utcl1_request_event", "value": 17},
1197 {"name": "ge_dma_utcl1_retry_event", "value": 18},
1198 {"name": "ge_dma_utcl1_stall_event", "value": 19},
1199 {"name": "ge_dma_utcl1_stall_utcl2_event", "value": 20},
1200 {"name": "ge_dma_utcl1_translation_hit_event", "value": 21},
1201 {"name": "ge_dma_utcl1_translation_miss_event", "value": 22},
1202 {"name": "ge_dma_utcl2_stall_on_trans", "value": 23},
1203 {"name": "ge_dma_utcl2_trans_ack", "value": 24},
1204 {"name": "ge_dma_utcl2_trans_xnack", "value": 25},
1205 {"name": "ge_ds_cache_hits", "value": 26},
1206 {"name": "ge_ds_prims", "value": 27},
1207 {"name": "ge_es_done", "value": 28},
1208 {"name": "ge_es_done_latency", "value": 29},
1209 {"name": "ge_es_flush", "value": 30},
1210 {"name": "ge_es_ring_high_water_mark", "value": 31},
1211 {"name": "ge_es_thread_groups", "value": 32},
1212 {"name": "ge_esthread_stalled_es_rb_full", "value": 33},
1213 {"name": "ge_esthread_stalled_spi_bp", "value": 34},
1214 {"name": "ge_esvert_stalled_es_tbl", "value": 35},
1215 {"name": "ge_esvert_stalled_gs_event", "value": 36},
1216 {"name": "ge_esvert_stalled_gs_tbl", "value": 37},
1217 {"name": "ge_esvert_stalled_gsprim", "value": 38},
1218 {"name": "ge_assembler_dma_starved", "value": 39},
1219 {"name": "ge_gog_busy", "value": 40},
1220 {"name": "ge_gog_out_indx_stalled", "value": 41},
1221 {"name": "ge_gog_out_prim_stalled", "value": 42},
1222 {"name": "ge_gog_vs_tbl_stalled", "value": 43},
1223 {"name": "ge_gs_cache_hits", "value": 44},
1224 {"name": "ge_gs_counters_avail_stalled", "value": 45},
1225 {"name": "ge_gs_done", "value": 46},
1226 {"name": "ge_gs_done_latency", "value": 47},
1227 {"name": "ge_gs_event_stall", "value": 48},
1228 {"name": "ge_gs_issue_rtr_stalled", "value": 49},
1229 {"name": "ge_gs_rb_space_avail_stalled", "value": 50},
1230 {"name": "ge_gs_ring_high_water_mark", "value": 51},
1231 {"name": "ge_gsprim_stalled_es_tbl", "value": 52},
1232 {"name": "ge_gsprim_stalled_esvert", "value": 53},
1233 {"name": "ge_gsprim_stalled_gs_event", "value": 54},
1234 {"name": "ge_gsprim_stalled_gs_tbl", "value": 55},
1235 {"name": "ge_gsthread_stalled", "value": 56},
1236 {"name": "ge_hs_done", "value": 57},
1237 {"name": "ge_hs_done_latency", "value": 58},
1238 {"name": "ge_hs_done_se0", "value": 59},
1239 {"name": "ge_hs_done_se1", "value": 60},
1240 {"name": "ge_hs_done_se2_reserved", "value": 61},
1241 {"name": "ge_hs_done_se3_reserved", "value": 62},
1242 {"name": "ge_hs_tfm_stall", "value": 63},
1243 {"name": "ge_hs_tgs_active_high_water_mark", "value": 64},
1244 {"name": "ge_hs_thread_groups", "value": 65},
1245 {"name": "ge_inside_tf_bin_0", "value": 66},
1246 {"name": "ge_inside_tf_bin_1", "value": 67},
1247 {"name": "ge_inside_tf_bin_2", "value": 68},
1248 {"name": "ge_inside_tf_bin_3", "value": 69},
1249 {"name": "ge_inside_tf_bin_4", "value": 70},
1250 {"name": "ge_inside_tf_bin_5", "value": 71},
1251 {"name": "ge_inside_tf_bin_6", "value": 72},
1252 {"name": "ge_inside_tf_bin_7", "value": 73},
1253 {"name": "ge_inside_tf_bin_8", "value": 74},
1254 {"name": "ge_ls_done", "value": 75},
1255 {"name": "ge_ls_done_latency", "value": 76},
1256 {"name": "ge_null_patch", "value": 77},
1257 {"name": "ge_se0pa0_clipp_eop", "value": 78},
1258 {"name": "ge_se0pa0_clipp_eopg", "value": 79},
1259 {"name": "ge_se0pa0_clipp_is_event", "value": 80},
1260 {"name": "ge_se0pa0_clipp_new_vtx_vect", "value": 81},
1261 {"name": "ge_se0pa0_clipp_null_prim", "value": 82},
1262 {"name": "ge_se0pa0_clipp_send", "value": 83},
1263 {"name": "ge_se0pa0_clipp_send_not_event", "value": 84},
1264 {"name": "ge_se0pa0_clipp_stalled", "value": 85},
1265 {"name": "ge_se0pa0_clipp_starved_busy", "value": 86},
1266 {"name": "ge_se0pa0_clipp_starved_idle", "value": 87},
1267 {"name": "ge_se0pa0_clipp_starved_after_work", "value": 88},
1268 {"name": "ge_se0pa0_clipp_valid_prim", "value": 89},
1269 {"name": "ge_se0pa0_clips_send", "value": 90},
1270 {"name": "ge_se0pa0_clips_stalled", "value": 91},
1271 {"name": "ge_se0pa0_clipv_send", "value": 92},
1272 {"name": "ge_se0pa0_clipv_stalled", "value": 93},
1273 {"name": "ge_se0pa1_clipp_eop", "value": 94},
1274 {"name": "ge_se0pa1_clipp_eopg", "value": 95},
1275 {"name": "ge_se0pa1_clipp_is_event", "value": 96},
1276 {"name": "ge_se0pa1_clipp_new_vtx_vect", "value": 97},
1277 {"name": "ge_se0pa1_clipp_null_prim", "value": 98},
1278 {"name": "ge_se0pa1_clipp_send", "value": 99},
1279 {"name": "ge_se0pa1_clipp_send_not_event", "value": 100},
1280 {"name": "ge_se0pa1_clipp_stalled", "value": 101},
1281 {"name": "ge_se0pa1_clipp_starved_busy", "value": 102},
1282 {"name": "ge_se0pa1_clipp_starved_idle", "value": 103},
1283 {"name": "ge_se0pa1_clipp_starved_after_work", "value": 104},
1284 {"name": "ge_se0pa1_clipp_valid_prim", "value": 105},
1285 {"name": "ge_se0pa1_clips_send", "value": 106},
1286 {"name": "ge_se0pa1_clips_stalled", "value": 107},
1287 {"name": "ge_se0pa1_clipv_send", "value": 108},
1288 {"name": "ge_se0pa1_clipv_stalled", "value": 109},
1289 {"name": "ge_se1pa0_clipp_eop", "value": 110},
1290 {"name": "ge_se1pa0_clipp_eopg", "value": 111},
1291 {"name": "ge_se1pa0_clipp_is_event", "value": 112},
1292 {"name": "ge_se1pa0_clipp_new_vtx_vect", "value": 113},
1293 {"name": "ge_se1pa0_clipp_null_prim", "value": 114},
1294 {"name": "ge_se1pa0_clipp_send", "value": 115},
1295 {"name": "ge_se1pa0_clipp_send_not_event", "value": 116},
1296 {"name": "ge_se1pa0_clipp_stalled", "value": 117},
1297 {"name": "ge_se1pa0_clipp_starved_busy", "value": 118},
1298 {"name": "ge_se1pa0_clipp_starved_idle", "value": 119},
1299 {"name": "ge_se1pa0_clipp_starved_after_work", "value": 120},
1300 {"name": "ge_se1pa0_clipp_valid_prim", "value": 121},
1301 {"name": "ge_se1pa0_clips_send", "value": 122},
1302 {"name": "ge_se1pa0_clips_stalled", "value": 123},
1303 {"name": "ge_se1pa0_clipv_send", "value": 124},
1304 {"name": "ge_se1pa0_clipv_stalled", "value": 125},
1305 {"name": "ge_se1pa1_clipp_eop", "value": 126},
1306 {"name": "ge_se1pa1_clipp_eopg", "value": 127},
1307 {"name": "ge_se1pa1_clipp_is_event", "value": 128},
1308 {"name": "ge_se1pa1_clipp_new_vtx_vect", "value": 129},
1309 {"name": "ge_se1pa1_clipp_null_prim", "value": 130},
1310 {"name": "ge_se1pa1_clipp_send", "value": 131},
1311 {"name": "ge_se1pa1_clipp_send_not_event", "value": 132},
1312 {"name": "ge_se1pa1_clipp_stalled", "value": 133},
1313 {"name": "ge_se1pa1_clipp_starved_busy", "value": 134},
1314 {"name": "ge_se1pa1_clipp_starved_idle", "value": 135},
1315 {"name": "ge_se1pa1_clipp_starved_after_work", "value": 136},
1316 {"name": "ge_se1pa1_clipp_valid_prim", "value": 137},
1317 {"name": "ge_se1pa1_clips_send", "value": 138},
1318 {"name": "ge_se1pa1_clips_stalled", "value": 139},
1319 {"name": "ge_se1pa1_clipv_send", "value": 140},
1320 {"name": "ge_se1pa1_clipv_stalled", "value": 141},
1321 {"name": "ge_se2pa0_clipp_eop", "value": 142},
1322 {"name": "ge_se2pa0_clipp_eopg", "value": 143},
1323 {"name": "ge_se2pa0_clipp_is_event", "value": 144},
1324 {"name": "ge_se2pa0_clipp_new_vtx_vect", "value": 145},
1325 {"name": "ge_se2pa0_clipp_null_prim", "value": 146},
1326 {"name": "ge_se2pa0_clipp_send", "value": 147},
1327 {"name": "ge_se2pa0_clipp_send_not_event", "value": 148},
1328 {"name": "ge_se2pa0_clipp_stalled", "value": 149},
1329 {"name": "ge_se2pa0_clipp_starved_busy", "value": 150},
1330 {"name": "ge_se2pa0_clipp_starved_idle", "value": 151},
1331 {"name": "ge_se2pa0_clipp_starved_after_work", "value": 152},
1332 {"name": "ge_se2pa0_clipp_valid_prim", "value": 153},
1333 {"name": "ge_se2pa0_clips_send", "value": 154},
1334 {"name": "ge_se2pa0_clips_stalled", "value": 155},
1335 {"name": "ge_se2pa0_clipv_send", "value": 156},
1336 {"name": "ge_se2pa0_clipv_stalled", "value": 157},
1337 {"name": "ge_se2pa1_clipp_eop", "value": 158},
1338 {"name": "ge_se2pa1_clipp_eopg", "value": 159},
1339 {"name": "ge_se2pa1_clipp_is_event", "value": 160},
1340 {"name": "ge_se2pa1_clipp_new_vtx_vect", "value": 161},
1341 {"name": "ge_se2pa1_clipp_null_prim", "value": 162},
1342 {"name": "ge_se2pa1_clipp_send", "value": 163},
1343 {"name": "ge_se2pa1_clipp_send_not_event", "value": 164},
1344 {"name": "ge_se2pa1_clipp_stalled", "value": 165},
1345 {"name": "ge_se2pa1_clipp_starved_busy", "value": 166},
1346 {"name": "ge_se2pa1_clipp_starved_idle", "value": 167},
1347 {"name": "ge_se2pa1_clipp_starved_after_work", "value": 168},
1348 {"name": "ge_se2pa1_clipp_valid_prim", "value": 169},
1349 {"name": "ge_se2pa1_clips_send", "value": 170},
1350 {"name": "ge_se2pa1_clips_stalled", "value": 171},
1351 {"name": "ge_se2pa1_clipv_send", "value": 172},
1352 {"name": "ge_se2pa1_clipv_stalled", "value": 173},
1353 {"name": "ge_se3pa0_clipp_eop", "value": 174},
1354 {"name": "ge_se3pa0_clipp_eopg", "value": 175},
1355 {"name": "ge_se3pa0_clipp_is_event", "value": 176},
1356 {"name": "ge_se3pa0_clipp_new_vtx_vect", "value": 177},
1357 {"name": "ge_se3pa0_clipp_null_prim", "value": 178},
1358 {"name": "ge_se3pa0_clipp_send", "value": 179},
1359 {"name": "ge_se3pa0_clipp_send_not_event", "value": 180},
1360 {"name": "ge_se3pa0_clipp_stalled", "value": 181},
1361 {"name": "ge_se3pa0_clipp_starved_busy", "value": 182},
1362 {"name": "ge_se3pa0_clipp_starved_idle", "value": 183},
1363 {"name": "ge_se3pa0_clipp_starved_after_work", "value": 184},
1364 {"name": "ge_se3pa0_clipp_valid_prim", "value": 185},
1365 {"name": "ge_se3pa0_clips_send", "value": 186},
1366 {"name": "ge_se3pa0_clips_stalled", "value": 187},
1367 {"name": "ge_se3pa0_clipv_send", "value": 188},
1368 {"name": "ge_se3pa0_clipv_stalled", "value": 189},
1369 {"name": "ge_se3pa1_clipp_eop", "value": 190},
1370 {"name": "ge_se3pa1_clipp_eopg", "value": 191},
1371 {"name": "ge_se3pa1_clipp_is_event", "value": 192},
1372 {"name": "ge_se3pa1_clipp_new_vtx_vect", "value": 193},
1373 {"name": "ge_se3pa1_clipp_null_prim", "value": 194},
1374 {"name": "ge_se3pa1_clipp_send", "value": 195},
1375 {"name": "ge_se3pa1_clipp_send_not_event", "value": 196},
1376 {"name": "ge_se3pa1_clipp_stalled", "value": 197},
1377 {"name": "ge_se3pa1_clipp_starved_busy", "value": 198},
1378 {"name": "ge_se3pa1_clipp_starved_idle", "value": 199},
1379 {"name": "ge_se3pa1_clipp_starved_after_work", "value": 200},
1380 {"name": "ge_se3pa1_clipp_valid_prim", "value": 201},
1381 {"name": "ge_se3pa1_clips_send", "value": 202},
1382 {"name": "ge_se3pa1_clips_stalled", "value": 203},
1383 {"name": "ge_se3pa1_clipv_send", "value": 204},
1384 {"name": "ge_se3pa1_clipv_stalled", "value": 205},
1385 {"name": "ge_rbiu_di_fifo_stalled", "value": 206},
1386 {"name": "ge_rbiu_di_fifo_starved", "value": 207},
1387 {"name": "ge_rbiu_dr_fifo_stalled", "value": 208},
1388 {"name": "ge_rbiu_dr_fifo_starved", "value": 209},
1389 {"name": "ge_reused_es_indices", "value": 210},
1390 {"name": "ge_reused_vs_indices", "value": 211},
1391 {"name": "ge_sclk_core_vld", "value": 212},
1392 {"name": "ge_sclk_gs_vld", "value": 213},
1393 {"name": "ge_sclk_input_vld", "value": 214},
1394 {"name": "ge_sclk_leg_gs_arb_vld", "value": 215},
1395 {"name": "ge_sclk_ngg_vld", "value": 216},
1396 {"name": "ge_sclk_reg_vld", "value": 217},
1397 {"name": "ge_sclk_te11_vld", "value": 218},
1398 {"name": "ge_sclk_vr_vld", "value": 219},
1399 {"name": "ge_sclk_wd_te11_vld", "value": 220},
1400 {"name": "ge_spi_esvert_eov", "value": 221},
1401 {"name": "ge_spi_esvert_stalled", "value": 222},
1402 {"name": "ge_spi_esvert_starved_busy", "value": 223},
1403 {"name": "ge_spi_esvert_valid", "value": 224},
1404 {"name": "ge_spi_eswave_is_event", "value": 225},
1405 {"name": "ge_spi_eswave_send", "value": 226},
1406 {"name": "ge_spi_gsprim_cont", "value": 227},
1407 {"name": "ge_spi_gsprim_eov", "value": 228},
1408 {"name": "ge_spi_gsprim_stalled", "value": 229},
1409 {"name": "ge_spi_gsprim_starved_busy", "value": 230},
1410 {"name": "ge_spi_gsprim_starved_idle", "value": 231},
1411 {"name": "ge_spi_gsprim_valid", "value": 232},
1412 {"name": "ge_spi_gssubgrp_is_event", "value": 233},
1413 {"name": "ge_spi_gssubgrp_send", "value": 234},
1414 {"name": "ge_spi_gswave_is_event", "value": 235},
1415 {"name": "ge_spi_gswave_send", "value": 236},
1416 {"name": "ge_spi_hsvert_eov", "value": 237},
1417 {"name": "ge_spi_hsvert_stalled", "value": 238},
1418 {"name": "ge_spi_hsvert_starved_busy", "value": 239},
1419 {"name": "ge_spi_hsvert_valid", "value": 240},
1420 {"name": "ge_spi_hsgrp_is_event", "value": 241},
1421 {"name": "ge_spi_hswgrp_send", "value": 242},
1422 {"name": "ge_spi_hsgrp_event_window_active", "value": 243},
1423 {"name": "ge_spi_lsvert_eov", "value": 244},
1424 {"name": "ge_spi_lsvert_stalled", "value": 245},
1425 {"name": "ge_spi_lsvert_starved_busy", "value": 246},
1426 {"name": "ge_spi_lsvert_starved_idle", "value": 247},
1427 {"name": "ge_spi_lsvert_valid", "value": 248},
1428 {"name": "ge_spi_lswave_is_event", "value": 249},
1429 {"name": "ge_spi_lswave_send", "value": 250},
1430 {"name": "ge_spi_vsvert_eov", "value": 251},
1431 {"name": "ge_spi_vsvert_send", "value": 252},
1432 {"name": "ge_spi_vsvert_stalled", "value": 253},
1433 {"name": "ge_spi_vsvert_starved_busy", "value": 254},
1434 {"name": "ge_spi_vsvert_starved_idle", "value": 255},
1435 {"name": "ge_spi_vswave_is_event", "value": 256},
1436 {"name": "ge_spi_vswave_send", "value": 257},
1437 {"name": "ge_starved_on_hs_done", "value": 258},
1438 {"name": "ge_stat_busy", "value": 259},
1439 {"name": "ge_stat_combined_busy", "value": 260},
1440 {"name": "ge_stat_no_dma_busy", "value": 261},
1441 {"name": "ge_strmout_stalled", "value": 262},
1442 {"name": "ge_te11_busy", "value": 263},
1443 {"name": "ge_te11_starved", "value": 264},
1444 {"name": "ge_tfreq_lat_bin_0", "value": 265},
1445 {"name": "ge_tfreq_lat_bin_1", "value": 266},
1446 {"name": "ge_tfreq_lat_bin_2", "value": 267},
1447 {"name": "ge_tfreq_lat_bin_3", "value": 268},
1448 {"name": "ge_tfreq_lat_bin_4", "value": 269},
1449 {"name": "ge_tfreq_lat_bin_5", "value": 270},
1450 {"name": "ge_tfreq_lat_bin_6", "value": 271},
1451 {"name": "ge_tfreq_lat_bin_7", "value": 272},
1452 {"name": "ge_tfreq_utcl1_consecutive_retry_event", "value": 273},
1453 {"name": "ge_tfreq_utcl1_request_event", "value": 274},
1454 {"name": "ge_tfreq_utcl1_retry_event", "value": 275},
1455 {"name": "ge_tfreq_utcl1_stall_event", "value": 276},
1456 {"name": "ge_tfreq_utcl1_stall_utcl2_event", "value": 277},
1457 {"name": "ge_tfreq_utcl1_translation_hit_event", "value": 278},
1458 {"name": "ge_tfreq_utcl1_translation_miss_event", "value": 279},
1459 {"name": "spare23", "value": 280},
1460 {"name": "spare24", "value": 281},
1461 {"name": "spare25", "value": 282},
1462 {"name": "ge_vs_cache_hits", "value": 283},
1463 {"name": "ge_vs_done", "value": 284},
1464 {"name": "ge_vs_pc_stall", "value": 285},
1465 {"name": "ge_vs_table_high_water_mark", "value": 286},
1466 {"name": "ge_vs_thread_groups", "value": 287},
1467 {"name": "ge_vsvert_api_send", "value": 288},
1468 {"name": "ge_vsvert_ds_send", "value": 289},
1469 {"name": "ge_wait_for_es_done_stalled", "value": 290},
1470 {"name": "ge_waveid_stalled", "value": 291},
1471 {"name": "ge_spi_vsvert_valid", "value": 292},
1472 {"name": "pc_feorder_ffo_full", "value": 293},
1473 {"name": "pc_ge_manager_busy", "value": 294},
1474 {"name": "pc_req_stall_se0", "value": 295},
1475 {"name": "pc_req_stall_se1", "value": 296},
1476 {"name": "pc_req_stall_se2", "value": 297},
1477 {"name": "pc_req_stall_se3", "value": 298},
1478 {"name": "ge_pipe0_to_pipe1", "value": 299},
1479 {"name": "ge_pipe1_to_pipe0", "value": 300},
1480 {"name": "ge_dma_return_size_cl0", "value": 301},
1481 {"name": "ge_dma_return_size_cl1", "value": 302},
1482 {"name": "ge_spi_gssubgrp_event_window_active", "value": 303},
1483 {"name": "ge_bypass_fifo_full", "value": 304}
1484 ]
1485 },
1486 "GL1A_PERF_SEL": {
1487 "entries": [
1488 {"name": "GL1A_PERF_SEL_BUSY", "value": 0},
1489 {"name": "GL1A_PERF_SEL_STALL_GL1C0", "value": 1},
1490 {"name": "GL1A_PERF_SEL_STALL_GL1C1", "value": 2},
1491 {"name": "GL1A_PERF_SEL_STALL_GL1C2", "value": 3},
1492 {"name": "GL1A_PERF_SEL_STALL_GL1C3", "value": 4},
1493 {"name": "GL1A_PERF_SEL_STALL_GL1C4", "value": 5},
1494 {"name": "GL1A_PERF_SEL_REQUEST_GL1C0", "value": 6},
1495 {"name": "GL1A_PERF_SEL_REQUEST_GL1C1", "value": 7},
1496 {"name": "GL1A_PERF_SEL_REQUEST_GL1C2", "value": 8},
1497 {"name": "GL1A_PERF_SEL_REQUEST_GL1C3", "value": 9},
1498 {"name": "GL1A_PERF_SEL_REQUEST_GL1C4", "value": 10},
1499 {"name": "GL1A_PERF_SEL_MEM_32B_WDS_GL1C0", "value": 11},
1500 {"name": "GL1A_PERF_SEL_MEM_32B_WDS_GL1C1", "value": 12},
1501 {"name": "GL1A_PERF_SEL_MEM_32B_WDS_GL1C2", "value": 13},
1502 {"name": "GL1A_PERF_SEL_MEM_32B_WDS_GL1C3", "value": 14},
1503 {"name": "GL1A_PERF_SEL_MEM_32B_WDS_GL1C4", "value": 15},
1504 {"name": "GL1A_PERF_SEL_IO_32B_WDS_GL1C0", "value": 16},
1505 {"name": "GL1A_PERF_SEL_IO_32B_WDS_GL1C1", "value": 17},
1506 {"name": "GL1A_PERF_SEL_IO_32B_WDS_GL1C2", "value": 18},
1507 {"name": "GL1A_PERF_SEL_IO_32B_WDS_GL1C3", "value": 19},
1508 {"name": "GL1A_PERF_SEL_IO_32B_WDS_GL1C4", "value": 20},
1509 {"name": "GL1A_PERF_SEL_MEM_BURST_COUNT_GL1C0", "value": 21},
1510 {"name": "GL1A_PERF_SEL_MEM_BURST_COUNT_GL1C1", "value": 22},
1511 {"name": "GL1A_PERF_SEL_MEM_BURST_COUNT_GL1C2", "value": 23},
1512 {"name": "GL1A_PERF_SEL_MEM_BURST_COUNT_GL1C3", "value": 24},
1513 {"name": "GL1A_PERF_SEL_MEM_BURST_COUNT_GL1C4", "value": 25},
1514 {"name": "GL1A_PERF_SEL_IO_BURST_COUNT_GL1C0", "value": 26},
1515 {"name": "GL1A_PERF_SEL_IO_BURST_COUNT_GL1C1", "value": 27},
1516 {"name": "GL1A_PERF_SEL_IO_BURST_COUNT_GL1C2", "value": 28},
1517 {"name": "GL1A_PERF_SEL_IO_BURST_COUNT_GL1C3", "value": 29},
1518 {"name": "GL1A_PERF_SEL_IO_BURST_COUNT_GL1C4", "value": 30},
1519 {"name": "GL1A_PERF_SEL_ARB_REQUESTS", "value": 31},
1520 {"name": "GL1A_PERF_SEL_REQ_ARB_LEVEL_GL1C0", "value": 32},
1521 {"name": "GL1A_PERF_SEL_REQ_ARB_LEVEL_GL1C1", "value": 33},
1522 {"name": "GL1A_PERF_SEL_REQ_ARB_LEVEL_GL1C2", "value": 34},
1523 {"name": "GL1A_PERF_SEL_REQ_ARB_LEVEL_GL1C3", "value": 35},
1524 {"name": "GL1A_PERF_SEL_REQ_ARB_LEVEL_GL1C4", "value": 36},
1525 {"name": "GL1A_PERF_SEL_REQ_INFLIGHT_LEVEL", "value": 37},
1526 {"name": "GL1A_PERF_SEL_STALL_RET_CONFLICT_GL1C0", "value": 38},
1527 {"name": "GL1A_PERF_SEL_STALL_RET_CONFLICT_GL1C1", "value": 39},
1528 {"name": "GL1A_PERF_SEL_STALL_RET_CONFLICT_GL1C2", "value": 40},
1529 {"name": "GL1A_PERF_SEL_STALL_RET_CONFLICT_GL1C3", "value": 41},
1530 {"name": "GL1A_PERF_SEL_STALL_RET_CONFLICT_GL1C4", "value": 42},
1531 {"name": "GL1A_PERF_SEL_CYCLE", "value": 43}
1532 ]
1533 },
1534 "GL1CG_PERF_SEL": {
1535 "entries": [
1536 {"name": "GL1CG_PERF_SEL_CYCLE", "value": 0},
1537 {"name": "GL1CG_PERF_SEL_BUSY", "value": 1},
1538 {"name": "GL1CG_PERF_SEL_ARB_RET_LEVEL", "value": 2},
1539 {"name": "GL1CG_PERF_SEL_GL2_REQ_READ_LATENCY", "value": 3},
1540 {"name": "GL1CG_PERF_SEL_GL2_REQ_WRITE_LATENCY", "value": 4},
1541 {"name": "GL1CG_PERF_SEL_REQ", "value": 5},
1542 {"name": "GL1CG_PERF_SEL_REQ_ATOMIC_WITH_RET", "value": 6},
1543 {"name": "GL1CG_PERF_SEL_REQ_ATOMIC_WITHOUT_RET", "value": 7},
1544 {"name": "GL1CG_PERF_SEL_REQ_NOP_ACK", "value": 8},
1545 {"name": "GL1CG_PERF_SEL_REQ_NOP_RTN0", "value": 9},
1546 {"name": "GL1CG_PERF_SEL_REQ_READ", "value": 10},
1547 {"name": "GL1CG_PERF_SEL_REQ_READ_128B", "value": 11},
1548 {"name": "GL1CG_PERF_SEL_REQ_READ_32B", "value": 12},
1549 {"name": "GL1CG_PERF_SEL_REQ_READ_64B", "value": 13},
1550 {"name": "GL1CG_PERF_SEL_REQ_WRITE", "value": 14},
1551 {"name": "GL1CG_PERF_SEL_REQ_WRITE_32B", "value": 15},
1552 {"name": "GL1CG_PERF_SEL_REQ_WRITE_64B", "value": 16},
1553 {"name": "GL1CG_PERF_SEL_STALL_GUS_GL1", "value": 17},
1554 {"name": "GL1CG_PERF_SEL_STALL_BUFFER_FULL", "value": 18},
1555 {"name": "GL1CG_PERF_SEL_REQ_CLIENT0", "value": 19},
1556 {"name": "GL1CG_PERF_SEL_REQ_CLIENT1", "value": 20},
1557 {"name": "GL1CG_PERF_SEL_REQ_CLIENT2", "value": 21},
1558 {"name": "GL1CG_PERF_SEL_REQ_CLIENT3", "value": 22},
1559 {"name": "GL1CG_PERF_SEL_REQ_CLIENT4", "value": 23},
1560 {"name": "GL1CG_PERF_SEL_REQ_CLIENT5", "value": 24},
1561 {"name": "GL1CG_PERF_SEL_REQ_CLIENT6", "value": 25},
1562 {"name": "GL1CG_PERF_SEL_REQ_CLIENT7", "value": 26},
1563 {"name": "GL1CG_PERF_SEL_REQ_CLIENT8", "value": 27},
1564 {"name": "GL1CG_PERF_SEL_REQ_CLIENT9", "value": 28},
1565 {"name": "GL1CG_PERF_SEL_REQ_CLIENT10", "value": 29},
1566 {"name": "GL1CG_PERF_SEL_REQ_CLIENT11", "value": 30},
1567 {"name": "GL1CG_PERF_SEL_REQ_CLIENT12", "value": 31},
1568 {"name": "GL1CG_PERF_SEL_REQ_CLIENT13", "value": 32},
1569 {"name": "GL1CG_PERF_SEL_REQ_CLIENT14", "value": 33},
1570 {"name": "GL1CG_PERF_SEL_REQ_CLIENT15", "value": 34},
1571 {"name": "GL1CG_PERF_SEL_REQ_CLIENT16", "value": 35},
1572 {"name": "GL1CG_PERF_SEL_REQ_CLIENT17", "value": 36},
1573 {"name": "GL1CG_PERF_SEL_REQ_CLIENT18", "value": 37}
1574 ]
1575 },
1576 "GL1C_PERF_SEL": {
1577 "entries": [
1578 {"name": "GL1C_PERF_SEL_CYCLE", "value": 0},
1579 {"name": "GL1C_PERF_SEL_BUSY", "value": 1},
1580 {"name": "GL1C_PERF_SEL_ARB_RET_LEVEL", "value": 2},
1581 {"name": "GL1C_PERF_SEL_GL2_REQ_READ", "value": 3},
1582 {"name": "GL1C_PERF_SEL_GL2_REQ_READ_128B", "value": 4},
1583 {"name": "GL1C_PERF_SEL_GL2_REQ_READ_32B", "value": 5},
1584 {"name": "GL1C_PERF_SEL_GL2_REQ_READ_64B", "value": 6},
1585 {"name": "GL1C_PERF_SEL_GL2_REQ_READ_LATENCY", "value": 7},
1586 {"name": "GL1C_PERF_SEL_GL2_REQ_WRITE", "value": 8},
1587 {"name": "GL1C_PERF_SEL_GL2_REQ_WRITE_32B", "value": 9},
1588 {"name": "GL1C_PERF_SEL_GL2_REQ_WRITE_64B", "value": 10},
1589 {"name": "GL1C_PERF_SEL_GL2_REQ_WRITE_LATENCY", "value": 11},
1590 {"name": "GL1C_PERF_SEL_GL2_REQ_PREFETCH", "value": 12},
1591 {"name": "GL1C_PERF_SEL_REQ", "value": 13},
1592 {"name": "GL1C_PERF_SEL_REQ_ATOMIC_WITH_RET", "value": 14},
1593 {"name": "GL1C_PERF_SEL_REQ_ATOMIC_WITHOUT_RET", "value": 15},
1594 {"name": "GL1C_PERF_SEL_REQ_SHADER_INV", "value": 16},
1595 {"name": "GL1C_PERF_SEL_REQ_MISS", "value": 17},
1596 {"name": "GL1C_PERF_SEL_REQ_NOP_ACK", "value": 18},
1597 {"name": "GL1C_PERF_SEL_REQ_NOP_RTN0", "value": 19},
1598 {"name": "GL1C_PERF_SEL_REQ_READ", "value": 20},
1599 {"name": "GL1C_PERF_SEL_REQ_READ_128B", "value": 21},
1600 {"name": "GL1C_PERF_SEL_REQ_READ_32B", "value": 22},
1601 {"name": "GL1C_PERF_SEL_REQ_READ_64B", "value": 23},
1602 {"name": "GL1C_PERF_SEL_REQ_READ_POLICY_HIT_EVICT", "value": 24},
1603 {"name": "GL1C_PERF_SEL_REQ_READ_POLICY_HIT_LRU", "value": 25},
1604 {"name": "GL1C_PERF_SEL_REQ_READ_POLICY_MISS_EVICT", "value": 26},
1605 {"name": "GL1C_PERF_SEL_REQ_WRITE", "value": 27},
1606 {"name": "GL1C_PERF_SEL_REQ_WRITE_32B", "value": 28},
1607 {"name": "GL1C_PERF_SEL_REQ_WRITE_64B", "value": 29},
1608 {"name": "GL1C_PERF_SEL_STALL_GL2_GL1", "value": 30},
1609 {"name": "GL1C_PERF_SEL_STALL_LFIFO_FULL", "value": 31},
1610 {"name": "GL1C_PERF_SEL_STALL_NO_AVAILABLE_ACK_ALLOC", "value": 32},
1611 {"name": "GL1C_PERF_SEL_STALL_NOTHING_REPLACEABLE", "value": 33},
1612 {"name": "GL1C_PERF_SEL_STALL_GCR_INV", "value": 34},
1613 {"name": "GL1C_PERF_SEL_REQ_CLIENT0", "value": 35},
1614 {"name": "GL1C_PERF_SEL_REQ_CLIENT1", "value": 36},
1615 {"name": "GL1C_PERF_SEL_REQ_CLIENT2", "value": 37},
1616 {"name": "GL1C_PERF_SEL_REQ_CLIENT3", "value": 38},
1617 {"name": "GL1C_PERF_SEL_REQ_CLIENT4", "value": 39},
1618 {"name": "GL1C_PERF_SEL_REQ_CLIENT5", "value": 40},
1619 {"name": "GL1C_PERF_SEL_REQ_CLIENT6", "value": 41},
1620 {"name": "GL1C_PERF_SEL_REQ_CLIENT7", "value": 42},
1621 {"name": "GL1C_PERF_SEL_REQ_CLIENT8", "value": 43},
1622 {"name": "GL1C_PERF_SEL_REQ_CLIENT9", "value": 44},
1623 {"name": "GL1C_PERF_SEL_REQ_CLIENT10", "value": 45},
1624 {"name": "GL1C_PERF_SEL_REQ_CLIENT11", "value": 46},
1625 {"name": "GL1C_PERF_SEL_REQ_CLIENT12", "value": 47},
1626 {"name": "GL1C_PERF_SEL_REQ_CLIENT13", "value": 48},
1627 {"name": "GL1C_PERF_SEL_REQ_CLIENT14", "value": 49},
1628 {"name": "GL1C_PERF_SEL_REQ_CLIENT15", "value": 50},
1629 {"name": "GL1C_PERF_SEL_REQ_CLIENT16", "value": 51},
1630 {"name": "GL1C_PERF_SEL_REQ_CLIENT17", "value": 52},
1631 {"name": "GL1C_PERF_SEL_REQ_CLIENT18", "value": 53}
1632 ]
1633 },
1634 "GL2A_PERF_SEL": {
1635 "entries": [
1636 {"name": "GL2A_PERF_SEL_NONE", "value": 0},
1637 {"name": "GL2A_PERF_SEL_CYCLE", "value": 1},
1638 {"name": "GL2A_PERF_SEL_BUSY", "value": 2},
1639 {"name": "GL2A_PERF_SEL_REQ_GL2C0", "value": 3},
1640 {"name": "GL2A_PERF_SEL_REQ_GL2C1", "value": 4},
1641 {"name": "GL2A_PERF_SEL_REQ_GL2C2", "value": 5},
1642 {"name": "GL2A_PERF_SEL_REQ_GL2C3", "value": 6},
1643 {"name": "GL2A_PERF_SEL_REQ_GL2C4", "value": 7},
1644 {"name": "GL2A_PERF_SEL_REQ_GL2C5", "value": 8},
1645 {"name": "GL2A_PERF_SEL_REQ_GL2C6", "value": 9},
1646 {"name": "GL2A_PERF_SEL_REQ_GL2C7", "value": 10},
1647 {"name": "GL2A_PERF_SEL_REQ_HI_PRIO_GL2C0", "value": 11},
1648 {"name": "GL2A_PERF_SEL_REQ_HI_PRIO_GL2C1", "value": 12},
1649 {"name": "GL2A_PERF_SEL_REQ_HI_PRIO_GL2C2", "value": 13},
1650 {"name": "GL2A_PERF_SEL_REQ_HI_PRIO_GL2C3", "value": 14},
1651 {"name": "GL2A_PERF_SEL_REQ_HI_PRIO_GL2C4", "value": 15},
1652 {"name": "GL2A_PERF_SEL_REQ_HI_PRIO_GL2C5", "value": 16},
1653 {"name": "GL2A_PERF_SEL_REQ_HI_PRIO_GL2C6", "value": 17},
1654 {"name": "GL2A_PERF_SEL_REQ_HI_PRIO_GL2C7", "value": 18},
1655 {"name": "GL2A_PERF_SEL_REQ_BURST_GL2C0", "value": 19},
1656 {"name": "GL2A_PERF_SEL_REQ_BURST_GL2C1", "value": 20},
1657 {"name": "GL2A_PERF_SEL_REQ_BURST_GL2C2", "value": 21},
1658 {"name": "GL2A_PERF_SEL_REQ_BURST_GL2C3", "value": 22},
1659 {"name": "GL2A_PERF_SEL_REQ_BURST_GL2C4", "value": 23},
1660 {"name": "GL2A_PERF_SEL_REQ_BURST_GL2C5", "value": 24},
1661 {"name": "GL2A_PERF_SEL_REQ_BURST_GL2C6", "value": 25},
1662 {"name": "GL2A_PERF_SEL_REQ_BURST_GL2C7", "value": 26},
1663 {"name": "GL2A_PERF_SEL_REQ_STALL_GL2C0", "value": 27},
1664 {"name": "GL2A_PERF_SEL_REQ_STALL_GL2C1", "value": 28},
1665 {"name": "GL2A_PERF_SEL_REQ_STALL_GL2C2", "value": 29},
1666 {"name": "GL2A_PERF_SEL_REQ_STALL_GL2C3", "value": 30},
1667 {"name": "GL2A_PERF_SEL_REQ_STALL_GL2C4", "value": 31},
1668 {"name": "GL2A_PERF_SEL_REQ_STALL_GL2C5", "value": 32},
1669 {"name": "GL2A_PERF_SEL_REQ_STALL_GL2C6", "value": 33},
1670 {"name": "GL2A_PERF_SEL_REQ_STALL_GL2C7", "value": 34},
1671 {"name": "GL2A_PERF_SEL_RTN_STALL_GL2C0", "value": 35},
1672 {"name": "GL2A_PERF_SEL_RTN_STALL_GL2C1", "value": 36},
1673 {"name": "GL2A_PERF_SEL_RTN_STALL_GL2C2", "value": 37},
1674 {"name": "GL2A_PERF_SEL_RTN_STALL_GL2C3", "value": 38},
1675 {"name": "GL2A_PERF_SEL_RTN_STALL_GL2C4", "value": 39},
1676 {"name": "GL2A_PERF_SEL_RTN_STALL_GL2C5", "value": 40},
1677 {"name": "GL2A_PERF_SEL_RTN_STALL_GL2C6", "value": 41},
1678 {"name": "GL2A_PERF_SEL_RTN_STALL_GL2C7", "value": 42},
1679 {"name": "GL2A_PERF_SEL_RTN_CLIENT0", "value": 43},
1680 {"name": "GL2A_PERF_SEL_RTN_CLIENT1", "value": 44},
1681 {"name": "GL2A_PERF_SEL_RTN_CLIENT2", "value": 45},
1682 {"name": "GL2A_PERF_SEL_RTN_CLIENT3", "value": 46},
1683 {"name": "GL2A_PERF_SEL_RTN_CLIENT4", "value": 47},
1684 {"name": "GL2A_PERF_SEL_RTN_CLIENT5", "value": 48},
1685 {"name": "GL2A_PERF_SEL_RTN_CLIENT6", "value": 49},
1686 {"name": "GL2A_PERF_SEL_RTN_CLIENT7", "value": 50},
1687 {"name": "GL2A_PERF_SEL_RTN_CLIENT8", "value": 51},
1688 {"name": "GL2A_PERF_SEL_RTN_CLIENT9", "value": 52},
1689 {"name": "GL2A_PERF_SEL_RTN_CLIENT10", "value": 53},
1690 {"name": "GL2A_PERF_SEL_RTN_CLIENT11", "value": 54},
1691 {"name": "GL2A_PERF_SEL_RTN_CLIENT12", "value": 55},
1692 {"name": "GL2A_PERF_SEL_RTN_CLIENT13", "value": 56},
1693 {"name": "GL2A_PERF_SEL_RTN_CLIENT14", "value": 57},
1694 {"name": "GL2A_PERF_SEL_RTN_CLIENT15", "value": 58},
1695 {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT0", "value": 59},
1696 {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT1", "value": 60},
1697 {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT2", "value": 61},
1698 {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT3", "value": 62},
1699 {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT4", "value": 63},
1700 {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT5", "value": 64},
1701 {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT6", "value": 65},
1702 {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT7", "value": 66},
1703 {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT8", "value": 67},
1704 {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT9", "value": 68},
1705 {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT10", "value": 69},
1706 {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT11", "value": 70},
1707 {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT12", "value": 71},
1708 {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT13", "value": 72},
1709 {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT14", "value": 73},
1710 {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT15", "value": 74}
1711 ]
1712 },
1713 "GL2C_PERF_SEL": {
1714 "entries": [
1715 {"name": "GL2C_PERF_SEL_NONE", "value": 0},
1716 {"name": "GL2C_PERF_SEL_CYCLE", "value": 1},
1717 {"name": "GL2C_PERF_SEL_BUSY", "value": 2},
1718 {"name": "GL2C_PERF_SEL_REQ", "value": 3},
1719 {"name": "GL2C_PERF_SEL_VOL_REQ", "value": 4},
1720 {"name": "GL2C_PERF_SEL_HIGH_PRIORITY_REQ", "value": 5},
1721 {"name": "GL2C_PERF_SEL_READ", "value": 6},
1722 {"name": "GL2C_PERF_SEL_WRITE", "value": 7},
1723 {"name": "GL2C_PERF_SEL_ATOMIC", "value": 8},
1724 {"name": "GL2C_PERF_SEL_NOP_ACK", "value": 9},
1725 {"name": "GL2C_PERF_SEL_NOP_RTN0", "value": 10},
1726 {"name": "GL2C_PERF_SEL_PROBE", "value": 11},
1727 {"name": "GL2C_PERF_SEL_PROBE_ALL", "value": 12},
1728 {"name": "GL2C_PERF_SEL_INTERNAL_PROBE", "value": 13},
1729 {"name": "GL2C_PERF_SEL_COMPRESSED_READ_REQ", "value": 14},
1730 {"name": "GL2C_PERF_SEL_METADATA_READ_REQ", "value": 15},
1731 {"name": "GL2C_PERF_SEL_CLIENT0_REQ", "value": 16},
1732 {"name": "GL2C_PERF_SEL_CLIENT1_REQ", "value": 17},
1733 {"name": "GL2C_PERF_SEL_CLIENT2_REQ", "value": 18},
1734 {"name": "GL2C_PERF_SEL_CLIENT3_REQ", "value": 19},
1735 {"name": "GL2C_PERF_SEL_CLIENT4_REQ", "value": 20},
1736 {"name": "GL2C_PERF_SEL_CLIENT5_REQ", "value": 21},
1737 {"name": "GL2C_PERF_SEL_CLIENT6_REQ", "value": 22},
1738 {"name": "GL2C_PERF_SEL_CLIENT7_REQ", "value": 23},
1739 {"name": "GL2C_PERF_SEL_C_RW_S_REQ", "value": 24},
1740 {"name": "GL2C_PERF_SEL_C_RW_US_REQ", "value": 25},
1741 {"name": "GL2C_PERF_SEL_C_RO_S_REQ", "value": 26},
1742 {"name": "GL2C_PERF_SEL_C_RO_US_REQ", "value": 27},
1743 {"name": "GL2C_PERF_SEL_UC_REQ", "value": 28},
1744 {"name": "GL2C_PERF_SEL_LRU_REQ", "value": 29},
1745 {"name": "GL2C_PERF_SEL_STREAM_REQ", "value": 30},
1746 {"name": "GL2C_PERF_SEL_BYPASS_REQ", "value": 31},
1747 {"name": "GL2C_PERF_SEL_NOA_REQ", "value": 32},
1748 {"name": "GL2C_PERF_SEL_SHARED_REQ", "value": 33},
1749 {"name": "GL2C_PERF_SEL_HIT", "value": 34},
1750 {"name": "GL2C_PERF_SEL_MISS", "value": 35},
1751 {"name": "GL2C_PERF_SEL_FULL_HIT", "value": 36},
1752 {"name": "GL2C_PERF_SEL_PARTIAL_32B_HIT", "value": 37},
1753 {"name": "GL2C_PERF_SEL_PARTIAL_64B_HIT", "value": 38},
1754 {"name": "GL2C_PERF_SEL_PARTIAL_96B_HIT", "value": 39},
1755 {"name": "GL2C_PERF_SEL_DEWRITE_ALLOCATE_HIT", "value": 40},
1756 {"name": "GL2C_PERF_SEL_FULLY_WRITTEN_HIT", "value": 41},
1757 {"name": "GL2C_PERF_SEL_UNCACHED_WRITE", "value": 42},
1758 {"name": "GL2C_PERF_SEL_WRITEBACK", "value": 43},
1759 {"name": "GL2C_PERF_SEL_NORMAL_WRITEBACK", "value": 44},
1760 {"name": "GL2C_PERF_SEL_EVICT", "value": 45},
1761 {"name": "GL2C_PERF_SEL_NORMAL_EVICT", "value": 46},
1762 {"name": "GL2C_PERF_SEL_PROBE_EVICT", "value": 47},
1763 {"name": "GL2C_PERF_SEL_REQ_TO_MISS_QUEUE", "value": 48},
1764 {"name": "GL2C_PERF_SEL_HIT_PASS_MISS_IN_HI_PRIO", "value": 49},
1765 {"name": "GL2C_PERF_SEL_HIT_PASS_MISS_IN_COMP", "value": 50},
1766 {"name": "GL2C_PERF_SEL_HIT_PASS_MISS_IN_CLIENT0", "value": 51},
1767 {"name": "GL2C_PERF_SEL_HIT_PASS_MISS_IN_CLIENT1", "value": 52},
1768 {"name": "GL2C_PERF_SEL_HIT_PASS_MISS_IN_CLIENT2", "value": 53},
1769 {"name": "GL2C_PERF_SEL_HIT_PASS_MISS_IN_CLIENT3", "value": 54},
1770 {"name": "GL2C_PERF_SEL_HIT_PASS_MISS_IN_CLIENT4", "value": 55},
1771 {"name": "GL2C_PERF_SEL_HIT_PASS_MISS_IN_CLIENT5", "value": 56},
1772 {"name": "GL2C_PERF_SEL_HIT_PASS_MISS_IN_CLIENT6", "value": 57},
1773 {"name": "GL2C_PERF_SEL_HIT_PASS_MISS_IN_CLIENT7", "value": 58},
1774 {"name": "GL2C_PERF_SEL_READ_32_REQ", "value": 59},
1775 {"name": "GL2C_PERF_SEL_READ_64_REQ", "value": 60},
1776 {"name": "GL2C_PERF_SEL_READ_128_REQ", "value": 61},
1777 {"name": "GL2C_PERF_SEL_WRITE_32_REQ", "value": 62},
1778 {"name": "GL2C_PERF_SEL_WRITE_64_REQ", "value": 63},
1779 {"name": "GL2C_PERF_SEL_COMPRESSED_READ_0_REQ", "value": 64},
1780 {"name": "GL2C_PERF_SEL_COMPRESSED_READ_32_REQ", "value": 65},
1781 {"name": "GL2C_PERF_SEL_COMPRESSED_READ_64_REQ", "value": 66},
1782 {"name": "GL2C_PERF_SEL_COMPRESSED_READ_96_REQ", "value": 67},
1783 {"name": "GL2C_PERF_SEL_COMPRESSED_READ_128_REQ", "value": 68},
1784 {"name": "GL2C_PERF_SEL_MC_WRREQ", "value": 69},
1785 {"name": "GL2C_PERF_SEL_EA_WRREQ_64B", "value": 70},
1786 {"name": "GL2C_PERF_SEL_EA_WRREQ_PROBE_COMMAND", "value": 71},
1787 {"name": "GL2C_PERF_SEL_EA_WR_UNCACHED_32B", "value": 72},
1788 {"name": "GL2C_PERF_SEL_MC_WRREQ_STALL", "value": 73},
1789 {"name": "GL2C_PERF_SEL_EA_WRREQ_IO_CREDIT_STALL", "value": 74},
1790 {"name": "GL2C_PERF_SEL_EA_WRREQ_GMI_CREDIT_STALL", "value": 75},
1791 {"name": "GL2C_PERF_SEL_EA_WRREQ_DRAM_CREDIT_STALL", "value": 76},
1792 {"name": "GL2C_PERF_SEL_TOO_MANY_EA_WRREQS_STALL", "value": 77},
1793 {"name": "GL2C_PERF_SEL_MC_WRREQ_LEVEL", "value": 78},
1794 {"name": "GL2C_PERF_SEL_EA_ATOMIC", "value": 79},
1795 {"name": "GL2C_PERF_SEL_EA_ATOMIC_LEVEL", "value": 80},
1796 {"name": "GL2C_PERF_SEL_MC_RDREQ", "value": 81},
1797 {"name": "GL2C_PERF_SEL_EA_RDREQ_SPLIT", "value": 82},
1798 {"name": "GL2C_PERF_SEL_EA_RDREQ_32B", "value": 83},
1799 {"name": "GL2C_PERF_SEL_EA_RDREQ_64B", "value": 84},
1800 {"name": "GL2C_PERF_SEL_EA_RDREQ_96B", "value": 85},
1801 {"name": "GL2C_PERF_SEL_EA_RDREQ_128B", "value": 86},
1802 {"name": "GL2C_PERF_SEL_EA_RD_UNCACHED_32B", "value": 87},
1803 {"name": "GL2C_PERF_SEL_EA_RD_MDC_32B", "value": 88},
1804 {"name": "GL2C_PERF_SEL_EA_RD_COMPRESSED_32B", "value": 89},
1805 {"name": "GL2C_PERF_SEL_EA_RDREQ_IO_CREDIT_STALL", "value": 90},
1806 {"name": "GL2C_PERF_SEL_EA_RDREQ_GMI_CREDIT_STALL", "value": 91},
1807 {"name": "GL2C_PERF_SEL_EA_RDREQ_DRAM_CREDIT_STALL", "value": 92},
1808 {"name": "GL2C_PERF_SEL_MC_RDREQ_LEVEL", "value": 93},
1809 {"name": "GL2C_PERF_SEL_EA_RDREQ_DRAM", "value": 94},
1810 {"name": "GL2C_PERF_SEL_EA_WRREQ_DRAM", "value": 95},
1811 {"name": "GL2C_PERF_SEL_EA_RDREQ_DRAM_32B", "value": 96},
1812 {"name": "GL2C_PERF_SEL_EA_WRREQ_DRAM_32B", "value": 97},
1813 {"name": "GL2C_PERF_SEL_ONION_READ", "value": 98},
1814 {"name": "GL2C_PERF_SEL_ONION_WRITE", "value": 99},
1815 {"name": "GL2C_PERF_SEL_IO_READ", "value": 100},
1816 {"name": "GL2C_PERF_SEL_IO_WRITE", "value": 101},
1817 {"name": "GL2C_PERF_SEL_GARLIC_READ", "value": 102},
1818 {"name": "GL2C_PERF_SEL_GARLIC_WRITE", "value": 103},
1819 {"name": "GL2C_PERF_SEL_LATENCY_FIFO_FULL", "value": 104},
1820 {"name": "GL2C_PERF_SEL_SRC_FIFO_FULL", "value": 105},
1821 {"name": "GL2C_PERF_SEL_TAG_STALL", "value": 106},
1822 {"name": "GL2C_PERF_SEL_TAG_WRITEBACK_FIFO_FULL_STALL", "value": 107},
1823 {"name": "GL2C_PERF_SEL_TAG_MISS_NOTHING_REPLACEABLE_STALL", "value": 108},
1824 {"name": "GL2C_PERF_SEL_TAG_UNCACHED_WRITE_ATOMIC_FIFO_FULL_STALL", "value": 109},
1825 {"name": "GL2C_PERF_SEL_TAG_NO_UNCACHED_WRITE_ATOMIC_ENTRIES_STALL", "value": 110},
1826 {"name": "GL2C_PERF_SEL_TAG_PROBE_STALL", "value": 111},
1827 {"name": "GL2C_PERF_SEL_TAG_PROBE_FILTER_STALL", "value": 112},
1828 {"name": "GL2C_PERF_SEL_TAG_PROBE_FIFO_FULL_STALL", "value": 113},
1829 {"name": "GL2C_PERF_SEL_TAG_READ_DST_STALL", "value": 114},
1830 {"name": "GL2C_PERF_SEL_READ_RETURN_TIMEOUT", "value": 115},
1831 {"name": "GL2C_PERF_SEL_WRITEBACK_READ_TIMEOUT", "value": 116},
1832 {"name": "GL2C_PERF_SEL_READ_RETURN_FULL_BUBBLE", "value": 117},
1833 {"name": "GL2C_PERF_SEL_BUBBLE", "value": 118},
1834 {"name": "GL2C_PERF_SEL_IB_REQ", "value": 119},
1835 {"name": "GL2C_PERF_SEL_IB_STALL", "value": 120},
1836 {"name": "GL2C_PERF_SEL_IB_TAG_STALL", "value": 121},
1837 {"name": "GL2C_PERF_SEL_IB_CM_STALL", "value": 122},
1838 {"name": "GL2C_PERF_SEL_RETURN_ACK", "value": 123},
1839 {"name": "GL2C_PERF_SEL_RETURN_DATA", "value": 124},
1840 {"name": "GL2C_PERF_SEL_EA_RDRET_NACK", "value": 125},
1841 {"name": "GL2C_PERF_SEL_EA_WRRET_NACK", "value": 126},
1842 {"name": "GL2C_PERF_SEL_GL2A_LEVEL", "value": 127},
1843 {"name": "GL2C_PERF_SEL_PROBE_FILTER_DISABLE_TRANSITION", "value": 128},
1844 {"name": "GL2C_PERF_SEL_PROBE_FILTER_DISABLED", "value": 129},
1845 {"name": "GL2C_PERF_SEL_ALL_TC_OP_WB_OR_INV_START", "value": 130},
1846 {"name": "GL2C_PERF_SEL_ALL_TC_OP_WB_OR_INV_VOL_START", "value": 131},
1847 {"name": "GL2C_PERF_SEL_GCR_INV", "value": 132},
1848 {"name": "GL2C_PERF_SEL_GCR_WB", "value": 133},
1849 {"name": "GL2C_PERF_SEL_GCR_DISCARD", "value": 134},
1850 {"name": "GL2C_PERF_SEL_GCR_RANGE", "value": 135},
1851 {"name": "GL2C_PERF_SEL_GCR_ALL", "value": 136},
1852 {"name": "GL2C_PERF_SEL_GCR_VOL", "value": 137},
1853 {"name": "GL2C_PERF_SEL_GCR_UNSHARED", "value": 138},
1854 {"name": "GL2C_PERF_SEL_GCR_MDC_INV", "value": 139},
1855 {"name": "GL2C_PERF_SEL_GCR_GL2_INV_ALL", "value": 140},
1856 {"name": "GL2C_PERF_SEL_GCR_GL2_WB_ALL", "value": 141},
1857 {"name": "GL2C_PERF_SEL_GCR_MDC_INV_ALL", "value": 142},
1858 {"name": "GL2C_PERF_SEL_GCR_GL2_INV_RANGE", "value": 143},
1859 {"name": "GL2C_PERF_SEL_GCR_GL2_WB_RANGE", "value": 144},
1860 {"name": "GL2C_PERF_SEL_GCR_GL2_WB_INV_RANGE", "value": 145},
1861 {"name": "GL2C_PERF_SEL_GCR_MDC_INV_RANGE", "value": 146},
1862 {"name": "GL2C_PERF_SEL_ALL_GCR_INV_EVICT", "value": 147},
1863 {"name": "GL2C_PERF_SEL_ALL_GCR_INV_VOL_EVICT", "value": 148},
1864 {"name": "GL2C_PERF_SEL_ALL_GCR_WB_OR_INV_CYCLE", "value": 149},
1865 {"name": "GL2C_PERF_SEL_ALL_GCR_WB_OR_INV_VOL_CYCLE", "value": 150},
1866 {"name": "GL2C_PERF_SEL_ALL_GCR_WB_WRITEBACK", "value": 151},
1867 {"name": "GL2C_PERF_SEL_GCR_INVL2_VOL_CYCLE", "value": 152},
1868 {"name": "GL2C_PERF_SEL_GCR_INVL2_VOL_EVICT", "value": 153},
1869 {"name": "GL2C_PERF_SEL_GCR_INVL2_VOL_START", "value": 154},
1870 {"name": "GL2C_PERF_SEL_GCR_WBL2_VOL_CYCLE", "value": 155},
1871 {"name": "GL2C_PERF_SEL_GCR_WBL2_VOL_EVICT", "value": 156},
1872 {"name": "GL2C_PERF_SEL_GCR_WBL2_VOL_START", "value": 157},
1873 {"name": "GL2C_PERF_SEL_GCR_WBINVL2_CYCLE", "value": 158},
1874 {"name": "GL2C_PERF_SEL_GCR_WBINVL2_EVICT", "value": 159},
1875 {"name": "GL2C_PERF_SEL_GCR_WBINVL2_START", "value": 160},
1876 {"name": "GL2C_PERF_SEL_MDC_INV_METADATA", "value": 161},
1877 {"name": "GL2C_PERF_SEL_MDC_REQ", "value": 162},
1878 {"name": "GL2C_PERF_SEL_MDC_LEVEL", "value": 163},
1879 {"name": "GL2C_PERF_SEL_MDC_TAG_HIT", "value": 164},
1880 {"name": "GL2C_PERF_SEL_MDC_SECTOR_HIT", "value": 165},
1881 {"name": "GL2C_PERF_SEL_MDC_SECTOR_MISS", "value": 166},
1882 {"name": "GL2C_PERF_SEL_MDC_TAG_STALL", "value": 167},
1883 {"name": "GL2C_PERF_SEL_MDC_TAG_REPLACEMENT_LINE_IN_USE_STALL", "value": 168},
1884 {"name": "GL2C_PERF_SEL_MDC_TAG_DESECTORIZATION_FIFO_FULL_STALL", "value": 169},
1885 {"name": "GL2C_PERF_SEL_MDC_TAG_WAITING_FOR_INVALIDATE_COMPLETION_STALL", "value": 170},
1886 {"name": "GL2C_PERF_SEL_CM_CHANNEL0_REQ", "value": 171},
1887 {"name": "GL2C_PERF_SEL_CM_CHANNEL1_REQ", "value": 172},
1888 {"name": "GL2C_PERF_SEL_CM_CHANNEL2_REQ", "value": 173},
1889 {"name": "GL2C_PERF_SEL_CM_CHANNEL3_REQ", "value": 174},
1890 {"name": "GL2C_PERF_SEL_CM_CHANNEL4_REQ", "value": 175},
1891 {"name": "GL2C_PERF_SEL_CM_CHANNEL5_REQ", "value": 176},
1892 {"name": "GL2C_PERF_SEL_CM_CHANNEL6_REQ", "value": 177},
1893 {"name": "GL2C_PERF_SEL_CM_CHANNEL7_REQ", "value": 178},
1894 {"name": "GL2C_PERF_SEL_CM_CHANNEL8_REQ", "value": 179},
1895 {"name": "GL2C_PERF_SEL_CM_CHANNEL9_REQ", "value": 180},
1896 {"name": "GL2C_PERF_SEL_CM_CHANNEL10_REQ", "value": 181},
1897 {"name": "GL2C_PERF_SEL_CM_CHANNEL11_REQ", "value": 182},
1898 {"name": "GL2C_PERF_SEL_CM_CHANNEL12_REQ", "value": 183},
1899 {"name": "GL2C_PERF_SEL_CM_CHANNEL13_REQ", "value": 184},
1900 {"name": "GL2C_PERF_SEL_CM_CHANNEL14_REQ", "value": 185},
1901 {"name": "GL2C_PERF_SEL_CM_CHANNEL15_REQ", "value": 186},
1902 {"name": "GL2C_PERF_SEL_CM_CHANNEL16_REQ", "value": 187},
1903 {"name": "GL2C_PERF_SEL_CM_CHANNEL17_REQ", "value": 188},
1904 {"name": "GL2C_PERF_SEL_CM_CHANNEL18_REQ", "value": 189},
1905 {"name": "GL2C_PERF_SEL_CM_CHANNEL19_REQ", "value": 190},
1906 {"name": "GL2C_PERF_SEL_CM_CHANNEL20_REQ", "value": 191},
1907 {"name": "GL2C_PERF_SEL_CM_CHANNEL21_REQ", "value": 192},
1908 {"name": "GL2C_PERF_SEL_CM_CHANNEL22_REQ", "value": 193},
1909 {"name": "GL2C_PERF_SEL_CM_CHANNEL23_REQ", "value": 194},
1910 {"name": "GL2C_PERF_SEL_CM_CHANNEL24_REQ", "value": 195},
1911 {"name": "GL2C_PERF_SEL_CM_CHANNEL25_REQ", "value": 196},
1912 {"name": "GL2C_PERF_SEL_CM_CHANNEL26_REQ", "value": 197},
1913 {"name": "GL2C_PERF_SEL_CM_CHANNEL27_REQ", "value": 198},
1914 {"name": "GL2C_PERF_SEL_CM_CHANNEL28_REQ", "value": 199},
1915 {"name": "GL2C_PERF_SEL_CM_CHANNEL29_REQ", "value": 200},
1916 {"name": "GL2C_PERF_SEL_CM_CHANNEL30_REQ", "value": 201},
1917 {"name": "GL2C_PERF_SEL_CM_CHANNEL31_REQ", "value": 202},
1918 {"name": "GL2C_PERF_SEL_CM_COMP_ATOMIC_COLOR_REQ", "value": 203},
1919 {"name": "GL2C_PERF_SEL_CM_COMP_ATOMIC_DEPTH16_REQ", "value": 204},
1920 {"name": "GL2C_PERF_SEL_CM_COMP_ATOMIC_DEPTH32_REQ", "value": 205},
1921 {"name": "GL2C_PERF_SEL_CM_COMP_WRITE_COLOR_REQ", "value": 206},
1922 {"name": "GL2C_PERF_SEL_CM_COMP_WRITE_DEPTH16_REQ", "value": 207},
1923 {"name": "GL2C_PERF_SEL_CM_COMP_WRITE_DEPTH32_REQ", "value": 208},
1924 {"name": "GL2C_PERF_SEL_CM_COMP_WRITE_STENCIL_REQ", "value": 209},
1925 {"name": "GL2C_PERF_SEL_CM_COMP_READ_REQ", "value": 210},
1926 {"name": "GL2C_PERF_SEL_CM_READ_BACK_REQ", "value": 211},
1927 {"name": "GL2C_PERF_SEL_CM_METADATA_WR_REQ", "value": 212},
1928 {"name": "GL2C_PERF_SEL_CM_WR_ACK_REQ", "value": 213},
1929 {"name": "GL2C_PERF_SEL_CM_NO_ACK_REQ", "value": 214},
1930 {"name": "GL2C_PERF_SEL_CM_NOOP_REQ", "value": 215},
1931 {"name": "GL2C_PERF_SEL_CM_COMP_COLOR_EN_REQ", "value": 216},
1932 {"name": "GL2C_PERF_SEL_CM_COMP_COLOR_DIS_REQ", "value": 217},
1933 {"name": "GL2C_PERF_SEL_CM_COMP_STENCIL_REQ", "value": 218},
1934 {"name": "GL2C_PERF_SEL_CM_COMP_DEPTH16_REQ", "value": 219},
1935 {"name": "GL2C_PERF_SEL_CM_COMP_DEPTH32_REQ", "value": 220},
1936 {"name": "GL2C_PERF_SEL_CM_COLOR_32B_WR_REQ", "value": 221},
1937 {"name": "GL2C_PERF_SEL_CM_COLOR_64B_WR_REQ", "value": 222},
1938 {"name": "GL2C_PERF_SEL_CM_FULL_WRITE_REQ", "value": 223},
1939 {"name": "GL2C_PERF_SEL_CM_RVF_FULL", "value": 224},
1940 {"name": "GL2C_PERF_SEL_CM_SDR_FULL", "value": 225},
1941 {"name": "GL2C_PERF_SEL_CM_MERGE_BUF_FULL", "value": 226},
1942 {"name": "GL2C_PERF_SEL_CM_DCC_STALL", "value": 227}
1943 ]
1944 },
1945 "GRBM_PERF_SEL": {
1946 "entries": [
1947 {"name": "GRBM_PERF_SEL_COUNT", "value": 0},
1948 {"name": "GRBM_PERF_SEL_USER_DEFINED", "value": 1},
1949 {"name": "GRBM_PERF_SEL_GUI_ACTIVE", "value": 2},
1950 {"name": "GRBM_PERF_SEL_CP_BUSY", "value": 3},
1951 {"name": "GRBM_PERF_SEL_CP_COHER_BUSY", "value": 4},
1952 {"name": "GRBM_PERF_SEL_CP_DMA_BUSY", "value": 5},
1953 {"name": "GRBM_PERF_SEL_CB_BUSY", "value": 6},
1954 {"name": "GRBM_PERF_SEL_DB_BUSY", "value": 7},
1955 {"name": "GRBM_PERF_SEL_PA_BUSY", "value": 8},
1956 {"name": "GRBM_PERF_SEL_SC_BUSY", "value": 9},
1957 {"name": "GRBM_PERF_SEL_RESERVED_6", "value": 10},
1958 {"name": "GRBM_PERF_SEL_SPI_BUSY", "value": 11},
1959 {"name": "GRBM_PERF_SEL_SX_BUSY", "value": 12},
1960 {"name": "GRBM_PERF_SEL_TA_BUSY", "value": 13},
1961 {"name": "GRBM_PERF_SEL_CB_CLEAN", "value": 14},
1962 {"name": "GRBM_PERF_SEL_DB_CLEAN", "value": 15},
1963 {"name": "GRBM_PERF_SEL_RESERVED_5", "value": 16},
1964 {"name": "GRBM_PERF_SEL_RESERVED_9", "value": 17},
1965 {"name": "GRBM_PERF_SEL_RESERVED_4", "value": 18},
1966 {"name": "GRBM_PERF_SEL_RESERVED_3", "value": 19},
1967 {"name": "GRBM_PERF_SEL_RESERVED_2", "value": 20},
1968 {"name": "GRBM_PERF_SEL_RESERVED_1", "value": 21},
1969 {"name": "GRBM_PERF_SEL_RESERVED_0", "value": 22},
1970 {"name": "GRBM_PERF_SEL_RESERVED_8", "value": 23},
1971 {"name": "GRBM_PERF_SEL_RESERVED_7", "value": 24},
1972 {"name": "GRBM_PERF_SEL_GDS_BUSY", "value": 25},
1973 {"name": "GRBM_PERF_SEL_BCI_BUSY", "value": 26},
1974 {"name": "GRBM_PERF_SEL_RLC_BUSY", "value": 27},
1975 {"name": "GRBM_PERF_SEL_TCP_BUSY", "value": 28},
1976 {"name": "GRBM_PERF_SEL_CPG_BUSY", "value": 29},
1977 {"name": "GRBM_PERF_SEL_CPC_BUSY", "value": 30},
1978 {"name": "GRBM_PERF_SEL_CPF_BUSY", "value": 31},
1979 {"name": "GRBM_PERF_SEL_GE_BUSY", "value": 32},
1980 {"name": "GRBM_PERF_SEL_GE_NO_DMA_BUSY", "value": 33},
1981 {"name": "GRBM_PERF_SEL_UTCL2_BUSY", "value": 34},
1982 {"name": "GRBM_PERF_SEL_EA_BUSY", "value": 35},
1983 {"name": "GRBM_PERF_SEL_RMI_BUSY", "value": 36},
1984 {"name": "GRBM_PERF_SEL_CPAXI_BUSY", "value": 37},
1985 {"name": "GRBM_PERF_SEL_UTCL1_BUSY", "value": 39},
1986 {"name": "GRBM_PERF_SEL_GL2CC_BUSY", "value": 40},
1987 {"name": "GRBM_PERF_SEL_SDMA_BUSY", "value": 41},
1988 {"name": "GRBM_PERF_SEL_CH_BUSY", "value": 42},
1989 {"name": "GRBM_PERF_SEL_PH_BUSY", "value": 43},
1990 {"name": "GRBM_PERF_SEL_PMM_BUSY", "value": 44},
1991 {"name": "GRBM_PERF_SEL_GUS_BUSY", "value": 45},
1992 {"name": "GRBM_PERF_SEL_GL1CC_BUSY", "value": 46}
1993 ]
1994 },
1995 "GRBM_SE0_PERF_SEL": {
1996 "entries": [
1997 {"name": "GRBM_SE0_PERF_SEL_COUNT", "value": 0},
1998 {"name": "GRBM_SE0_PERF_SEL_USER_DEFINED", "value": 1},
1999 {"name": "GRBM_SE0_PERF_SEL_CB_BUSY", "value": 2},
2000 {"name": "GRBM_SE0_PERF_SEL_DB_BUSY", "value": 3},
2001 {"name": "GRBM_SE0_PERF_SEL_SC_BUSY", "value": 4},
2002 {"name": "GRBM_SE0_PERF_SEL_RESERVED_1", "value": 5},
2003 {"name": "GRBM_SE0_PERF_SEL_SPI_BUSY", "value": 6},
2004 {"name": "GRBM_SE0_PERF_SEL_SX_BUSY", "value": 7},
2005 {"name": "GRBM_SE0_PERF_SEL_TA_BUSY", "value": 8},
2006 {"name": "GRBM_SE0_PERF_SEL_CB_CLEAN", "value": 9},
2007 {"name": "GRBM_SE0_PERF_SEL_DB_CLEAN", "value": 10},
2008 {"name": "GRBM_SE0_PERF_SEL_RESERVED_0", "value": 11},
2009 {"name": "GRBM_SE0_PERF_SEL_PA_BUSY", "value": 12},
2010 {"name": "GRBM_SE0_PERF_SEL_RESERVED_2", "value": 13},
2011 {"name": "GRBM_SE0_PERF_SEL_BCI_BUSY", "value": 14},
2012 {"name": "GRBM_SE0_PERF_SEL_RMI_BUSY", "value": 15},
2013 {"name": "GRBM_SE0_PERF_SEL_UTCL1_BUSY", "value": 16},
2014 {"name": "GRBM_SE0_PERF_SEL_TCP_BUSY", "value": 17},
2015 {"name": "GRBM_SE0_PERF_SEL_GL1CC_BUSY", "value": 18}
2016 ]
2017 },
2018 "GRBM_SE1_PERF_SEL": {
2019 "entries": [
2020 {"name": "GRBM_SE1_PERF_SEL_COUNT", "value": 0},
2021 {"name": "GRBM_SE1_PERF_SEL_USER_DEFINED", "value": 1},
2022 {"name": "GRBM_SE1_PERF_SEL_CB_BUSY", "value": 2},
2023 {"name": "GRBM_SE1_PERF_SEL_DB_BUSY", "value": 3},
2024 {"name": "GRBM_SE1_PERF_SEL_SC_BUSY", "value": 4},
2025 {"name": "GRBM_SE1_PERF_SEL_RESERVED_1", "value": 5},
2026 {"name": "GRBM_SE1_PERF_SEL_SPI_BUSY", "value": 6},
2027 {"name": "GRBM_SE1_PERF_SEL_SX_BUSY", "value": 7},
2028 {"name": "GRBM_SE1_PERF_SEL_TA_BUSY", "value": 8},
2029 {"name": "GRBM_SE1_PERF_SEL_CB_CLEAN", "value": 9},
2030 {"name": "GRBM_SE1_PERF_SEL_DB_CLEAN", "value": 10},
2031 {"name": "GRBM_SE1_PERF_SEL_RESERVED_0", "value": 11},
2032 {"name": "GRBM_SE1_PERF_SEL_PA_BUSY", "value": 12},
2033 {"name": "GRBM_SE1_PERF_SEL_RESERVED_2", "value": 13},
2034 {"name": "GRBM_SE1_PERF_SEL_BCI_BUSY", "value": 14},
2035 {"name": "GRBM_SE1_PERF_SEL_RMI_BUSY", "value": 15},
2036 {"name": "GRBM_SE1_PERF_SEL_UTCL1_BUSY", "value": 16},
2037 {"name": "GRBM_SE1_PERF_SEL_TCP_BUSY", "value": 17},
2038 {"name": "GRBM_SE1_PERF_SEL_GL1CC_BUSY", "value": 18}
2039 ]
2040 },
2041 "GRBM_SE2_PERF_SEL": {
2042 "entries": [
2043 {"name": "GRBM_SE2_PERF_SEL_COUNT", "value": 0},
2044 {"name": "GRBM_SE2_PERF_SEL_USER_DEFINED", "value": 1},
2045 {"name": "GRBM_SE2_PERF_SEL_CB_BUSY", "value": 2},
2046 {"name": "GRBM_SE2_PERF_SEL_DB_BUSY", "value": 3},
2047 {"name": "GRBM_SE2_PERF_SEL_SC_BUSY", "value": 4},
2048 {"name": "GRBM_SE2_PERF_SEL_RESERVED_1", "value": 5},
2049 {"name": "GRBM_SE2_PERF_SEL_SPI_BUSY", "value": 6},
2050 {"name": "GRBM_SE2_PERF_SEL_SX_BUSY", "value": 7},
2051 {"name": "GRBM_SE2_PERF_SEL_TA_BUSY", "value": 8},
2052 {"name": "GRBM_SE2_PERF_SEL_CB_CLEAN", "value": 9},
2053 {"name": "GRBM_SE2_PERF_SEL_DB_CLEAN", "value": 10},
2054 {"name": "GRBM_SE2_PERF_SEL_RESERVED_0", "value": 11},
2055 {"name": "GRBM_SE2_PERF_SEL_PA_BUSY", "value": 12},
2056 {"name": "GRBM_SE2_PERF_SEL_RESERVED_2", "value": 13},
2057 {"name": "GRBM_SE2_PERF_SEL_BCI_BUSY", "value": 14},
2058 {"name": "GRBM_SE2_PERF_SEL_RMI_BUSY", "value": 15},
2059 {"name": "GRBM_SE2_PERF_SEL_UTCL1_BUSY", "value": 16},
2060 {"name": "GRBM_SE2_PERF_SEL_TCP_BUSY", "value": 17},
2061 {"name": "GRBM_SE2_PERF_SEL_GL1CC_BUSY", "value": 18}
2062 ]
2063 },
2064 "GRBM_SE3_PERF_SEL": {
2065 "entries": [
2066 {"name": "GRBM_SE3_PERF_SEL_COUNT", "value": 0},
2067 {"name": "GRBM_SE3_PERF_SEL_USER_DEFINED", "value": 1},
2068 {"name": "GRBM_SE3_PERF_SEL_CB_BUSY", "value": 2},
2069 {"name": "GRBM_SE3_PERF_SEL_DB_BUSY", "value": 3},
2070 {"name": "GRBM_SE3_PERF_SEL_SC_BUSY", "value": 4},
2071 {"name": "GRBM_SE3_PERF_SEL_RESERVED_1", "value": 5},
2072 {"name": "GRBM_SE3_PERF_SEL_SPI_BUSY", "value": 6},
2073 {"name": "GRBM_SE3_PERF_SEL_SX_BUSY", "value": 7},
2074 {"name": "GRBM_SE3_PERF_SEL_TA_BUSY", "value": 8},
2075 {"name": "GRBM_SE3_PERF_SEL_CB_CLEAN", "value": 9},
2076 {"name": "GRBM_SE3_PERF_SEL_DB_CLEAN", "value": 10},
2077 {"name": "GRBM_SE3_PERF_SEL_RESERVED_0", "value": 11},
2078 {"name": "GRBM_SE3_PERF_SEL_PA_BUSY", "value": 12},
2079 {"name": "GRBM_SE3_PERF_SEL_RESERVED_2", "value": 13},
2080 {"name": "GRBM_SE3_PERF_SEL_BCI_BUSY", "value": 14},
2081 {"name": "GRBM_SE3_PERF_SEL_RMI_BUSY", "value": 15},
2082 {"name": "GRBM_SE3_PERF_SEL_UTCL1_BUSY", "value": 16},
2083 {"name": "GRBM_SE3_PERF_SEL_TCP_BUSY", "value": 17},
2084 {"name": "GRBM_SE3_PERF_SEL_GL1CC_BUSY", "value": 18}
2085 ]
2086 },
2087 "PH_PERFCNT_SEL": {
2088 "entries": [
2089 {"name": "PH_SC0_SRPS_WINDOW_VALID", "value": 0},
2090 {"name": "PH_SC0_ARB_XFC_ALL_EVENT_OR_PRIM_CYCLES", "value": 1},
2091 {"name": "PH_SC0_ARB_XFC_ONLY_PRIM_CYCLES", "value": 2},
2092 {"name": "PH_SC0_ARB_XFC_ONLY_ONE_INC_PER_PRIM", "value": 3},
2093 {"name": "PH_SC0_ARB_STALLED_FROM_BELOW", "value": 4},
2094 {"name": "PH_SC0_ARB_STARVED_FROM_ABOVE", "value": 5},
2095 {"name": "PH_SC0_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_NOT_EMPTY", "value": 6},
2096 {"name": "PH_SC0_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_FULL", "value": 7},
2097 {"name": "PH_SC0_ARB_BUSY", "value": 8},
2098 {"name": "PH_SC0_ARB_PA_BUSY_SOP", "value": 9},
2099 {"name": "PH_SC0_ARB_EOP_POP_SYNC_POP", "value": 10},
2100 {"name": "PH_SC0_ARB_EVENT_SYNC_POP", "value": 11},
2101 {"name": "PH_SC0_PS_ENG_MULTICYCLE_BUBBLE", "value": 12},
2102 {"name": "PH_SC0_EOP_SYNC_WINDOW", "value": 13},
2103 {"name": "PH_SC0_BUSY_PROCESSING_MULTICYCLE_PRIM", "value": 14},
2104 {"name": "PH_SC0_BUSY_CNT_NOT_ZERO", "value": 15},
2105 {"name": "PH_SC0_SEND", "value": 16},
2106 {"name": "PH_SC0_CREDIT_AT_ZERO_WITH_PENDING_SEND", "value": 17},
2107 {"name": "PH_SC0_CREDIT_AT_MAX", "value": 18},
2108 {"name": "PH_SC0_CREDIT_AT_MAX_NO_PENDING_SEND", "value": 19},
2109 {"name": "PH_SC0_GFX_PIPE_EVENT_PROVOKED_TRANSITION", "value": 20},
2110 {"name": "PH_SC0_GFX_PIPE_PRIM_PROVOKED_TRANSITION", "value": 21},
2111 {"name": "PH_SC0_GFX_PIPE0_TO_1_TRANSITION", "value": 22},
2112 {"name": "PH_SC0_GFX_PIPE1_TO_0_TRANSITION", "value": 23},
2113 {"name": "PH_SC0_PA0_DATA_FIFO_RD", "value": 24},
2114 {"name": "PH_SC0_PA0_DATA_FIFO_WE", "value": 25},
2115 {"name": "PH_SC0_PA0_FIFO_EMPTY", "value": 26},
2116 {"name": "PH_SC0_PA0_FIFO_FULL", "value": 27},
2117 {"name": "PH_SC0_PA0_NULL_WE", "value": 28},
2118 {"name": "PH_SC0_PA0_EVENT_WE", "value": 29},
2119 {"name": "PH_SC0_PA0_FPOV_WE", "value": 30},
2120 {"name": "PH_SC0_PA0_LPOV_WE", "value": 31},
2121 {"name": "PH_SC0_PA0_EOP_WE", "value": 32},
2122 {"name": "PH_SC0_PA0_DATA_FIFO_EOP_RD", "value": 33},
2123 {"name": "PH_SC0_PA0_EOPG_WE", "value": 34},
2124 {"name": "PH_SC0_PA0_DEALLOC_4_0_RD", "value": 35},
2125 {"name": "PH_SC0_PA1_DATA_FIFO_RD", "value": 36},
2126 {"name": "PH_SC0_PA1_DATA_FIFO_WE", "value": 37},
2127 {"name": "PH_SC0_PA1_FIFO_EMPTY", "value": 38},
2128 {"name": "PH_SC0_PA1_FIFO_FULL", "value": 39},
2129 {"name": "PH_SC0_PA1_NULL_WE", "value": 40},
2130 {"name": "PH_SC0_PA1_EVENT_WE", "value": 41},
2131 {"name": "PH_SC0_PA1_FPOV_WE", "value": 42},
2132 {"name": "PH_SC0_PA1_LPOV_WE", "value": 43},
2133 {"name": "PH_SC0_PA1_EOP_WE", "value": 44},
2134 {"name": "PH_SC0_PA1_DATA_FIFO_EOP_RD", "value": 45},
2135 {"name": "PH_SC0_PA1_EOPG_WE", "value": 46},
2136 {"name": "PH_SC0_PA1_DEALLOC_4_0_RD", "value": 47},
2137 {"name": "PH_SC0_PA2_DATA_FIFO_RD", "value": 48},
2138 {"name": "PH_SC0_PA2_DATA_FIFO_WE", "value": 49},
2139 {"name": "PH_SC0_PA2_FIFO_EMPTY", "value": 50},
2140 {"name": "PH_SC0_PA2_FIFO_FULL", "value": 51},
2141 {"name": "PH_SC0_PA2_NULL_WE", "value": 52},
2142 {"name": "PH_SC0_PA2_EVENT_WE", "value": 53},
2143 {"name": "PH_SC0_PA2_FPOV_WE", "value": 54},
2144 {"name": "PH_SC0_PA2_LPOV_WE", "value": 55},
2145 {"name": "PH_SC0_PA2_EOP_WE", "value": 56},
2146 {"name": "PH_SC0_PA2_DATA_FIFO_EOP_RD", "value": 57},
2147 {"name": "PH_SC0_PA2_EOPG_WE", "value": 58},
2148 {"name": "PH_SC0_PA2_DEALLOC_4_0_RD", "value": 59},
2149 {"name": "PH_SC0_PA3_DATA_FIFO_RD", "value": 60},
2150 {"name": "PH_SC0_PA3_DATA_FIFO_WE", "value": 61},
2151 {"name": "PH_SC0_PA3_FIFO_EMPTY", "value": 62},
2152 {"name": "PH_SC0_PA3_FIFO_FULL", "value": 63},
2153 {"name": "PH_SC0_PA3_NULL_WE", "value": 64},
2154 {"name": "PH_SC0_PA3_EVENT_WE", "value": 65},
2155 {"name": "PH_SC0_PA3_FPOV_WE", "value": 66},
2156 {"name": "PH_SC0_PA3_LPOV_WE", "value": 67},
2157 {"name": "PH_SC0_PA3_EOP_WE", "value": 68},
2158 {"name": "PH_SC0_PA3_DATA_FIFO_EOP_RD", "value": 69},
2159 {"name": "PH_SC0_PA3_EOPG_WE", "value": 70},
2160 {"name": "PH_SC0_PA3_DEALLOC_4_0_RD", "value": 71},
2161 {"name": "PH_SC0_PA4_DATA_FIFO_RD", "value": 72},
2162 {"name": "PH_SC0_PA4_DATA_FIFO_WE", "value": 73},
2163 {"name": "PH_SC0_PA4_FIFO_EMPTY", "value": 74},
2164 {"name": "PH_SC0_PA4_FIFO_FULL", "value": 75},
2165 {"name": "PH_SC0_PA4_NULL_WE", "value": 76},
2166 {"name": "PH_SC0_PA4_EVENT_WE", "value": 77},
2167 {"name": "PH_SC0_PA4_FPOV_WE", "value": 78},
2168 {"name": "PH_SC0_PA4_LPOV_WE", "value": 79},
2169 {"name": "PH_SC0_PA4_EOP_WE", "value": 80},
2170 {"name": "PH_SC0_PA4_DATA_FIFO_EOP_RD", "value": 81},
2171 {"name": "PH_SC0_PA4_EOPG_WE", "value": 82},
2172 {"name": "PH_SC0_PA4_DEALLOC_4_0_RD", "value": 83},
2173 {"name": "PH_SC0_PA5_DATA_FIFO_RD", "value": 84},
2174 {"name": "PH_SC0_PA5_DATA_FIFO_WE", "value": 85},
2175 {"name": "PH_SC0_PA5_FIFO_EMPTY", "value": 86},
2176 {"name": "PH_SC0_PA5_FIFO_FULL", "value": 87},
2177 {"name": "PH_SC0_PA5_NULL_WE", "value": 88},
2178 {"name": "PH_SC0_PA5_EVENT_WE", "value": 89},
2179 {"name": "PH_SC0_PA5_FPOV_WE", "value": 90},
2180 {"name": "PH_SC0_PA5_LPOV_WE", "value": 91},
2181 {"name": "PH_SC0_PA5_EOP_WE", "value": 92},
2182 {"name": "PH_SC0_PA5_DATA_FIFO_EOP_RD", "value": 93},
2183 {"name": "PH_SC0_PA5_EOPG_WE", "value": 94},
2184 {"name": "PH_SC0_PA5_DEALLOC_4_0_RD", "value": 95},
2185 {"name": "PH_SC0_PA6_DATA_FIFO_RD", "value": 96},
2186 {"name": "PH_SC0_PA6_DATA_FIFO_WE", "value": 97},
2187 {"name": "PH_SC0_PA6_FIFO_EMPTY", "value": 98},
2188 {"name": "PH_SC0_PA6_FIFO_FULL", "value": 99},
2189 {"name": "PH_SC0_PA6_NULL_WE", "value": 100},
2190 {"name": "PH_SC0_PA6_EVENT_WE", "value": 101},
2191 {"name": "PH_SC0_PA6_FPOV_WE", "value": 102},
2192 {"name": "PH_SC0_PA6_LPOV_WE", "value": 103},
2193 {"name": "PH_SC0_PA6_EOP_WE", "value": 104},
2194 {"name": "PH_SC0_PA6_DATA_FIFO_EOP_RD", "value": 105},
2195 {"name": "PH_SC0_PA6_EOPG_WE", "value": 106},
2196 {"name": "PH_SC0_PA6_DEALLOC_4_0_RD", "value": 107},
2197 {"name": "PH_SC0_PA7_DATA_FIFO_RD", "value": 108},
2198 {"name": "PH_SC0_PA7_DATA_FIFO_WE", "value": 109},
2199 {"name": "PH_SC0_PA7_FIFO_EMPTY", "value": 110},
2200 {"name": "PH_SC0_PA7_FIFO_FULL", "value": 111},
2201 {"name": "PH_SC0_PA7_NULL_WE", "value": 112},
2202 {"name": "PH_SC0_PA7_EVENT_WE", "value": 113},
2203 {"name": "PH_SC0_PA7_FPOV_WE", "value": 114},
2204 {"name": "PH_SC0_PA7_LPOV_WE", "value": 115},
2205 {"name": "PH_SC0_PA7_EOP_WE", "value": 116},
2206 {"name": "PH_SC0_PA7_DATA_FIFO_EOP_RD", "value": 117},
2207 {"name": "PH_SC0_PA7_EOPG_WE", "value": 118},
2208 {"name": "PH_SC0_PA7_DEALLOC_4_0_RD", "value": 119},
2209 {"name": "PH_SC1_SRPS_WINDOW_VALID", "value": 120},
2210 {"name": "PH_SC1_ARB_XFC_ALL_EVENT_OR_PRIM_CYCLES", "value": 121},
2211 {"name": "PH_SC1_ARB_XFC_ONLY_PRIM_CYCLES", "value": 122},
2212 {"name": "PH_SC1_ARB_XFC_ONLY_ONE_INC_PER_PRIM", "value": 123},
2213 {"name": "PH_SC1_ARB_STALLED_FROM_BELOW", "value": 124},
2214 {"name": "PH_SC1_ARB_STARVED_FROM_ABOVE", "value": 125},
2215 {"name": "PH_SC1_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_NOT_EMPTY", "value": 126},
2216 {"name": "PH_SC1_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_FULL", "value": 127},
2217 {"name": "PH_SC1_ARB_BUSY", "value": 128},
2218 {"name": "PH_SC1_ARB_PA_BUSY_SOP", "value": 129},
2219 {"name": "PH_SC1_ARB_EOP_POP_SYNC_POP", "value": 130},
2220 {"name": "PH_SC1_ARB_EVENT_SYNC_POP", "value": 131},
2221 {"name": "PH_SC1_PS_ENG_MULTICYCLE_BUBBLE", "value": 132},
2222 {"name": "PH_SC1_EOP_SYNC_WINDOW", "value": 133},
2223 {"name": "PH_SC1_BUSY_PROCESSING_MULTICYCLE_PRIM", "value": 134},
2224 {"name": "PH_SC1_BUSY_CNT_NOT_ZERO", "value": 135},
2225 {"name": "PH_SC1_SEND", "value": 136},
2226 {"name": "PH_SC1_CREDIT_AT_ZERO_WITH_PENDING_SEND", "value": 137},
2227 {"name": "PH_SC1_CREDIT_AT_MAX", "value": 138},
2228 {"name": "PH_SC1_CREDIT_AT_MAX_NO_PENDING_SEND", "value": 139},
2229 {"name": "PH_SC1_GFX_PIPE_EVENT_PROVOKED_TRANSITION", "value": 140},
2230 {"name": "PH_SC1_GFX_PIPE_EOP_PRIM_PROVOKED_TRANSITION", "value": 141},
2231 {"name": "PH_SC1_GFX_PIPE0_TO_1_TRANSITION", "value": 142},
2232 {"name": "PH_SC1_GFX_PIPE1_TO_0_TRANSITION", "value": 143},
2233 {"name": "PH_SC1_PA0_DATA_FIFO_RD", "value": 144},
2234 {"name": "PH_SC1_PA0_DATA_FIFO_WE", "value": 145},
2235 {"name": "PH_SC1_PA0_FIFO_EMPTY", "value": 146},
2236 {"name": "PH_SC1_PA0_FIFO_FULL", "value": 147},
2237 {"name": "PH_SC1_PA0_NULL_WE", "value": 148},
2238 {"name": "PH_SC1_PA0_EVENT_WE", "value": 149},
2239 {"name": "PH_SC1_PA0_FPOV_WE", "value": 150},
2240 {"name": "PH_SC1_PA0_LPOV_WE", "value": 151},
2241 {"name": "PH_SC1_PA0_EOP_WE", "value": 152},
2242 {"name": "PH_SC1_PA0_DATA_FIFO_EOP_RD", "value": 153},
2243 {"name": "PH_SC1_PA0_EOPG_WE", "value": 154},
2244 {"name": "PH_SC1_PA0_DEALLOC_4_0_RD", "value": 155},
2245 {"name": "PH_SC1_PA1_DATA_FIFO_RD", "value": 156},
2246 {"name": "PH_SC1_PA1_DATA_FIFO_WE", "value": 157},
2247 {"name": "PH_SC1_PA1_FIFO_EMPTY", "value": 158},
2248 {"name": "PH_SC1_PA1_FIFO_FULL", "value": 159},
2249 {"name": "PH_SC1_PA1_NULL_WE", "value": 160},
2250 {"name": "PH_SC1_PA1_EVENT_WE", "value": 161},
2251 {"name": "PH_SC1_PA1_FPOV_WE", "value": 162},
2252 {"name": "PH_SC1_PA1_LPOV_WE", "value": 163},
2253 {"name": "PH_SC1_PA1_EOP_WE", "value": 164},
2254 {"name": "PH_SC1_PA1_DATA_FIFO_EOP_RD", "value": 165},
2255 {"name": "PH_SC1_PA1_EOPG_WE", "value": 166},
2256 {"name": "PH_SC1_PA1_DEALLOC_4_0_RD", "value": 167},
2257 {"name": "PH_SC1_PA2_DATA_FIFO_RD", "value": 168},
2258 {"name": "PH_SC1_PA2_DATA_FIFO_WE", "value": 169},
2259 {"name": "PH_SC1_PA2_FIFO_EMPTY", "value": 170},
2260 {"name": "PH_SC1_PA2_FIFO_FULL", "value": 171},
2261 {"name": "PH_SC1_PA2_NULL_WE", "value": 172},
2262 {"name": "PH_SC1_PA2_EVENT_WE", "value": 173},
2263 {"name": "PH_SC1_PA2_FPOV_WE", "value": 174},
2264 {"name": "PH_SC1_PA2_LPOV_WE", "value": 175},
2265 {"name": "PH_SC1_PA2_EOP_WE", "value": 176},
2266 {"name": "PH_SC1_PA2_DATA_FIFO_EOP_RD", "value": 177},
2267 {"name": "PH_SC1_PA2_EOPG_WE", "value": 178},
2268 {"name": "PH_SC1_PA2_DEALLOC_4_0_RD", "value": 179},
2269 {"name": "PH_SC1_PA3_DATA_FIFO_RD", "value": 180},
2270 {"name": "PH_SC1_PA3_DATA_FIFO_WE", "value": 181},
2271 {"name": "PH_SC1_PA3_FIFO_EMPTY", "value": 182},
2272 {"name": "PH_SC1_PA3_FIFO_FULL", "value": 183},
2273 {"name": "PH_SC1_PA3_NULL_WE", "value": 184},
2274 {"name": "PH_SC1_PA3_EVENT_WE", "value": 185},
2275 {"name": "PH_SC1_PA3_FPOV_WE", "value": 186},
2276 {"name": "PH_SC1_PA3_LPOV_WE", "value": 187},
2277 {"name": "PH_SC1_PA3_EOP_WE", "value": 188},
2278 {"name": "PH_SC1_PA3_DATA_FIFO_EOP_RD", "value": 189},
2279 {"name": "PH_SC1_PA3_EOPG_WE", "value": 190},
2280 {"name": "PH_SC1_PA3_DEALLOC_4_0_RD", "value": 191},
2281 {"name": "PH_SC1_PA4_DATA_FIFO_RD", "value": 192},
2282 {"name": "PH_SC1_PA4_DATA_FIFO_WE", "value": 193},
2283 {"name": "PH_SC1_PA4_FIFO_EMPTY", "value": 194},
2284 {"name": "PH_SC1_PA4_FIFO_FULL", "value": 195},
2285 {"name": "PH_SC1_PA4_NULL_WE", "value": 196},
2286 {"name": "PH_SC1_PA4_EVENT_WE", "value": 197},
2287 {"name": "PH_SC1_PA4_FPOV_WE", "value": 198},
2288 {"name": "PH_SC1_PA4_LPOV_WE", "value": 199},
2289 {"name": "PH_SC1_PA4_EOP_WE", "value": 200},
2290 {"name": "PH_SC1_PA4_DATA_FIFO_EOP_RD", "value": 201},
2291 {"name": "PH_SC1_PA4_EOPG_WE", "value": 202},
2292 {"name": "PH_SC1_PA4_DEALLOC_4_0_RD", "value": 203},
2293 {"name": "PH_SC1_PA5_DATA_FIFO_RD", "value": 204},
2294 {"name": "PH_SC1_PA5_DATA_FIFO_WE", "value": 205},
2295 {"name": "PH_SC1_PA5_FIFO_EMPTY", "value": 206},
2296 {"name": "PH_SC1_PA5_FIFO_FULL", "value": 207},
2297 {"name": "PH_SC1_PA5_NULL_WE", "value": 208},
2298 {"name": "PH_SC1_PA5_EVENT_WE", "value": 209},
2299 {"name": "PH_SC1_PA5_FPOV_WE", "value": 210},
2300 {"name": "PH_SC1_PA5_LPOV_WE", "value": 211},
2301 {"name": "PH_SC1_PA5_EOP_WE", "value": 212},
2302 {"name": "PH_SC1_PA5_DATA_FIFO_EOP_RD", "value": 213},
2303 {"name": "PH_SC1_PA5_EOPG_WE", "value": 214},
2304 {"name": "PH_SC1_PA5_DEALLOC_4_0_RD", "value": 215},
2305 {"name": "PH_SC1_PA6_DATA_FIFO_RD", "value": 216},
2306 {"name": "PH_SC1_PA6_DATA_FIFO_WE", "value": 217},
2307 {"name": "PH_SC1_PA6_FIFO_EMPTY", "value": 218},
2308 {"name": "PH_SC1_PA6_FIFO_FULL", "value": 219},
2309 {"name": "PH_SC1_PA6_NULL_WE", "value": 220},
2310 {"name": "PH_SC1_PA6_EVENT_WE", "value": 221},
2311 {"name": "PH_SC1_PA6_FPOV_WE", "value": 222},
2312 {"name": "PH_SC1_PA6_LPOV_WE", "value": 223},
2313 {"name": "PH_SC1_PA6_EOP_WE", "value": 224},
2314 {"name": "PH_SC1_PA6_DATA_FIFO_EOP_RD", "value": 225},
2315 {"name": "PH_SC1_PA6_EOPG_WE", "value": 226},
2316 {"name": "PH_SC1_PA6_DEALLOC_4_0_RD", "value": 227},
2317 {"name": "PH_SC1_PA7_DATA_FIFO_RD", "value": 228},
2318 {"name": "PH_SC1_PA7_DATA_FIFO_WE", "value": 229},
2319 {"name": "PH_SC1_PA7_FIFO_EMPTY", "value": 230},
2320 {"name": "PH_SC1_PA7_FIFO_FULL", "value": 231},
2321 {"name": "PH_SC1_PA7_NULL_WE", "value": 232},
2322 {"name": "PH_SC1_PA7_EVENT_WE", "value": 233},
2323 {"name": "PH_SC1_PA7_FPOV_WE", "value": 234},
2324 {"name": "PH_SC1_PA7_LPOV_WE", "value": 235},
2325 {"name": "PH_SC1_PA7_EOP_WE", "value": 236},
2326 {"name": "PH_SC1_PA7_DATA_FIFO_EOP_RD", "value": 237},
2327 {"name": "PH_SC1_PA7_EOPG_WE", "value": 238},
2328 {"name": "PH_SC1_PA7_DEALLOC_4_0_RD", "value": 239},
2329 {"name": "PH_SC2_SRPS_WINDOW_VALID", "value": 240},
2330 {"name": "PH_SC2_ARB_XFC_ALL_EVENT_OR_PRIM_CYCLES", "value": 241},
2331 {"name": "PH_SC2_ARB_XFC_ONLY_PRIM_CYCLES", "value": 242},
2332 {"name": "PH_SC2_ARB_XFC_ONLY_ONE_INC_PER_PRIM", "value": 243},
2333 {"name": "PH_SC2_ARB_STALLED_FROM_BELOW", "value": 244},
2334 {"name": "PH_SC2_ARB_STARVED_FROM_ABOVE", "value": 245},
2335 {"name": "PH_SC2_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_NOT_EMPTY", "value": 246},
2336 {"name": "PH_SC2_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_FULL", "value": 247},
2337 {"name": "PH_SC2_ARB_BUSY", "value": 248},
2338 {"name": "PH_SC2_ARB_PA_BUSY_SOP", "value": 249},
2339 {"name": "PH_SC2_ARB_EOP_POP_SYNC_POP", "value": 250},
2340 {"name": "PH_SC2_ARB_EVENT_SYNC_POP", "value": 251},
2341 {"name": "PH_SC2_PS_ENG_MULTICYCLE_BUBBLE", "value": 252},
2342 {"name": "PH_SC2_EOP_SYNC_WINDOW", "value": 253},
2343 {"name": "PH_SC2_BUSY_PROCESSING_MULTICYCLE_PRIM", "value": 254},
2344 {"name": "PH_SC2_BUSY_CNT_NOT_ZERO", "value": 255},
2345 {"name": "PH_SC2_SEND", "value": 256},
2346 {"name": "PH_SC2_CREDIT_AT_ZERO_WITH_PENDING_SEND", "value": 257},
2347 {"name": "PH_SC2_CREDIT_AT_MAX", "value": 258},
2348 {"name": "PH_SC2_CREDIT_AT_MAX_NO_PENDING_SEND", "value": 259},
2349 {"name": "PH_SC2_GFX_PIPE_EVENT_PROVOKED_TRANSITION", "value": 260},
2350 {"name": "PH_SC2_GFX_PIPE_EOP_PRIM_PROVOKED_TRANSITION", "value": 261},
2351 {"name": "PH_SC2_GFX_PIPE0_TO_1_TRANSITION", "value": 262},
2352 {"name": "PH_SC2_GFX_PIPE1_TO_0_TRANSITION", "value": 263},
2353 {"name": "PH_SC2_PA0_DATA_FIFO_RD", "value": 264},
2354 {"name": "PH_SC2_PA0_DATA_FIFO_WE", "value": 265},
2355 {"name": "PH_SC2_PA0_FIFO_EMPTY", "value": 266},
2356 {"name": "PH_SC2_PA0_FIFO_FULL", "value": 267},
2357 {"name": "PH_SC2_PA0_NULL_WE", "value": 268},
2358 {"name": "PH_SC2_PA0_EVENT_WE", "value": 269},
2359 {"name": "PH_SC2_PA0_FPOV_WE", "value": 270},
2360 {"name": "PH_SC2_PA0_LPOV_WE", "value": 271},
2361 {"name": "PH_SC2_PA0_EOP_WE", "value": 272},
2362 {"name": "PH_SC2_PA0_DATA_FIFO_EOP_RD", "value": 273},
2363 {"name": "PH_SC2_PA0_EOPG_WE", "value": 274},
2364 {"name": "PH_SC2_PA0_DEALLOC_4_0_RD", "value": 275},
2365 {"name": "PH_SC2_PA1_DATA_FIFO_RD", "value": 276},
2366 {"name": "PH_SC2_PA1_DATA_FIFO_WE", "value": 277},
2367 {"name": "PH_SC2_PA1_FIFO_EMPTY", "value": 278},
2368 {"name": "PH_SC2_PA1_FIFO_FULL", "value": 279},
2369 {"name": "PH_SC2_PA1_NULL_WE", "value": 280},
2370 {"name": "PH_SC2_PA1_EVENT_WE", "value": 281},
2371 {"name": "PH_SC2_PA1_FPOV_WE", "value": 282},
2372 {"name": "PH_SC2_PA1_LPOV_WE", "value": 283},
2373 {"name": "PH_SC2_PA1_EOP_WE", "value": 284},
2374 {"name": "PH_SC2_PA1_DATA_FIFO_EOP_RD", "value": 285},
2375 {"name": "PH_SC2_PA1_EOPG_WE", "value": 286},
2376 {"name": "PH_SC2_PA1_DEALLOC_4_0_RD", "value": 287},
2377 {"name": "PH_SC2_PA2_DATA_FIFO_RD", "value": 288},
2378 {"name": "PH_SC2_PA2_DATA_FIFO_WE", "value": 289},
2379 {"name": "PH_SC2_PA2_FIFO_EMPTY", "value": 290},
2380 {"name": "PH_SC2_PA2_FIFO_FULL", "value": 291},
2381 {"name": "PH_SC2_PA2_NULL_WE", "value": 292},
2382 {"name": "PH_SC2_PA2_EVENT_WE", "value": 293},
2383 {"name": "PH_SC2_PA2_FPOV_WE", "value": 294},
2384 {"name": "PH_SC2_PA2_LPOV_WE", "value": 295},
2385 {"name": "PH_SC2_PA2_EOP_WE", "value": 296},
2386 {"name": "PH_SC2_PA2_DATA_FIFO_EOP_RD", "value": 297},
2387 {"name": "PH_SC2_PA2_EOPG_WE", "value": 298},
2388 {"name": "PH_SC2_PA2_DEALLOC_4_0_RD", "value": 299},
2389 {"name": "PH_SC2_PA3_DATA_FIFO_RD", "value": 300},
2390 {"name": "PH_SC2_PA3_DATA_FIFO_WE", "value": 301},
2391 {"name": "PH_SC2_PA3_FIFO_EMPTY", "value": 302},
2392 {"name": "PH_SC2_PA3_FIFO_FULL", "value": 303},
2393 {"name": "PH_SC2_PA3_NULL_WE", "value": 304},
2394 {"name": "PH_SC2_PA3_EVENT_WE", "value": 305},
2395 {"name": "PH_SC2_PA3_FPOV_WE", "value": 306},
2396 {"name": "PH_SC2_PA3_LPOV_WE", "value": 307},
2397 {"name": "PH_SC2_PA3_EOP_WE", "value": 308},
2398 {"name": "PH_SC2_PA3_DATA_FIFO_EOP_RD", "value": 309},
2399 {"name": "PH_SC2_PA3_EOPG_WE", "value": 310},
2400 {"name": "PH_SC2_PA3_DEALLOC_4_0_RD", "value": 311},
2401 {"name": "PH_SC2_PA4_DATA_FIFO_RD", "value": 312},
2402 {"name": "PH_SC2_PA4_DATA_FIFO_WE", "value": 313},
2403 {"name": "PH_SC2_PA4_FIFO_EMPTY", "value": 314},
2404 {"name": "PH_SC2_PA4_FIFO_FULL", "value": 315},
2405 {"name": "PH_SC2_PA4_NULL_WE", "value": 316},
2406 {"name": "PH_SC2_PA4_EVENT_WE", "value": 317},
2407 {"name": "PH_SC2_PA4_FPOV_WE", "value": 318},
2408 {"name": "PH_SC2_PA4_LPOV_WE", "value": 319},
2409 {"name": "PH_SC2_PA4_EOP_WE", "value": 320},
2410 {"name": "PH_SC2_PA4_DATA_FIFO_EOP_RD", "value": 321},
2411 {"name": "PH_SC2_PA4_EOPG_WE", "value": 322},
2412 {"name": "PH_SC2_PA4_DEALLOC_4_0_RD", "value": 323},
2413 {"name": "PH_SC2_PA5_DATA_FIFO_RD", "value": 324},
2414 {"name": "PH_SC2_PA5_DATA_FIFO_WE", "value": 325},
2415 {"name": "PH_SC2_PA5_FIFO_EMPTY", "value": 326},
2416 {"name": "PH_SC2_PA5_FIFO_FULL", "value": 327},
2417 {"name": "PH_SC2_PA5_NULL_WE", "value": 328},
2418 {"name": "PH_SC2_PA5_EVENT_WE", "value": 329},
2419 {"name": "PH_SC2_PA5_FPOV_WE", "value": 330},
2420 {"name": "PH_SC2_PA5_LPOV_WE", "value": 331},
2421 {"name": "PH_SC2_PA5_EOP_WE", "value": 332},
2422 {"name": "PH_SC2_PA5_DATA_FIFO_EOP_RD", "value": 333},
2423 {"name": "PH_SC2_PA5_EOPG_WE", "value": 334},
2424 {"name": "PH_SC2_PA5_DEALLOC_4_0_RD", "value": 335},
2425 {"name": "PH_SC2_PA6_DATA_FIFO_RD", "value": 336},
2426 {"name": "PH_SC2_PA6_DATA_FIFO_WE", "value": 337},
2427 {"name": "PH_SC2_PA6_FIFO_EMPTY", "value": 338},
2428 {"name": "PH_SC2_PA6_FIFO_FULL", "value": 339},
2429 {"name": "PH_SC2_PA6_NULL_WE", "value": 340},
2430 {"name": "PH_SC2_PA6_EVENT_WE", "value": 341},
2431 {"name": "PH_SC2_PA6_FPOV_WE", "value": 342},
2432 {"name": "PH_SC2_PA6_LPOV_WE", "value": 343},
2433 {"name": "PH_SC2_PA6_EOP_WE", "value": 344},
2434 {"name": "PH_SC2_PA6_DATA_FIFO_EOP_RD", "value": 345},
2435 {"name": "PH_SC2_PA6_EOPG_WE", "value": 346},
2436 {"name": "PH_SC2_PA6_DEALLOC_4_0_RD", "value": 347},
2437 {"name": "PH_SC2_PA7_DATA_FIFO_RD", "value": 348},
2438 {"name": "PH_SC2_PA7_DATA_FIFO_WE", "value": 349},
2439 {"name": "PH_SC2_PA7_FIFO_EMPTY", "value": 350},
2440 {"name": "PH_SC2_PA7_FIFO_FULL", "value": 351},
2441 {"name": "PH_SC2_PA7_NULL_WE", "value": 352},
2442 {"name": "PH_SC2_PA7_EVENT_WE", "value": 353},
2443 {"name": "PH_SC2_PA7_FPOV_WE", "value": 354},
2444 {"name": "PH_SC2_PA7_LPOV_WE", "value": 355},
2445 {"name": "PH_SC2_PA7_EOP_WE", "value": 356},
2446 {"name": "PH_SC2_PA7_DATA_FIFO_EOP_RD", "value": 357},
2447 {"name": "PH_SC2_PA7_EOPG_WE", "value": 358},
2448 {"name": "PH_SC2_PA7_DEALLOC_4_0_RD", "value": 359},
2449 {"name": "PH_SC3_SRPS_WINDOW_VALID", "value": 360},
2450 {"name": "PH_SC3_ARB_XFC_ALL_EVENT_OR_PRIM_CYCLES", "value": 361},
2451 {"name": "PH_SC3_ARB_XFC_ONLY_PRIM_CYCLES", "value": 362},
2452 {"name": "PH_SC3_ARB_XFC_ONLY_ONE_INC_PER_PRIM", "value": 363},
2453 {"name": "PH_SC3_ARB_STALLED_FROM_BELOW", "value": 364},
2454 {"name": "PH_SC3_ARB_STARVED_FROM_ABOVE", "value": 365},
2455 {"name": "PH_SC3_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_NOT_EMPTY", "value": 366},
2456 {"name": "PH_SC3_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_FULL", "value": 367},
2457 {"name": "PH_SC3_ARB_BUSY", "value": 368},
2458 {"name": "PH_SC3_ARB_PA_BUSY_SOP", "value": 369},
2459 {"name": "PH_SC3_ARB_EOP_POP_SYNC_POP", "value": 370},
2460 {"name": "PH_SC3_ARB_EVENT_SYNC_POP", "value": 371},
2461 {"name": "PH_SC3_PS_ENG_MULTICYCLE_BUBBLE", "value": 372},
2462 {"name": "PH_SC3_EOP_SYNC_WINDOW", "value": 373},
2463 {"name": "PH_SC3_BUSY_PROCESSING_MULTICYCLE_PRIM", "value": 374},
2464 {"name": "PH_SC3_BUSY_CNT_NOT_ZERO", "value": 375},
2465 {"name": "PH_SC3_SEND", "value": 376},
2466 {"name": "PH_SC3_CREDIT_AT_ZERO_WITH_PENDING_SEND", "value": 377},
2467 {"name": "PH_SC3_CREDIT_AT_MAX", "value": 378},
2468 {"name": "PH_SC3_CREDIT_AT_MAX_NO_PENDING_SEND", "value": 379},
2469 {"name": "PH_SC3_GFX_PIPE_EVENT_PROVOKED_TRANSITION", "value": 380},
2470 {"name": "PH_SC3_GFX_PIPE_EOP_PRIM_PROVOKED_TRANSITION", "value": 381},
2471 {"name": "PH_SC3_GFX_PIPE0_TO_1_TRANSITION", "value": 382},
2472 {"name": "PH_SC3_GFX_PIPE1_TO_0_TRANSITION", "value": 383},
2473 {"name": "PH_SC3_PA0_DATA_FIFO_RD", "value": 384},
2474 {"name": "PH_SC3_PA0_DATA_FIFO_WE", "value": 385},
2475 {"name": "PH_SC3_PA0_FIFO_EMPTY", "value": 386},
2476 {"name": "PH_SC3_PA0_FIFO_FULL", "value": 387},
2477 {"name": "PH_SC3_PA0_NULL_WE", "value": 388},
2478 {"name": "PH_SC3_PA0_EVENT_WE", "value": 389},
2479 {"name": "PH_SC3_PA0_FPOV_WE", "value": 390},
2480 {"name": "PH_SC3_PA0_LPOV_WE", "value": 391},
2481 {"name": "PH_SC3_PA0_EOP_WE", "value": 392},
2482 {"name": "PH_SC3_PA0_DATA_FIFO_EOP_RD", "value": 393},
2483 {"name": "PH_SC3_PA0_EOPG_WE", "value": 394},
2484 {"name": "PH_SC3_PA0_DEALLOC_4_0_RD", "value": 395},
2485 {"name": "PH_SC3_PA1_DATA_FIFO_RD", "value": 396},
2486 {"name": "PH_SC3_PA1_DATA_FIFO_WE", "value": 397},
2487 {"name": "PH_SC3_PA1_FIFO_EMPTY", "value": 398},
2488 {"name": "PH_SC3_PA1_FIFO_FULL", "value": 399},
2489 {"name": "PH_SC3_PA1_NULL_WE", "value": 400},
2490 {"name": "PH_SC3_PA1_EVENT_WE", "value": 401},
2491 {"name": "PH_SC3_PA1_FPOV_WE", "value": 402},
2492 {"name": "PH_SC3_PA1_LPOV_WE", "value": 403},
2493 {"name": "PH_SC3_PA1_EOP_WE", "value": 404},
2494 {"name": "PH_SC3_PA1_DATA_FIFO_EOP_RD", "value": 405},
2495 {"name": "PH_SC3_PA1_EOPG_WE", "value": 406},
2496 {"name": "PH_SC3_PA1_DEALLOC_4_0_RD", "value": 407},
2497 {"name": "PH_SC3_PA2_DATA_FIFO_RD", "value": 408},
2498 {"name": "PH_SC3_PA2_DATA_FIFO_WE", "value": 409},
2499 {"name": "PH_SC3_PA2_FIFO_EMPTY", "value": 410},
2500 {"name": "PH_SC3_PA2_FIFO_FULL", "value": 411},
2501 {"name": "PH_SC3_PA2_NULL_WE", "value": 412},
2502 {"name": "PH_SC3_PA2_EVENT_WE", "value": 413},
2503 {"name": "PH_SC3_PA2_FPOV_WE", "value": 414},
2504 {"name": "PH_SC3_PA2_LPOV_WE", "value": 415},
2505 {"name": "PH_SC3_PA2_EOP_WE", "value": 416},
2506 {"name": "PH_SC3_PA2_DATA_FIFO_EOP_RD", "value": 417},
2507 {"name": "PH_SC3_PA2_EOPG_WE", "value": 418},
2508 {"name": "PH_SC3_PA2_DEALLOC_4_0_RD", "value": 419},
2509 {"name": "PH_SC3_PA3_DATA_FIFO_RD", "value": 420},
2510 {"name": "PH_SC3_PA3_DATA_FIFO_WE", "value": 421},
2511 {"name": "PH_SC3_PA3_FIFO_EMPTY", "value": 422},
2512 {"name": "PH_SC3_PA3_FIFO_FULL", "value": 423},
2513 {"name": "PH_SC3_PA3_NULL_WE", "value": 424},
2514 {"name": "PH_SC3_PA3_EVENT_WE", "value": 425},
2515 {"name": "PH_SC3_PA3_FPOV_WE", "value": 426},
2516 {"name": "PH_SC3_PA3_LPOV_WE", "value": 427},
2517 {"name": "PH_SC3_PA3_EOP_WE", "value": 428},
2518 {"name": "PH_SC3_PA3_DATA_FIFO_EOP_RD", "value": 429},
2519 {"name": "PH_SC3_PA3_EOPG_WE", "value": 430},
2520 {"name": "PH_SC3_PA3_DEALLOC_4_0_RD", "value": 431},
2521 {"name": "PH_SC3_PA4_DATA_FIFO_RD", "value": 432},
2522 {"name": "PH_SC3_PA4_DATA_FIFO_WE", "value": 433},
2523 {"name": "PH_SC3_PA4_FIFO_EMPTY", "value": 434},
2524 {"name": "PH_SC3_PA4_FIFO_FULL", "value": 435},
2525 {"name": "PH_SC3_PA4_NULL_WE", "value": 436},
2526 {"name": "PH_SC3_PA4_EVENT_WE", "value": 437},
2527 {"name": "PH_SC3_PA4_FPOV_WE", "value": 438},
2528 {"name": "PH_SC3_PA4_LPOV_WE", "value": 439},
2529 {"name": "PH_SC3_PA4_EOP_WE", "value": 440},
2530 {"name": "PH_SC3_PA4_DATA_FIFO_EOP_RD", "value": 441},
2531 {"name": "PH_SC3_PA4_EOPG_WE", "value": 442},
2532 {"name": "PH_SC3_PA4_DEALLOC_4_0_RD", "value": 443},
2533 {"name": "PH_SC3_PA5_DATA_FIFO_RD", "value": 444},
2534 {"name": "PH_SC3_PA5_DATA_FIFO_WE", "value": 445},
2535 {"name": "PH_SC3_PA5_FIFO_EMPTY", "value": 446},
2536 {"name": "PH_SC3_PA5_FIFO_FULL", "value": 447},
2537 {"name": "PH_SC3_PA5_NULL_WE", "value": 448},
2538 {"name": "PH_SC3_PA5_EVENT_WE", "value": 449},
2539 {"name": "PH_SC3_PA5_FPOV_WE", "value": 450},
2540 {"name": "PH_SC3_PA5_LPOV_WE", "value": 451},
2541 {"name": "PH_SC3_PA5_EOP_WE", "value": 452},
2542 {"name": "PH_SC3_PA5_DATA_FIFO_EOP_RD", "value": 453},
2543 {"name": "PH_SC3_PA5_EOPG_WE", "value": 454},
2544 {"name": "PH_SC3_PA5_DEALLOC_4_0_RD", "value": 455},
2545 {"name": "PH_SC3_PA6_DATA_FIFO_RD", "value": 456},
2546 {"name": "PH_SC3_PA6_DATA_FIFO_WE", "value": 457},
2547 {"name": "PH_SC3_PA6_FIFO_EMPTY", "value": 458},
2548 {"name": "PH_SC3_PA6_FIFO_FULL", "value": 459},
2549 {"name": "PH_SC3_PA6_NULL_WE", "value": 460},
2550 {"name": "PH_SC3_PA6_EVENT_WE", "value": 461},
2551 {"name": "PH_SC3_PA6_FPOV_WE", "value": 462},
2552 {"name": "PH_SC3_PA6_LPOV_WE", "value": 463},
2553 {"name": "PH_SC3_PA6_EOP_WE", "value": 464},
2554 {"name": "PH_SC3_PA6_DATA_FIFO_EOP_RD", "value": 465},
2555 {"name": "PH_SC3_PA6_EOPG_WE", "value": 466},
2556 {"name": "PH_SC3_PA6_DEALLOC_4_0_RD", "value": 467},
2557 {"name": "PH_SC3_PA7_DATA_FIFO_RD", "value": 468},
2558 {"name": "PH_SC3_PA7_DATA_FIFO_WE", "value": 469},
2559 {"name": "PH_SC3_PA7_FIFO_EMPTY", "value": 470},
2560 {"name": "PH_SC3_PA7_FIFO_FULL", "value": 471},
2561 {"name": "PH_SC3_PA7_NULL_WE", "value": 472},
2562 {"name": "PH_SC3_PA7_EVENT_WE", "value": 473},
2563 {"name": "PH_SC3_PA7_FPOV_WE", "value": 474},
2564 {"name": "PH_SC3_PA7_LPOV_WE", "value": 475},
2565 {"name": "PH_SC3_PA7_EOP_WE", "value": 476},
2566 {"name": "PH_SC3_PA7_DATA_FIFO_EOP_RD", "value": 477},
2567 {"name": "PH_SC3_PA7_EOPG_WE", "value": 478},
2568 {"name": "PH_SC3_PA7_DEALLOC_4_0_RD", "value": 479},
2569 {"name": "PH_SC4_SRPS_WINDOW_VALID", "value": 480},
2570 {"name": "PH_SC4_ARB_XFC_ALL_EVENT_OR_PRIM_CYCLES", "value": 481},
2571 {"name": "PH_SC4_ARB_XFC_ONLY_PRIM_CYCLES", "value": 482},
2572 {"name": "PH_SC4_ARB_XFC_ONLY_ONE_INC_PER_PRIM", "value": 483},
2573 {"name": "PH_SC4_ARB_STALLED_FROM_BELOW", "value": 484},
2574 {"name": "PH_SC4_ARB_STARVED_FROM_ABOVE", "value": 485},
2575 {"name": "PH_SC4_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_NOT_EMPTY", "value": 486},
2576 {"name": "PH_SC4_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_FULL", "value": 487},
2577 {"name": "PH_SC4_ARB_BUSY", "value": 488},
2578 {"name": "PH_SC4_ARB_PA_BUSY_SOP", "value": 489},
2579 {"name": "PH_SC4_ARB_EOP_POP_SYNC_POP", "value": 490},
2580 {"name": "PH_SC4_ARB_EVENT_SYNC_POP", "value": 491},
2581 {"name": "PH_SC4_PS_ENG_MULTICYCLE_BUBBLE", "value": 492},
2582 {"name": "PH_SC4_EOP_SYNC_WINDOW", "value": 493},
2583 {"name": "PH_SC4_BUSY_PROCESSING_MULTICYCLE_PRIM", "value": 494},
2584 {"name": "PH_SC4_BUSY_CNT_NOT_ZERO", "value": 495},
2585 {"name": "PH_SC4_SEND", "value": 496},
2586 {"name": "PH_SC4_CREDIT_AT_ZERO_WITH_PENDING_SEND", "value": 497},
2587 {"name": "PH_SC4_CREDIT_AT_MAX", "value": 498},
2588 {"name": "PH_SC4_CREDIT_AT_MAX_NO_PENDING_SEND", "value": 499},
2589 {"name": "PH_SC4_GFX_PIPE_EVENT_PROVOKED_TRANSITION", "value": 500},
2590 {"name": "PH_SC4_GFX_PIPE_EOP_PRIM_PROVOKED_TRANSITION", "value": 501},
2591 {"name": "PH_SC4_GFX_PIPE0_TO_1_TRANSITION", "value": 502},
2592 {"name": "PH_SC4_GFX_PIPE1_TO_0_TRANSITION", "value": 503},
2593 {"name": "PH_SC4_PA0_DATA_FIFO_RD", "value": 504},
2594 {"name": "PH_SC4_PA0_DATA_FIFO_WE", "value": 505},
2595 {"name": "PH_SC4_PA0_FIFO_EMPTY", "value": 506},
2596 {"name": "PH_SC4_PA0_FIFO_FULL", "value": 507},
2597 {"name": "PH_SC4_PA0_NULL_WE", "value": 508},
2598 {"name": "PH_SC4_PA0_EVENT_WE", "value": 509},
2599 {"name": "PH_SC4_PA0_FPOV_WE", "value": 510},
2600 {"name": "PH_SC4_PA0_LPOV_WE", "value": 511},
2601 {"name": "PH_SC4_PA0_EOP_WE", "value": 512},
2602 {"name": "PH_SC4_PA0_DATA_FIFO_EOP_RD", "value": 513},
2603 {"name": "PH_SC4_PA0_EOPG_WE", "value": 514},
2604 {"name": "PH_SC4_PA0_DEALLOC_4_0_RD", "value": 515},
2605 {"name": "PH_SC4_PA1_DATA_FIFO_RD", "value": 516},
2606 {"name": "PH_SC4_PA1_DATA_FIFO_WE", "value": 517},
2607 {"name": "PH_SC4_PA1_FIFO_EMPTY", "value": 518},
2608 {"name": "PH_SC4_PA1_FIFO_FULL", "value": 519},
2609 {"name": "PH_SC4_PA1_NULL_WE", "value": 520},
2610 {"name": "PH_SC4_PA1_EVENT_WE", "value": 521},
2611 {"name": "PH_SC4_PA1_FPOV_WE", "value": 522},
2612 {"name": "PH_SC4_PA1_LPOV_WE", "value": 523},
2613 {"name": "PH_SC4_PA1_EOP_WE", "value": 524},
2614 {"name": "PH_SC4_PA1_DATA_FIFO_EOP_RD", "value": 525},
2615 {"name": "PH_SC4_PA1_EOPG_WE", "value": 526},
2616 {"name": "PH_SC4_PA1_DEALLOC_4_0_RD", "value": 527},
2617 {"name": "PH_SC4_PA2_DATA_FIFO_RD", "value": 528},
2618 {"name": "PH_SC4_PA2_DATA_FIFO_WE", "value": 529},
2619 {"name": "PH_SC4_PA2_FIFO_EMPTY", "value": 530},
2620 {"name": "PH_SC4_PA2_FIFO_FULL", "value": 531},
2621 {"name": "PH_SC4_PA2_NULL_WE", "value": 532},
2622 {"name": "PH_SC4_PA2_EVENT_WE", "value": 533},
2623 {"name": "PH_SC4_PA2_FPOV_WE", "value": 534},
2624 {"name": "PH_SC4_PA2_LPOV_WE", "value": 535},
2625 {"name": "PH_SC4_PA2_EOP_WE", "value": 536},
2626 {"name": "PH_SC4_PA2_DATA_FIFO_EOP_RD", "value": 537},
2627 {"name": "PH_SC4_PA2_EOPG_WE", "value": 538},
2628 {"name": "PH_SC4_PA2_DEALLOC_4_0_RD", "value": 539},
2629 {"name": "PH_SC4_PA3_DATA_FIFO_RD", "value": 540},
2630 {"name": "PH_SC4_PA3_DATA_FIFO_WE", "value": 541},
2631 {"name": "PH_SC4_PA3_FIFO_EMPTY", "value": 542},
2632 {"name": "PH_SC4_PA3_FIFO_FULL", "value": 543},
2633 {"name": "PH_SC4_PA3_NULL_WE", "value": 544},
2634 {"name": "PH_SC4_PA3_EVENT_WE", "value": 545},
2635 {"name": "PH_SC4_PA3_FPOV_WE", "value": 546},
2636 {"name": "PH_SC4_PA3_LPOV_WE", "value": 547},
2637 {"name": "PH_SC4_PA3_EOP_WE", "value": 548},
2638 {"name": "PH_SC4_PA3_DATA_FIFO_EOP_RD", "value": 549},
2639 {"name": "PH_SC4_PA3_EOPG_WE", "value": 550},
2640 {"name": "PH_SC4_PA3_DEALLOC_4_0_RD", "value": 551},
2641 {"name": "PH_SC4_PA4_DATA_FIFO_RD", "value": 552},
2642 {"name": "PH_SC4_PA4_DATA_FIFO_WE", "value": 553},
2643 {"name": "PH_SC4_PA4_FIFO_EMPTY", "value": 554},
2644 {"name": "PH_SC4_PA4_FIFO_FULL", "value": 555},
2645 {"name": "PH_SC4_PA4_NULL_WE", "value": 556},
2646 {"name": "PH_SC4_PA4_EVENT_WE", "value": 557},
2647 {"name": "PH_SC4_PA4_FPOV_WE", "value": 558},
2648 {"name": "PH_SC4_PA4_LPOV_WE", "value": 559},
2649 {"name": "PH_SC4_PA4_EOP_WE", "value": 560},
2650 {"name": "PH_SC4_PA4_DATA_FIFO_EOP_RD", "value": 561},
2651 {"name": "PH_SC4_PA4_EOPG_WE", "value": 562},
2652 {"name": "PH_SC4_PA4_DEALLOC_4_0_RD", "value": 563},
2653 {"name": "PH_SC4_PA5_DATA_FIFO_RD", "value": 564},
2654 {"name": "PH_SC4_PA5_DATA_FIFO_WE", "value": 565},
2655 {"name": "PH_SC4_PA5_FIFO_EMPTY", "value": 566},
2656 {"name": "PH_SC4_PA5_FIFO_FULL", "value": 567},
2657 {"name": "PH_SC4_PA5_NULL_WE", "value": 568},
2658 {"name": "PH_SC4_PA5_EVENT_WE", "value": 569},
2659 {"name": "PH_SC4_PA5_FPOV_WE", "value": 570},
2660 {"name": "PH_SC4_PA5_LPOV_WE", "value": 571},
2661 {"name": "PH_SC4_PA5_EOP_WE", "value": 572},
2662 {"name": "PH_SC4_PA5_DATA_FIFO_EOP_RD", "value": 573},
2663 {"name": "PH_SC4_PA5_EOPG_WE", "value": 574},
2664 {"name": "PH_SC4_PA5_DEALLOC_4_0_RD", "value": 575},
2665 {"name": "PH_SC4_PA6_DATA_FIFO_RD", "value": 576},
2666 {"name": "PH_SC4_PA6_DATA_FIFO_WE", "value": 577},
2667 {"name": "PH_SC4_PA6_FIFO_EMPTY", "value": 578},
2668 {"name": "PH_SC4_PA6_FIFO_FULL", "value": 579},
2669 {"name": "PH_SC4_PA6_NULL_WE", "value": 580},
2670 {"name": "PH_SC4_PA6_EVENT_WE", "value": 581},
2671 {"name": "PH_SC4_PA6_FPOV_WE", "value": 582},
2672 {"name": "PH_SC4_PA6_LPOV_WE", "value": 583},
2673 {"name": "PH_SC4_PA6_EOP_WE", "value": 584},
2674 {"name": "PH_SC4_PA6_DATA_FIFO_EOP_RD", "value": 585},
2675 {"name": "PH_SC4_PA6_EOPG_WE", "value": 586},
2676 {"name": "PH_SC4_PA6_DEALLOC_4_0_RD", "value": 587},
2677 {"name": "PH_SC4_PA7_DATA_FIFO_RD", "value": 588},
2678 {"name": "PH_SC4_PA7_DATA_FIFO_WE", "value": 589},
2679 {"name": "PH_SC4_PA7_FIFO_EMPTY", "value": 590},
2680 {"name": "PH_SC4_PA7_FIFO_FULL", "value": 591},
2681 {"name": "PH_SC4_PA7_NULL_WE", "value": 592},
2682 {"name": "PH_SC4_PA7_EVENT_WE", "value": 593},
2683 {"name": "PH_SC4_PA7_FPOV_WE", "value": 594},
2684 {"name": "PH_SC4_PA7_LPOV_WE", "value": 595},
2685 {"name": "PH_SC4_PA7_EOP_WE", "value": 596},
2686 {"name": "PH_SC4_PA7_DATA_FIFO_EOP_RD", "value": 597},
2687 {"name": "PH_SC4_PA7_EOPG_WE", "value": 598},
2688 {"name": "PH_SC4_PA7_DEALLOC_4_0_RD", "value": 599},
2689 {"name": "PH_SC5_SRPS_WINDOW_VALID", "value": 600},
2690 {"name": "PH_SC5_ARB_XFC_ALL_EVENT_OR_PRIM_CYCLES", "value": 601},
2691 {"name": "PH_SC5_ARB_XFC_ONLY_PRIM_CYCLES", "value": 602},
2692 {"name": "PH_SC5_ARB_XFC_ONLY_ONE_INC_PER_PRIM", "value": 603},
2693 {"name": "PH_SC5_ARB_STALLED_FROM_BELOW", "value": 604},
2694 {"name": "PH_SC5_ARB_STARVED_FROM_ABOVE", "value": 605},
2695 {"name": "PH_SC5_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_NOT_EMPTY", "value": 606},
2696 {"name": "PH_SC5_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_FULL", "value": 607},
2697 {"name": "PH_SC5_ARB_BUSY", "value": 608},
2698 {"name": "PH_SC5_ARB_PA_BUSY_SOP", "value": 609},
2699 {"name": "PH_SC5_ARB_EOP_POP_SYNC_POP", "value": 610},
2700 {"name": "PH_SC5_ARB_EVENT_SYNC_POP", "value": 611},
2701 {"name": "PH_SC5_PS_ENG_MULTICYCLE_BUBBLE", "value": 612},
2702 {"name": "PH_SC5_EOP_SYNC_WINDOW", "value": 613},
2703 {"name": "PH_SC5_BUSY_PROCESSING_MULTICYCLE_PRIM", "value": 614},
2704 {"name": "PH_SC5_BUSY_CNT_NOT_ZERO", "value": 615},
2705 {"name": "PH_SC5_SEND", "value": 616},
2706 {"name": "PH_SC5_CREDIT_AT_ZERO_WITH_PENDING_SEND", "value": 617},
2707 {"name": "PH_SC5_CREDIT_AT_MAX", "value": 618},
2708 {"name": "PH_SC5_CREDIT_AT_MAX_NO_PENDING_SEND", "value": 619},
2709 {"name": "PH_SC5_GFX_PIPE_EVENT_PROVOKED_TRANSITION", "value": 620},
2710 {"name": "PH_SC5_GFX_PIPE_EOP_PRIM_PROVOKED_TRANSITION", "value": 621},
2711 {"name": "PH_SC5_GFX_PIPE0_TO_1_TRANSITION", "value": 622},
2712 {"name": "PH_SC5_GFX_PIPE1_TO_0_TRANSITION", "value": 623},
2713 {"name": "PH_SC5_PA0_DATA_FIFO_RD", "value": 624},
2714 {"name": "PH_SC5_PA0_DATA_FIFO_WE", "value": 625},
2715 {"name": "PH_SC5_PA0_FIFO_EMPTY", "value": 626},
2716 {"name": "PH_SC5_PA0_FIFO_FULL", "value": 627},
2717 {"name": "PH_SC5_PA0_NULL_WE", "value": 628},
2718 {"name": "PH_SC5_PA0_EVENT_WE", "value": 629},
2719 {"name": "PH_SC5_PA0_FPOV_WE", "value": 630},
2720 {"name": "PH_SC5_PA0_LPOV_WE", "value": 631},
2721 {"name": "PH_SC5_PA0_EOP_WE", "value": 632},
2722 {"name": "PH_SC5_PA0_DATA_FIFO_EOP_RD", "value": 633},
2723 {"name": "PH_SC5_PA0_EOPG_WE", "value": 634},
2724 {"name": "PH_SC5_PA0_DEALLOC_4_0_RD", "value": 635},
2725 {"name": "PH_SC5_PA1_DATA_FIFO_RD", "value": 636},
2726 {"name": "PH_SC5_PA1_DATA_FIFO_WE", "value": 637},
2727 {"name": "PH_SC5_PA1_FIFO_EMPTY", "value": 638},
2728 {"name": "PH_SC5_PA1_FIFO_FULL", "value": 639},
2729 {"name": "PH_SC5_PA1_NULL_WE", "value": 640},
2730 {"name": "PH_SC5_PA1_EVENT_WE", "value": 641},
2731 {"name": "PH_SC5_PA1_FPOV_WE", "value": 642},
2732 {"name": "PH_SC5_PA1_LPOV_WE", "value": 643},
2733 {"name": "PH_SC5_PA1_EOP_WE", "value": 644},
2734 {"name": "PH_SC5_PA1_DATA_FIFO_EOP_RD", "value": 645},
2735 {"name": "PH_SC5_PA1_EOPG_WE", "value": 646},
2736 {"name": "PH_SC5_PA1_DEALLOC_4_0_RD", "value": 647},
2737 {"name": "PH_SC5_PA2_DATA_FIFO_RD", "value": 648},
2738 {"name": "PH_SC5_PA2_DATA_FIFO_WE", "value": 649},
2739 {"name": "PH_SC5_PA2_FIFO_EMPTY", "value": 650},
2740 {"name": "PH_SC5_PA2_FIFO_FULL", "value": 651},
2741 {"name": "PH_SC5_PA2_NULL_WE", "value": 652},
2742 {"name": "PH_SC5_PA2_EVENT_WE", "value": 653},
2743 {"name": "PH_SC5_PA2_FPOV_WE", "value": 654},
2744 {"name": "PH_SC5_PA2_LPOV_WE", "value": 655},
2745 {"name": "PH_SC5_PA2_EOP_WE", "value": 656},
2746 {"name": "PH_SC5_PA2_DATA_FIFO_EOP_RD", "value": 657},
2747 {"name": "PH_SC5_PA2_EOPG_WE", "value": 658},
2748 {"name": "PH_SC5_PA2_DEALLOC_4_0_RD", "value": 659},
2749 {"name": "PH_SC5_PA3_DATA_FIFO_RD", "value": 660},
2750 {"name": "PH_SC5_PA3_DATA_FIFO_WE", "value": 661},
2751 {"name": "PH_SC5_PA3_FIFO_EMPTY", "value": 662},
2752 {"name": "PH_SC5_PA3_FIFO_FULL", "value": 663},
2753 {"name": "PH_SC5_PA3_NULL_WE", "value": 664},
2754 {"name": "PH_SC5_PA3_EVENT_WE", "value": 665},
2755 {"name": "PH_SC5_PA3_FPOV_WE", "value": 666},
2756 {"name": "PH_SC5_PA3_LPOV_WE", "value": 667},
2757 {"name": "PH_SC5_PA3_EOP_WE", "value": 668},
2758 {"name": "PH_SC5_PA3_DATA_FIFO_EOP_RD", "value": 669},
2759 {"name": "PH_SC5_PA3_EOPG_WE", "value": 670},
2760 {"name": "PH_SC5_PA3_DEALLOC_4_0_RD", "value": 671},
2761 {"name": "PH_SC5_PA4_DATA_FIFO_RD", "value": 672},
2762 {"name": "PH_SC5_PA4_DATA_FIFO_WE", "value": 673},
2763 {"name": "PH_SC5_PA4_FIFO_EMPTY", "value": 674},
2764 {"name": "PH_SC5_PA4_FIFO_FULL", "value": 675},
2765 {"name": "PH_SC5_PA4_NULL_WE", "value": 676},
2766 {"name": "PH_SC5_PA4_EVENT_WE", "value": 677},
2767 {"name": "PH_SC5_PA4_FPOV_WE", "value": 678},
2768 {"name": "PH_SC5_PA4_LPOV_WE", "value": 679},
2769 {"name": "PH_SC5_PA4_EOP_WE", "value": 680},
2770 {"name": "PH_SC5_PA4_DATA_FIFO_EOP_RD", "value": 681},
2771 {"name": "PH_SC5_PA4_EOPG_WE", "value": 682},
2772 {"name": "PH_SC5_PA4_DEALLOC_4_0_RD", "value": 683},
2773 {"name": "PH_SC5_PA5_DATA_FIFO_RD", "value": 684},
2774 {"name": "PH_SC5_PA5_DATA_FIFO_WE", "value": 685},
2775 {"name": "PH_SC5_PA5_FIFO_EMPTY", "value": 686},
2776 {"name": "PH_SC5_PA5_FIFO_FULL", "value": 687},
2777 {"name": "PH_SC5_PA5_NULL_WE", "value": 688},
2778 {"name": "PH_SC5_PA5_EVENT_WE", "value": 689},
2779 {"name": "PH_SC5_PA5_FPOV_WE", "value": 690},
2780 {"name": "PH_SC5_PA5_LPOV_WE", "value": 691},
2781 {"name": "PH_SC5_PA5_EOP_WE", "value": 692},
2782 {"name": "PH_SC5_PA5_DATA_FIFO_EOP_RD", "value": 693},
2783 {"name": "PH_SC5_PA5_EOPG_WE", "value": 694},
2784 {"name": "PH_SC5_PA5_DEALLOC_4_0_RD", "value": 695},
2785 {"name": "PH_SC5_PA6_DATA_FIFO_RD", "value": 696},
2786 {"name": "PH_SC5_PA6_DATA_FIFO_WE", "value": 697},
2787 {"name": "PH_SC5_PA6_FIFO_EMPTY", "value": 698},
2788 {"name": "PH_SC5_PA6_FIFO_FULL", "value": 699},
2789 {"name": "PH_SC5_PA6_NULL_WE", "value": 700},
2790 {"name": "PH_SC5_PA6_EVENT_WE", "value": 701},
2791 {"name": "PH_SC5_PA6_FPOV_WE", "value": 702},
2792 {"name": "PH_SC5_PA6_LPOV_WE", "value": 703},
2793 {"name": "PH_SC5_PA6_EOP_WE", "value": 704},
2794 {"name": "PH_SC5_PA6_DATA_FIFO_EOP_RD", "value": 705},
2795 {"name": "PH_SC5_PA6_EOPG_WE", "value": 706},
2796 {"name": "PH_SC5_PA6_DEALLOC_4_0_RD", "value": 707},
2797 {"name": "PH_SC5_PA7_DATA_FIFO_RD", "value": 708},
2798 {"name": "PH_SC5_PA7_DATA_FIFO_WE", "value": 709},
2799 {"name": "PH_SC5_PA7_FIFO_EMPTY", "value": 710},
2800 {"name": "PH_SC5_PA7_FIFO_FULL", "value": 711},
2801 {"name": "PH_SC5_PA7_NULL_WE", "value": 712},
2802 {"name": "PH_SC5_PA7_EVENT_WE", "value": 713},
2803 {"name": "PH_SC5_PA7_FPOV_WE", "value": 714},
2804 {"name": "PH_SC5_PA7_LPOV_WE", "value": 715},
2805 {"name": "PH_SC5_PA7_EOP_WE", "value": 716},
2806 {"name": "PH_SC5_PA7_DATA_FIFO_EOP_RD", "value": 717},
2807 {"name": "PH_SC5_PA7_EOPG_WE", "value": 718},
2808 {"name": "PH_SC5_PA7_DEALLOC_4_0_RD", "value": 719},
2809 {"name": "PH_SC6_SRPS_WINDOW_VALID", "value": 720},
2810 {"name": "PH_SC6_ARB_XFC_ALL_EVENT_OR_PRIM_CYCLES", "value": 721},
2811 {"name": "PH_SC6_ARB_XFC_ONLY_PRIM_CYCLES", "value": 722},
2812 {"name": "PH_SC6_ARB_XFC_ONLY_ONE_INC_PER_PRIM", "value": 723},
2813 {"name": "PH_SC6_ARB_STALLED_FROM_BELOW", "value": 724},
2814 {"name": "PH_SC6_ARB_STARVED_FROM_ABOVE", "value": 725},
2815 {"name": "PH_SC6_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_NOT_EMPTY", "value": 726},
2816 {"name": "PH_SC6_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_FULL", "value": 727},
2817 {"name": "PH_SC6_ARB_BUSY", "value": 728},
2818 {"name": "PH_SC6_ARB_PA_BUSY_SOP", "value": 729},
2819 {"name": "PH_SC6_ARB_EOP_POP_SYNC_POP", "value": 730},
2820 {"name": "PH_SC6_ARB_EVENT_SYNC_POP", "value": 731},
2821 {"name": "PH_SC6_PS_ENG_MULTICYCLE_BUBBLE", "value": 732},
2822 {"name": "PH_SC6_EOP_SYNC_WINDOW", "value": 733},
2823 {"name": "PH_SC6_BUSY_PROCESSING_MULTICYCLE_PRIM", "value": 734},
2824 {"name": "PH_SC6_BUSY_CNT_NOT_ZERO", "value": 735},
2825 {"name": "PH_SC6_SEND", "value": 736},
2826 {"name": "PH_SC6_CREDIT_AT_ZERO_WITH_PENDING_SEND", "value": 737},
2827 {"name": "PH_SC6_CREDIT_AT_MAX", "value": 738},
2828 {"name": "PH_SC6_CREDIT_AT_MAX_NO_PENDING_SEND", "value": 739},
2829 {"name": "PH_SC6_GFX_PIPE_EVENT_PROVOKED_TRANSITION", "value": 740},
2830 {"name": "PH_SC6_GFX_PIPE_EOP_PRIM_PROVOKED_TRANSITION", "value": 741},
2831 {"name": "PH_SC6_GFX_PIPE0_TO_1_TRANSITION", "value": 742},
2832 {"name": "PH_SC6_GFX_PIPE1_TO_0_TRANSITION", "value": 743},
2833 {"name": "PH_SC6_PA0_DATA_FIFO_RD", "value": 744},
2834 {"name": "PH_SC6_PA0_DATA_FIFO_WE", "value": 745},
2835 {"name": "PH_SC6_PA0_FIFO_EMPTY", "value": 746},
2836 {"name": "PH_SC6_PA0_FIFO_FULL", "value": 747},
2837 {"name": "PH_SC6_PA0_NULL_WE", "value": 748},
2838 {"name": "PH_SC6_PA0_EVENT_WE", "value": 749},
2839 {"name": "PH_SC6_PA0_FPOV_WE", "value": 750},
2840 {"name": "PH_SC6_PA0_LPOV_WE", "value": 751},
2841 {"name": "PH_SC6_PA0_EOP_WE", "value": 752},
2842 {"name": "PH_SC6_PA0_DATA_FIFO_EOP_RD", "value": 753},
2843 {"name": "PH_SC6_PA0_EOPG_WE", "value": 754},
2844 {"name": "PH_SC6_PA0_DEALLOC_4_0_RD", "value": 755},
2845 {"name": "PH_SC6_PA1_DATA_FIFO_RD", "value": 756},
2846 {"name": "PH_SC6_PA1_DATA_FIFO_WE", "value": 757},
2847 {"name": "PH_SC6_PA1_FIFO_EMPTY", "value": 758},
2848 {"name": "PH_SC6_PA1_FIFO_FULL", "value": 759},
2849 {"name": "PH_SC6_PA1_NULL_WE", "value": 760},
2850 {"name": "PH_SC6_PA1_EVENT_WE", "value": 761},
2851 {"name": "PH_SC6_PA1_FPOV_WE", "value": 762},
2852 {"name": "PH_SC6_PA1_LPOV_WE", "value": 763},
2853 {"name": "PH_SC6_PA1_EOP_WE", "value": 764},
2854 {"name": "PH_SC6_PA1_DATA_FIFO_EOP_RD", "value": 765},
2855 {"name": "PH_SC6_PA1_EOPG_WE", "value": 766},
2856 {"name": "PH_SC6_PA1_DEALLOC_4_0_RD", "value": 767},
2857 {"name": "PH_SC6_PA2_DATA_FIFO_RD", "value": 768},
2858 {"name": "PH_SC6_PA2_DATA_FIFO_WE", "value": 769},
2859 {"name": "PH_SC6_PA2_FIFO_EMPTY", "value": 770},
2860 {"name": "PH_SC6_PA2_FIFO_FULL", "value": 771},
2861 {"name": "PH_SC6_PA2_NULL_WE", "value": 772},
2862 {"name": "PH_SC6_PA2_EVENT_WE", "value": 773},
2863 {"name": "PH_SC6_PA2_FPOV_WE", "value": 774},
2864 {"name": "PH_SC6_PA2_LPOV_WE", "value": 775},
2865 {"name": "PH_SC6_PA2_EOP_WE", "value": 776},
2866 {"name": "PH_SC6_PA2_DATA_FIFO_EOP_RD", "value": 777},
2867 {"name": "PH_SC6_PA2_EOPG_WE", "value": 778},
2868 {"name": "PH_SC6_PA2_DEALLOC_4_0_RD", "value": 779},
2869 {"name": "PH_SC6_PA3_DATA_FIFO_RD", "value": 780},
2870 {"name": "PH_SC6_PA3_DATA_FIFO_WE", "value": 781},
2871 {"name": "PH_SC6_PA3_FIFO_EMPTY", "value": 782},
2872 {"name": "PH_SC6_PA3_FIFO_FULL", "value": 783},
2873 {"name": "PH_SC6_PA3_NULL_WE", "value": 784},
2874 {"name": "PH_SC6_PA3_EVENT_WE", "value": 785},
2875 {"name": "PH_SC6_PA3_FPOV_WE", "value": 786},
2876 {"name": "PH_SC6_PA3_LPOV_WE", "value": 787},
2877 {"name": "PH_SC6_PA3_EOP_WE", "value": 788},
2878 {"name": "PH_SC6_PA3_DATA_FIFO_EOP_RD", "value": 789},
2879 {"name": "PH_SC6_PA3_EOPG_WE", "value": 790},
2880 {"name": "PH_SC6_PA3_DEALLOC_4_0_RD", "value": 791},
2881 {"name": "PH_SC6_PA4_DATA_FIFO_RD", "value": 792},
2882 {"name": "PH_SC6_PA4_DATA_FIFO_WE", "value": 793},
2883 {"name": "PH_SC6_PA4_FIFO_EMPTY", "value": 794},
2884 {"name": "PH_SC6_PA4_FIFO_FULL", "value": 795},
2885 {"name": "PH_SC6_PA4_NULL_WE", "value": 796},
2886 {"name": "PH_SC6_PA4_EVENT_WE", "value": 797},
2887 {"name": "PH_SC6_PA4_FPOV_WE", "value": 798},
2888 {"name": "PH_SC6_PA4_LPOV_WE", "value": 799},
2889 {"name": "PH_SC6_PA4_EOP_WE", "value": 800},
2890 {"name": "PH_SC6_PA4_DATA_FIFO_EOP_RD", "value": 801},
2891 {"name": "PH_SC6_PA4_EOPG_WE", "value": 802},
2892 {"name": "PH_SC6_PA4_DEALLOC_4_0_RD", "value": 803},
2893 {"name": "PH_SC6_PA5_DATA_FIFO_RD", "value": 804},
2894 {"name": "PH_SC6_PA5_DATA_FIFO_WE", "value": 805},
2895 {"name": "PH_SC6_PA5_FIFO_EMPTY", "value": 806},
2896 {"name": "PH_SC6_PA5_FIFO_FULL", "value": 807},
2897 {"name": "PH_SC6_PA5_NULL_WE", "value": 808},
2898 {"name": "PH_SC6_PA5_EVENT_WE", "value": 809},
2899 {"name": "PH_SC6_PA5_FPOV_WE", "value": 810},
2900 {"name": "PH_SC6_PA5_LPOV_WE", "value": 811},
2901 {"name": "PH_SC6_PA5_EOP_WE", "value": 812},
2902 {"name": "PH_SC6_PA5_DATA_FIFO_EOP_RD", "value": 813},
2903 {"name": "PH_SC6_PA5_EOPG_WE", "value": 814},
2904 {"name": "PH_SC6_PA5_DEALLOC_4_0_RD", "value": 815},
2905 {"name": "PH_SC6_PA6_DATA_FIFO_RD", "value": 816},
2906 {"name": "PH_SC6_PA6_DATA_FIFO_WE", "value": 817},
2907 {"name": "PH_SC6_PA6_FIFO_EMPTY", "value": 818},
2908 {"name": "PH_SC6_PA6_FIFO_FULL", "value": 819},
2909 {"name": "PH_SC6_PA6_NULL_WE", "value": 820},
2910 {"name": "PH_SC6_PA6_EVENT_WE", "value": 821},
2911 {"name": "PH_SC6_PA6_FPOV_WE", "value": 822},
2912 {"name": "PH_SC6_PA6_LPOV_WE", "value": 823},
2913 {"name": "PH_SC6_PA6_EOP_WE", "value": 824},
2914 {"name": "PH_SC6_PA6_DATA_FIFO_EOP_RD", "value": 825},
2915 {"name": "PH_SC6_PA6_EOPG_WE", "value": 826},
2916 {"name": "PH_SC6_PA6_DEALLOC_4_0_RD", "value": 827},
2917 {"name": "PH_SC6_PA7_DATA_FIFO_RD", "value": 828},
2918 {"name": "PH_SC6_PA7_DATA_FIFO_WE", "value": 829},
2919 {"name": "PH_SC6_PA7_FIFO_EMPTY", "value": 830},
2920 {"name": "PH_SC6_PA7_FIFO_FULL", "value": 831},
2921 {"name": "PH_SC6_PA7_NULL_WE", "value": 832},
2922 {"name": "PH_SC6_PA7_EVENT_WE", "value": 833},
2923 {"name": "PH_SC6_PA7_FPOV_WE", "value": 834},
2924 {"name": "PH_SC6_PA7_LPOV_WE", "value": 835},
2925 {"name": "PH_SC6_PA7_EOP_WE", "value": 836},
2926 {"name": "PH_SC6_PA7_DATA_FIFO_EOP_RD", "value": 837},
2927 {"name": "PH_SC6_PA7_EOPG_WE", "value": 838},
2928 {"name": "PH_SC6_PA7_DEALLOC_4_0_RD", "value": 839},
2929 {"name": "PH_SC7_SRPS_WINDOW_VALID", "value": 840},
2930 {"name": "PH_SC7_ARB_XFC_ALL_EVENT_OR_PRIM_CYCLES", "value": 841},
2931 {"name": "PH_SC7_ARB_XFC_ONLY_PRIM_CYCLES", "value": 842},
2932 {"name": "PH_SC7_ARB_XFC_ONLY_ONE_INC_PER_PRIM", "value": 843},
2933 {"name": "PH_SC7_ARB_STALLED_FROM_BELOW", "value": 844},
2934 {"name": "PH_SC7_ARB_STARVED_FROM_ABOVE", "value": 845},
2935 {"name": "PH_SC7_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_NOT_EMPTY", "value": 846},
2936 {"name": "PH_SC7_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_FULL", "value": 847},
2937 {"name": "PH_SC7_ARB_BUSY", "value": 848},
2938 {"name": "PH_SC7_ARB_PA_BUSY_SOP", "value": 849},
2939 {"name": "PH_SC7_ARB_EOP_POP_SYNC_POP", "value": 850},
2940 {"name": "PH_SC7_ARB_EVENT_SYNC_POP", "value": 851},
2941 {"name": "PH_SC7_PS_ENG_MULTICYCLE_BUBBLE", "value": 852},
2942 {"name": "PH_SC7_EOP_SYNC_WINDOW", "value": 853},
2943 {"name": "PH_SC7_BUSY_PROCESSING_MULTICYCLE_PRIM", "value": 854},
2944 {"name": "PH_SC7_BUSY_CNT_NOT_ZERO", "value": 855},
2945 {"name": "PH_SC7_SEND", "value": 856},
2946 {"name": "PH_SC7_CREDIT_AT_ZERO_WITH_PENDING_SEND", "value": 857},
2947 {"name": "PH_SC7_CREDIT_AT_MAX", "value": 858},
2948 {"name": "PH_SC7_CREDIT_AT_MAX_NO_PENDING_SEND", "value": 859},
2949 {"name": "PH_SC7_GFX_PIPE_EVENT_PROVOKED_TRANSITION", "value": 860},
2950 {"name": "PH_SC7_GFX_PIPE_EOP_PRIM_PROVOKED_TRANSITION", "value": 861},
2951 {"name": "PH_SC7_GFX_PIPE0_TO_1_TRANSITION", "value": 862},
2952 {"name": "PH_SC7_GFX_PIPE1_TO_0_TRANSITION", "value": 863},
2953 {"name": "PH_SC7_PA0_DATA_FIFO_RD", "value": 864},
2954 {"name": "PH_SC7_PA0_DATA_FIFO_WE", "value": 865},
2955 {"name": "PH_SC7_PA0_FIFO_EMPTY", "value": 866},
2956 {"name": "PH_SC7_PA0_FIFO_FULL", "value": 867},
2957 {"name": "PH_SC7_PA0_NULL_WE", "value": 868},
2958 {"name": "PH_SC7_PA0_EVENT_WE", "value": 869},
2959 {"name": "PH_SC7_PA0_FPOV_WE", "value": 870},
2960 {"name": "PH_SC7_PA0_LPOV_WE", "value": 871},
2961 {"name": "PH_SC7_PA0_EOP_WE", "value": 872},
2962 {"name": "PH_SC7_PA0_DATA_FIFO_EOP_RD", "value": 873},
2963 {"name": "PH_SC7_PA0_EOPG_WE", "value": 874},
2964 {"name": "PH_SC7_PA0_DEALLOC_4_0_RD", "value": 875},
2965 {"name": "PH_SC7_PA1_DATA_FIFO_RD", "value": 876},
2966 {"name": "PH_SC7_PA1_DATA_FIFO_WE", "value": 877},
2967 {"name": "PH_SC7_PA1_FIFO_EMPTY", "value": 878},
2968 {"name": "PH_SC7_PA1_FIFO_FULL", "value": 879},
2969 {"name": "PH_SC7_PA1_NULL_WE", "value": 880},
2970 {"name": "PH_SC7_PA1_EVENT_WE", "value": 881},
2971 {"name": "PH_SC7_PA1_FPOV_WE", "value": 882},
2972 {"name": "PH_SC7_PA1_LPOV_WE", "value": 883},
2973 {"name": "PH_SC7_PA1_EOP_WE", "value": 884},
2974 {"name": "PH_SC7_PA1_DATA_FIFO_EOP_RD", "value": 885},
2975 {"name": "PH_SC7_PA1_EOPG_WE", "value": 886},
2976 {"name": "PH_SC7_PA1_DEALLOC_4_0_RD", "value": 887},
2977 {"name": "PH_SC7_PA2_DATA_FIFO_RD", "value": 888},
2978 {"name": "PH_SC7_PA2_DATA_FIFO_WE", "value": 889},
2979 {"name": "PH_SC7_PA2_FIFO_EMPTY", "value": 890},
2980 {"name": "PH_SC7_PA2_FIFO_FULL", "value": 891},
2981 {"name": "PH_SC7_PA2_NULL_WE", "value": 892},
2982 {"name": "PH_SC7_PA2_EVENT_WE", "value": 893},
2983 {"name": "PH_SC7_PA2_FPOV_WE", "value": 894},
2984 {"name": "PH_SC7_PA2_LPOV_WE", "value": 895},
2985 {"name": "PH_SC7_PA2_EOP_WE", "value": 896},
2986 {"name": "PH_SC7_PA2_DATA_FIFO_EOP_RD", "value": 897},
2987 {"name": "PH_SC7_PA2_EOPG_WE", "value": 898},
2988 {"name": "PH_SC7_PA2_DEALLOC_4_0_RD", "value": 899},
2989 {"name": "PH_SC7_PA3_DATA_FIFO_RD", "value": 900},
2990 {"name": "PH_SC7_PA3_DATA_FIFO_WE", "value": 901},
2991 {"name": "PH_SC7_PA3_FIFO_EMPTY", "value": 902},
2992 {"name": "PH_SC7_PA3_FIFO_FULL", "value": 903},
2993 {"name": "PH_SC7_PA3_NULL_WE", "value": 904},
2994 {"name": "PH_SC7_PA3_EVENT_WE", "value": 905},
2995 {"name": "PH_SC7_PA3_FPOV_WE", "value": 906},
2996 {"name": "PH_SC7_PA3_LPOV_WE", "value": 907},
2997 {"name": "PH_SC7_PA3_EOP_WE", "value": 908},
2998 {"name": "PH_SC7_PA3_DATA_FIFO_EOP_RD", "value": 909},
2999 {"name": "PH_SC7_PA3_EOPG_WE", "value": 910},
3000 {"name": "PH_SC7_PA3_DEALLOC_4_0_RD", "value": 911},
3001 {"name": "PH_SC7_PA4_DATA_FIFO_RD", "value": 912},
3002 {"name": "PH_SC7_PA4_DATA_FIFO_WE", "value": 913},
3003 {"name": "PH_SC7_PA4_FIFO_EMPTY", "value": 914},
3004 {"name": "PH_SC7_PA4_FIFO_FULL", "value": 915},
3005 {"name": "PH_SC7_PA4_NULL_WE", "value": 916},
3006 {"name": "PH_SC7_PA4_EVENT_WE", "value": 917},
3007 {"name": "PH_SC7_PA4_FPOV_WE", "value": 918},
3008 {"name": "PH_SC7_PA4_LPOV_WE", "value": 919},
3009 {"name": "PH_SC7_PA4_EOP_WE", "value": 920},
3010 {"name": "PH_SC7_PA4_DATA_FIFO_EOP_RD", "value": 921},
3011 {"name": "PH_SC7_PA4_EOPG_WE", "value": 922},
3012 {"name": "PH_SC7_PA4_DEALLOC_4_0_RD", "value": 923},
3013 {"name": "PH_SC7_PA5_DATA_FIFO_RD", "value": 924},
3014 {"name": "PH_SC7_PA5_DATA_FIFO_WE", "value": 925},
3015 {"name": "PH_SC7_PA5_FIFO_EMPTY", "value": 926},
3016 {"name": "PH_SC7_PA5_FIFO_FULL", "value": 927},
3017 {"name": "PH_SC7_PA5_NULL_WE", "value": 928},
3018 {"name": "PH_SC7_PA5_EVENT_WE", "value": 929},
3019 {"name": "PH_SC7_PA5_FPOV_WE", "value": 930},
3020 {"name": "PH_SC7_PA5_LPOV_WE", "value": 931},
3021 {"name": "PH_SC7_PA5_EOP_WE", "value": 932},
3022 {"name": "PH_SC7_PA5_DATA_FIFO_EOP_RD", "value": 933},
3023 {"name": "PH_SC7_PA5_EOPG_WE", "value": 934},
3024 {"name": "PH_SC7_PA5_DEALLOC_4_0_RD", "value": 935},
3025 {"name": "PH_SC7_PA6_DATA_FIFO_RD", "value": 936},
3026 {"name": "PH_SC7_PA6_DATA_FIFO_WE", "value": 937},
3027 {"name": "PH_SC7_PA6_FIFO_EMPTY", "value": 938},
3028 {"name": "PH_SC7_PA6_FIFO_FULL", "value": 939},
3029 {"name": "PH_SC7_PA6_NULL_WE", "value": 940},
3030 {"name": "PH_SC7_PA6_EVENT_WE", "value": 941},
3031 {"name": "PH_SC7_PA6_FPOV_WE", "value": 942},
3032 {"name": "PH_SC7_PA6_LPOV_WE", "value": 943},
3033 {"name": "PH_SC7_PA6_EOP_WE", "value": 944},
3034 {"name": "PH_SC7_PA6_DATA_FIFO_EOP_RD", "value": 945},
3035 {"name": "PH_SC7_PA6_EOPG_WE", "value": 946},
3036 {"name": "PH_SC7_PA6_DEALLOC_4_0_RD", "value": 947},
3037 {"name": "PH_SC7_PA7_DATA_FIFO_RD", "value": 948},
3038 {"name": "PH_SC7_PA7_DATA_FIFO_WE", "value": 949},
3039 {"name": "PH_SC7_PA7_FIFO_EMPTY", "value": 950},
3040 {"name": "PH_SC7_PA7_FIFO_FULL", "value": 951},
3041 {"name": "PH_SC7_PA7_NULL_WE", "value": 952},
3042 {"name": "PH_SC7_PA7_EVENT_WE", "value": 953},
3043 {"name": "PH_SC7_PA7_FPOV_WE", "value": 954},
3044 {"name": "PH_SC7_PA7_LPOV_WE", "value": 955},
3045 {"name": "PH_SC7_PA7_EOP_WE", "value": 956},
3046 {"name": "PH_SC7_PA7_DATA_FIFO_EOP_RD", "value": 957},
3047 {"name": "PH_SC7_PA7_EOPG_WE", "value": 958},
3048 {"name": "PH_SC7_PA7_DEALLOC_4_0_RD", "value": 959}
3049 ]
3050 },
3051 "PerfCounter_Vals": {
3052 "entries": [
3053 {"name": "DB_PERF_SEL_SC_DB_tile_sends", "value": 0},
3054 {"name": "DB_PERF_SEL_SC_DB_tile_busy", "value": 1},
3055 {"name": "DB_PERF_SEL_SC_DB_tile_stalls", "value": 2},
3056 {"name": "DB_PERF_SEL_SC_DB_tile_events", "value": 3},
3057 {"name": "DB_PERF_SEL_SC_DB_tile_tiles", "value": 4},
3058 {"name": "DB_PERF_SEL_SC_DB_tile_covered", "value": 5},
3059 {"name": "DB_PERF_SEL_hiz_tc_read_starved", "value": 6},
3060 {"name": "DB_PERF_SEL_hiz_tc_write_stall", "value": 7},
3061 {"name": "DB_PERF_SEL_hiz_tile_culled", "value": 8},
3062 {"name": "DB_PERF_SEL_his_tile_culled", "value": 9},
3063 {"name": "DB_PERF_SEL_DB_SC_tile_sends", "value": 10},
3064 {"name": "DB_PERF_SEL_DB_SC_tile_busy", "value": 11},
3065 {"name": "DB_PERF_SEL_DB_SC_tile_stalls", "value": 12},
3066 {"name": "DB_PERF_SEL_DB_SC_tile_df_stalls", "value": 13},
3067 {"name": "DB_PERF_SEL_DB_SC_tile_tiles", "value": 14},
3068 {"name": "DB_PERF_SEL_DB_SC_tile_culled", "value": 15},
3069 {"name": "DB_PERF_SEL_DB_SC_tile_hier_kill", "value": 16},
3070 {"name": "DB_PERF_SEL_DB_SC_tile_fast_ops", "value": 17},
3071 {"name": "DB_PERF_SEL_DB_SC_tile_no_ops", "value": 18},
3072 {"name": "DB_PERF_SEL_DB_SC_tile_tile_rate", "value": 19},
3073 {"name": "DB_PERF_SEL_DB_SC_tile_ssaa_kill", "value": 20},
3074 {"name": "DB_PERF_SEL_DB_SC_tile_fast_z_ops", "value": 21},
3075 {"name": "DB_PERF_SEL_DB_SC_tile_fast_stencil_ops", "value": 22},
3076 {"name": "DB_PERF_SEL_SC_DB_quad_sends", "value": 23},
3077 {"name": "DB_PERF_SEL_SC_DB_quad_busy", "value": 24},
3078 {"name": "DB_PERF_SEL_SC_DB_quad_squads", "value": 25},
3079 {"name": "DB_PERF_SEL_SC_DB_quad_tiles", "value": 26},
3080 {"name": "DB_PERF_SEL_SC_DB_quad_pixels", "value": 27},
3081 {"name": "DB_PERF_SEL_SC_DB_quad_killed_tiles", "value": 28},
3082 {"name": "DB_PERF_SEL_DB_SC_quad_sends", "value": 29},
3083 {"name": "DB_PERF_SEL_DB_SC_quad_busy", "value": 30},
3084 {"name": "DB_PERF_SEL_DB_SC_quad_stalls", "value": 31},
3085 {"name": "DB_PERF_SEL_DB_SC_quad_tiles", "value": 32},
3086 {"name": "DB_PERF_SEL_DB_SC_quad_lit_quad", "value": 33},
3087 {"name": "DB_PERF_SEL_DB_CB_tile_sends", "value": 34},
3088 {"name": "DB_PERF_SEL_DB_CB_tile_busy", "value": 35},
3089 {"name": "DB_PERF_SEL_DB_CB_tile_stalls", "value": 36},
3090 {"name": "DB_PERF_SEL_SX_DB_quad_sends", "value": 37},
3091 {"name": "DB_PERF_SEL_SX_DB_quad_busy", "value": 38},
3092 {"name": "DB_PERF_SEL_SX_DB_quad_stalls", "value": 39},
3093 {"name": "DB_PERF_SEL_SX_DB_quad_quads", "value": 40},
3094 {"name": "DB_PERF_SEL_SX_DB_quad_pixels", "value": 41},
3095 {"name": "DB_PERF_SEL_SX_DB_quad_exports", "value": 42},
3096 {"name": "DB_PERF_SEL_SH_quads_outstanding_sum", "value": 43},
3097 {"name": "DB_PERF_SEL_DB_CB_lquad_sends", "value": 44},
3098 {"name": "DB_PERF_SEL_DB_CB_lquad_busy", "value": 45},
3099 {"name": "DB_PERF_SEL_DB_CB_lquad_stalls", "value": 46},
3100 {"name": "DB_PERF_SEL_DB_CB_lquad_quads", "value": 47},
3101 {"name": "DB_PERF_SEL_tile_rd_sends", "value": 48},
3102 {"name": "DB_PERF_SEL_mi_tile_rd_outstanding_sum", "value": 49},
3103 {"name": "DB_PERF_SEL_quad_rd_sends", "value": 50},
3104 {"name": "DB_PERF_SEL_quad_rd_busy", "value": 51},
3105 {"name": "DB_PERF_SEL_quad_rd_mi_stall", "value": 52},
3106 {"name": "DB_PERF_SEL_quad_rd_rw_collision", "value": 53},
3107 {"name": "DB_PERF_SEL_quad_rd_tag_stall", "value": 54},
3108 {"name": "DB_PERF_SEL_quad_rd_32byte_reqs", "value": 55},
3109 {"name": "DB_PERF_SEL_quad_rd_panic", "value": 56},
3110 {"name": "DB_PERF_SEL_mi_quad_rd_outstanding_sum", "value": 57},
3111 {"name": "DB_PERF_SEL_quad_rdret_sends", "value": 58},
3112 {"name": "DB_PERF_SEL_quad_rdret_busy", "value": 59},
3113 {"name": "DB_PERF_SEL_tile_wr_sends", "value": 60},
3114 {"name": "DB_PERF_SEL_tile_wr_acks", "value": 61},
3115 {"name": "DB_PERF_SEL_mi_tile_wr_outstanding_sum", "value": 62},
3116 {"name": "DB_PERF_SEL_quad_wr_sends", "value": 63},
3117 {"name": "DB_PERF_SEL_quad_wr_busy", "value": 64},
3118 {"name": "DB_PERF_SEL_quad_wr_mi_stall", "value": 65},
3119 {"name": "DB_PERF_SEL_quad_wr_coherency_stall", "value": 66},
3120 {"name": "DB_PERF_SEL_quad_wr_acks", "value": 67},
3121 {"name": "DB_PERF_SEL_mi_quad_wr_outstanding_sum", "value": 68},
3122 {"name": "DB_PERF_SEL_Tile_Cache_misses", "value": 69},
3123 {"name": "DB_PERF_SEL_Tile_Cache_hits", "value": 70},
3124 {"name": "DB_PERF_SEL_Tile_Cache_flushes", "value": 71},
3125 {"name": "DB_PERF_SEL_Tile_Cache_surface_stall", "value": 72},
3126 {"name": "DB_PERF_SEL_Tile_Cache_starves", "value": 73},
3127 {"name": "DB_PERF_SEL_Tile_Cache_mem_return_starve", "value": 74},
3128 {"name": "DB_PERF_SEL_tcp_dispatcher_reads", "value": 75},
3129 {"name": "DB_PERF_SEL_tcp_prefetcher_reads", "value": 76},
3130 {"name": "DB_PERF_SEL_tcp_preloader_reads", "value": 77},
3131 {"name": "DB_PERF_SEL_tcp_dispatcher_flushes", "value": 78},
3132 {"name": "DB_PERF_SEL_tcp_prefetcher_flushes", "value": 79},
3133 {"name": "DB_PERF_SEL_tcp_preloader_flushes", "value": 80},
3134 {"name": "DB_PERF_SEL_Depth_Tile_Cache_sends", "value": 81},
3135 {"name": "DB_PERF_SEL_Depth_Tile_Cache_busy", "value": 82},
3136 {"name": "DB_PERF_SEL_Depth_Tile_Cache_starves", "value": 83},
3137 {"name": "DB_PERF_SEL_Depth_Tile_Cache_dtile_locked", "value": 84},
3138 {"name": "DB_PERF_SEL_Depth_Tile_Cache_alloc_stall", "value": 85},
3139 {"name": "DB_PERF_SEL_Depth_Tile_Cache_misses", "value": 86},
3140 {"name": "DB_PERF_SEL_Depth_Tile_Cache_hits", "value": 87},
3141 {"name": "DB_PERF_SEL_Depth_Tile_Cache_flushes", "value": 88},
3142 {"name": "DB_PERF_SEL_Depth_Tile_Cache_noop_tile", "value": 89},
3143 {"name": "DB_PERF_SEL_Depth_Tile_Cache_detailed_noop", "value": 90},
3144 {"name": "DB_PERF_SEL_Depth_Tile_Cache_event", "value": 91},
3145 {"name": "DB_PERF_SEL_Depth_Tile_Cache_tile_frees", "value": 92},
3146 {"name": "DB_PERF_SEL_Depth_Tile_Cache_data_frees", "value": 93},
3147 {"name": "DB_PERF_SEL_Depth_Tile_Cache_mem_return_starve", "value": 94},
3148 {"name": "DB_PERF_SEL_Stencil_Cache_misses", "value": 95},
3149 {"name": "DB_PERF_SEL_Stencil_Cache_hits", "value": 96},
3150 {"name": "DB_PERF_SEL_Stencil_Cache_flushes", "value": 97},
3151 {"name": "DB_PERF_SEL_Stencil_Cache_starves", "value": 98},
3152 {"name": "DB_PERF_SEL_Stencil_Cache_frees", "value": 99},
3153 {"name": "DB_PERF_SEL_Z_Cache_separate_Z_misses", "value": 100},
3154 {"name": "DB_PERF_SEL_Z_Cache_separate_Z_hits", "value": 101},
3155 {"name": "DB_PERF_SEL_Z_Cache_separate_Z_flushes", "value": 102},
3156 {"name": "DB_PERF_SEL_Z_Cache_separate_Z_starves", "value": 103},
3157 {"name": "DB_PERF_SEL_Z_Cache_pmask_misses", "value": 104},
3158 {"name": "DB_PERF_SEL_Z_Cache_pmask_hits", "value": 105},
3159 {"name": "DB_PERF_SEL_Z_Cache_pmask_flushes", "value": 106},
3160 {"name": "DB_PERF_SEL_Z_Cache_pmask_starves", "value": 107},
3161 {"name": "DB_PERF_SEL_Z_Cache_frees", "value": 108},
3162 {"name": "DB_PERF_SEL_Plane_Cache_misses", "value": 109},
3163 {"name": "DB_PERF_SEL_Plane_Cache_hits", "value": 110},
3164 {"name": "DB_PERF_SEL_Plane_Cache_flushes", "value": 111},
3165 {"name": "DB_PERF_SEL_Plane_Cache_starves", "value": 112},
3166 {"name": "DB_PERF_SEL_Plane_Cache_frees", "value": 113},
3167 {"name": "DB_PERF_SEL_flush_expanded_stencil", "value": 114},
3168 {"name": "DB_PERF_SEL_flush_compressed_stencil", "value": 115},
3169 {"name": "DB_PERF_SEL_flush_single_stencil", "value": 116},
3170 {"name": "DB_PERF_SEL_planes_flushed", "value": 117},
3171 {"name": "DB_PERF_SEL_flush_1plane", "value": 118},
3172 {"name": "DB_PERF_SEL_flush_2plane", "value": 119},
3173 {"name": "DB_PERF_SEL_flush_3plane", "value": 120},
3174 {"name": "DB_PERF_SEL_flush_4plane", "value": 121},
3175 {"name": "DB_PERF_SEL_flush_5plane", "value": 122},
3176 {"name": "DB_PERF_SEL_flush_6plane", "value": 123},
3177 {"name": "DB_PERF_SEL_flush_7plane", "value": 124},
3178 {"name": "DB_PERF_SEL_flush_8plane", "value": 125},
3179 {"name": "DB_PERF_SEL_flush_9plane", "value": 126},
3180 {"name": "DB_PERF_SEL_flush_10plane", "value": 127},
3181 {"name": "DB_PERF_SEL_flush_11plane", "value": 128},
3182 {"name": "DB_PERF_SEL_flush_12plane", "value": 129},
3183 {"name": "DB_PERF_SEL_flush_13plane", "value": 130},
3184 {"name": "DB_PERF_SEL_flush_14plane", "value": 131},
3185 {"name": "DB_PERF_SEL_flush_15plane", "value": 132},
3186 {"name": "DB_PERF_SEL_flush_16plane", "value": 133},
3187 {"name": "DB_PERF_SEL_flush_expanded_z", "value": 134},
3188 {"name": "DB_PERF_SEL_earlyZ_waiting_for_postZ_done", "value": 135},
3189 {"name": "DB_PERF_SEL_reZ_waiting_for_postZ_done", "value": 136},
3190 {"name": "DB_PERF_SEL_dk_tile_sends", "value": 137},
3191 {"name": "DB_PERF_SEL_dk_tile_busy", "value": 138},
3192 {"name": "DB_PERF_SEL_dk_tile_quad_starves", "value": 139},
3193 {"name": "DB_PERF_SEL_dk_tile_stalls", "value": 140},
3194 {"name": "DB_PERF_SEL_dk_squad_sends", "value": 141},
3195 {"name": "DB_PERF_SEL_dk_squad_busy", "value": 142},
3196 {"name": "DB_PERF_SEL_dk_squad_stalls", "value": 143},
3197 {"name": "DB_PERF_SEL_Op_Pipe_Busy", "value": 144},
3198 {"name": "DB_PERF_SEL_Op_Pipe_MC_Read_stall", "value": 145},
3199 {"name": "DB_PERF_SEL_qc_busy", "value": 146},
3200 {"name": "DB_PERF_SEL_qc_xfc", "value": 147},
3201 {"name": "DB_PERF_SEL_qc_conflicts", "value": 148},
3202 {"name": "DB_PERF_SEL_qc_full_stall", "value": 149},
3203 {"name": "DB_PERF_SEL_qc_in_preZ_tile_stalls_postZ", "value": 150},
3204 {"name": "DB_PERF_SEL_qc_in_postZ_tile_stalls_preZ", "value": 151},
3205 {"name": "DB_PERF_SEL_tsc_insert_summarize_stall", "value": 152},
3206 {"name": "DB_PERF_SEL_tl_busy", "value": 153},
3207 {"name": "DB_PERF_SEL_tl_dtc_read_starved", "value": 154},
3208 {"name": "DB_PERF_SEL_tl_z_fetch_stall", "value": 155},
3209 {"name": "DB_PERF_SEL_tl_stencil_stall", "value": 156},
3210 {"name": "DB_PERF_SEL_tl_z_decompress_stall", "value": 157},
3211 {"name": "DB_PERF_SEL_tl_stencil_locked_stall", "value": 158},
3212 {"name": "DB_PERF_SEL_tl_events", "value": 159},
3213 {"name": "DB_PERF_SEL_tl_summarize_squads", "value": 160},
3214 {"name": "DB_PERF_SEL_tl_flush_expand_squads", "value": 161},
3215 {"name": "DB_PERF_SEL_tl_expand_squads", "value": 162},
3216 {"name": "DB_PERF_SEL_tl_preZ_squads", "value": 163},
3217 {"name": "DB_PERF_SEL_tl_postZ_squads", "value": 164},
3218 {"name": "DB_PERF_SEL_tl_preZ_noop_squads", "value": 165},
3219 {"name": "DB_PERF_SEL_tl_postZ_noop_squads", "value": 166},
3220 {"name": "DB_PERF_SEL_tl_tile_ops", "value": 167},
3221 {"name": "DB_PERF_SEL_tl_in_xfc", "value": 168},
3222 {"name": "DB_PERF_SEL_tl_in_single_stencil_expand_stall", "value": 169},
3223 {"name": "DB_PERF_SEL_tl_in_fast_z_stall", "value": 170},
3224 {"name": "DB_PERF_SEL_tl_out_xfc", "value": 171},
3225 {"name": "DB_PERF_SEL_tl_out_squads", "value": 172},
3226 {"name": "DB_PERF_SEL_zf_plane_multicycle", "value": 173},
3227 {"name": "DB_PERF_SEL_PostZ_Samples_passing_Z", "value": 174},
3228 {"name": "DB_PERF_SEL_PostZ_Samples_failing_Z", "value": 175},
3229 {"name": "DB_PERF_SEL_PostZ_Samples_failing_S", "value": 176},
3230 {"name": "DB_PERF_SEL_PreZ_Samples_passing_Z", "value": 177},
3231 {"name": "DB_PERF_SEL_PreZ_Samples_failing_Z", "value": 178},
3232 {"name": "DB_PERF_SEL_PreZ_Samples_failing_S", "value": 179},
3233 {"name": "DB_PERF_SEL_ts_tc_update_stall", "value": 180},
3234 {"name": "DB_PERF_SEL_sc_kick_start", "value": 181},
3235 {"name": "DB_PERF_SEL_sc_kick_end", "value": 182},
3236 {"name": "DB_PERF_SEL_clock_reg_active", "value": 183},
3237 {"name": "DB_PERF_SEL_clock_main_active", "value": 184},
3238 {"name": "DB_PERF_SEL_clock_mem_export_active", "value": 185},
3239 {"name": "DB_PERF_SEL_esr_ps_out_busy", "value": 186},
3240 {"name": "DB_PERF_SEL_esr_ps_lqf_busy", "value": 187},
3241 {"name": "DB_PERF_SEL_esr_ps_lqf_stall", "value": 188},
3242 {"name": "DB_PERF_SEL_etr_out_send", "value": 189},
3243 {"name": "DB_PERF_SEL_etr_out_busy", "value": 190},
3244 {"name": "DB_PERF_SEL_etr_out_ltile_probe_fifo_full_stall", "value": 191},
3245 {"name": "DB_PERF_SEL_etr_out_cb_tile_stall", "value": 192},
3246 {"name": "DB_PERF_SEL_etr_out_esr_stall", "value": 193},
3247 {"name": "DB_PERF_SEL_esr_ps_sqq_busy", "value": 194},
3248 {"name": "DB_PERF_SEL_esr_ps_sqq_stall", "value": 195},
3249 {"name": "DB_PERF_SEL_esr_eot_fwd_busy", "value": 196},
3250 {"name": "DB_PERF_SEL_esr_eot_fwd_holding_squad", "value": 197},
3251 {"name": "DB_PERF_SEL_esr_eot_fwd_forward", "value": 198},
3252 {"name": "DB_PERF_SEL_esr_sqq_zi_busy", "value": 199},
3253 {"name": "DB_PERF_SEL_esr_sqq_zi_stall", "value": 200},
3254 {"name": "DB_PERF_SEL_postzl_sq_pt_busy", "value": 201},
3255 {"name": "DB_PERF_SEL_postzl_sq_pt_stall", "value": 202},
3256 {"name": "DB_PERF_SEL_postzl_se_busy", "value": 203},
3257 {"name": "DB_PERF_SEL_postzl_se_stall", "value": 204},
3258 {"name": "DB_PERF_SEL_postzl_partial_launch", "value": 205},
3259 {"name": "DB_PERF_SEL_postzl_full_launch", "value": 206},
3260 {"name": "DB_PERF_SEL_postzl_partial_waiting", "value": 207},
3261 {"name": "DB_PERF_SEL_postzl_tile_mem_stall", "value": 208},
3262 {"name": "DB_PERF_SEL_postzl_tile_init_stall", "value": 209},
3263 {"name": "DB_PERF_SEL_prezl_tile_mem_stall", "value": 210},
3264 {"name": "DB_PERF_SEL_prezl_tile_init_stall", "value": 211},
3265 {"name": "DB_PERF_SEL_dtt_sm_clash_stall", "value": 212},
3266 {"name": "DB_PERF_SEL_dtt_sm_slot_stall", "value": 213},
3267 {"name": "DB_PERF_SEL_dtt_sm_miss_stall", "value": 214},
3268 {"name": "DB_PERF_SEL_mi_rdreq_busy", "value": 215},
3269 {"name": "DB_PERF_SEL_mi_rdreq_stall", "value": 216},
3270 {"name": "DB_PERF_SEL_mi_wrreq_busy", "value": 217},
3271 {"name": "DB_PERF_SEL_mi_wrreq_stall", "value": 218},
3272 {"name": "DB_PERF_SEL_recomp_tile_to_1zplane_no_fastop", "value": 219},
3273 {"name": "DB_PERF_SEL_dkg_tile_rate_tile", "value": 220},
3274 {"name": "DB_PERF_SEL_prezl_src_in_sends", "value": 221},
3275 {"name": "DB_PERF_SEL_prezl_src_in_stall", "value": 222},
3276 {"name": "DB_PERF_SEL_prezl_src_in_squads", "value": 223},
3277 {"name": "DB_PERF_SEL_prezl_src_in_squads_unrolled", "value": 224},
3278 {"name": "DB_PERF_SEL_prezl_src_in_tile_rate", "value": 225},
3279 {"name": "DB_PERF_SEL_prezl_src_in_tile_rate_unrolled", "value": 226},
3280 {"name": "DB_PERF_SEL_prezl_src_out_stall", "value": 227},
3281 {"name": "DB_PERF_SEL_postzl_src_in_sends", "value": 228},
3282 {"name": "DB_PERF_SEL_postzl_src_in_stall", "value": 229},
3283 {"name": "DB_PERF_SEL_postzl_src_in_squads", "value": 230},
3284 {"name": "DB_PERF_SEL_postzl_src_in_squads_unrolled", "value": 231},
3285 {"name": "DB_PERF_SEL_postzl_src_in_tile_rate", "value": 232},
3286 {"name": "DB_PERF_SEL_postzl_src_in_tile_rate_unrolled", "value": 233},
3287 {"name": "DB_PERF_SEL_postzl_src_out_stall", "value": 234},
3288 {"name": "DB_PERF_SEL_esr_ps_src_in_sends", "value": 235},
3289 {"name": "DB_PERF_SEL_esr_ps_src_in_stall", "value": 236},
3290 {"name": "DB_PERF_SEL_esr_ps_src_in_squads", "value": 237},
3291 {"name": "DB_PERF_SEL_esr_ps_src_in_squads_unrolled", "value": 238},
3292 {"name": "DB_PERF_SEL_esr_ps_src_in_tile_rate", "value": 239},
3293 {"name": "DB_PERF_SEL_esr_ps_src_in_tile_rate_unrolled", "value": 240},
3294 {"name": "DB_PERF_SEL_esr_ps_src_in_tile_rate_unrolled_to_pixel_rate", "value": 241},
3295 {"name": "DB_PERF_SEL_esr_ps_src_out_stall", "value": 242},
3296 {"name": "DB_PERF_SEL_depth_bounds_tile_culled", "value": 243},
3297 {"name": "DB_PERF_SEL_PreZ_Samples_failing_DB", "value": 244},
3298 {"name": "DB_PERF_SEL_PostZ_Samples_failing_DB", "value": 245},
3299 {"name": "DB_PERF_SEL_flush_compressed", "value": 246},
3300 {"name": "DB_PERF_SEL_flush_plane_le4", "value": 247},
3301 {"name": "DB_PERF_SEL_tiles_z_fully_summarized", "value": 248},
3302 {"name": "DB_PERF_SEL_tiles_stencil_fully_summarized", "value": 249},
3303 {"name": "DB_PERF_SEL_tiles_z_clear_on_expclear", "value": 250},
3304 {"name": "DB_PERF_SEL_tiles_s_clear_on_expclear", "value": 251},
3305 {"name": "DB_PERF_SEL_tiles_decomp_on_expclear", "value": 252},
3306 {"name": "DB_PERF_SEL_tiles_compressed_to_decompressed", "value": 253},
3307 {"name": "DB_PERF_SEL_Op_Pipe_Prez_Busy", "value": 254},
3308 {"name": "DB_PERF_SEL_Op_Pipe_Postz_Busy", "value": 255},
3309 {"name": "DB_PERF_SEL_di_dt_stall", "value": 256},
3310 {"name": "DB_PERF_SEL_DB_SC_quad_lit_quad_pre_invoke", "value": 257},
3311 {"name": "DB_PERF_SEL_DB_SC_s_tile_rate", "value": 258},
3312 {"name": "DB_PERF_SEL_DB_SC_c_tile_rate", "value": 259},
3313 {"name": "DB_PERF_SEL_DB_SC_z_tile_rate", "value": 260},
3314 {"name": "Spare_261", "value": 261},
3315 {"name": "DB_PERF_SEL_DB_CB_lquad_export_quads", "value": 262},
3316 {"name": "DB_PERF_SEL_DB_CB_lquad_double_format", "value": 263},
3317 {"name": "DB_PERF_SEL_DB_CB_lquad_fast_format", "value": 264},
3318 {"name": "DB_PERF_SEL_DB_CB_lquad_slow_format", "value": 265},
3319 {"name": "DB_PERF_SEL_CB_DB_rdreq_sends", "value": 266},
3320 {"name": "DB_PERF_SEL_CB_DB_rdreq_prt_sends", "value": 267},
3321 {"name": "DB_PERF_SEL_CB_DB_wrreq_sends", "value": 268},
3322 {"name": "DB_PERF_SEL_CB_DB_wrreq_prt_sends", "value": 269},
3323 {"name": "DB_PERF_SEL_DB_CB_rdret_ack", "value": 270},
3324 {"name": "DB_PERF_SEL_DB_CB_rdret_nack", "value": 271},
3325 {"name": "DB_PERF_SEL_DB_CB_wrret_ack", "value": 272},
3326 {"name": "DB_PERF_SEL_DB_CB_wrret_nack", "value": 273},
3327 {"name": "Spare_274", "value": 274},
3328 {"name": "DB_PERF_SEL_DFSM_Stall_opmode_change", "value": 275},
3329 {"name": "DB_PERF_SEL_DFSM_Stall_cam_fifo", "value": 276},
3330 {"name": "DB_PERF_SEL_DFSM_Stall_bypass_fifo", "value": 277},
3331 {"name": "DB_PERF_SEL_DFSM_Stall_retained_tile_fifo", "value": 278},
3332 {"name": "DB_PERF_SEL_DFSM_Stall_control_fifo", "value": 279},
3333 {"name": "DB_PERF_SEL_DFSM_Stall_overflow_counter", "value": 280},
3334 {"name": "DB_PERF_SEL_DFSM_Stall_pops_stall_overflow", "value": 281},
3335 {"name": "DB_PERF_SEL_DFSM_Stall_pops_stall_self_flush", "value": 282},
3336 {"name": "DB_PERF_SEL_DFSM_Stall_middle_output", "value": 283},
3337 {"name": "DB_PERF_SEL_DFSM_Stall_stalling_general", "value": 284},
3338 {"name": "Spare_285", "value": 285},
3339 {"name": "Spare_286", "value": 286},
3340 {"name": "DB_PERF_SEL_DFSM_prez_killed_squad", "value": 287},
3341 {"name": "DB_PERF_SEL_DFSM_squads_in", "value": 288},
3342 {"name": "DB_PERF_SEL_DFSM_full_cleared_squads_out", "value": 289},
3343 {"name": "DB_PERF_SEL_DFSM_quads_in", "value": 290},
3344 {"name": "DB_PERF_SEL_DFSM_fully_cleared_quads_out", "value": 291},
3345 {"name": "DB_PERF_SEL_DFSM_lit_pixels_in", "value": 292},
3346 {"name": "DB_PERF_SEL_DFSM_fully_cleared_pixels_out", "value": 293},
3347 {"name": "DB_PERF_SEL_DFSM_lit_samples_in", "value": 294},
3348 {"name": "DB_PERF_SEL_DFSM_lit_samples_out", "value": 295},
3349 {"name": "DB_PERF_SEL_DFSM_evicted_tiles_above_watermark", "value": 296},
3350 {"name": "DB_PERF_SEL_DFSM_cant_accept_squads_but_not_stalled_by_downstream", "value": 297},
3351 {"name": "DB_PERF_SEL_DFSM_stalled_by_downstream", "value": 298},
3352 {"name": "DB_PERF_SEL_DFSM_evicted_squads_above_watermark", "value": 299},
3353 {"name": "DB_PERF_SEL_DFSM_collisions_due_to_POPS_overflow", "value": 300},
3354 {"name": "DB_PERF_SEL_DFSM_collisions_detected_within_POPS_FIFO", "value": 301},
3355 {"name": "DB_PERF_SEL_DFSM_evicted_squads_due_to_prim_watermark", "value": 302},
3356 {"name": "DB_PERF_SEL_MI_tile_req_wrack_counter_stall", "value": 303},
3357 {"name": "DB_PERF_SEL_MI_quad_req_wrack_counter_stall", "value": 304},
3358 {"name": "DB_PERF_SEL_MI_zpc_req_wrack_counter_stall", "value": 305},
3359 {"name": "DB_PERF_SEL_MI_psd_req_wrack_counter_stall", "value": 306},
3360 {"name": "DB_PERF_SEL_unmapped_z_tile_culled", "value": 307},
3361 {"name": "DB_PERF_SEL_DB_CB_tile_is_event_FLUSH_AND_INV_DB_DATA_TS", "value": 308},
3362 {"name": "DB_PERF_SEL_DB_CB_tile_is_event_FLUSH_AND_INV_CB_PIXEL_DATA", "value": 309},
3363 {"name": "DB_PERF_SEL_DB_CB_tile_is_event_BOTTOM_OF_PIPE_TS", "value": 310},
3364 {"name": "DB_PERF_SEL_DB_CB_tile_waiting_for_perfcounter_stop_event", "value": 311},
3365 {"name": "DB_PERF_SEL_DB_CB_lquad_fmt_32bpp_8pix", "value": 312},
3366 {"name": "DB_PERF_SEL_DB_CB_lquad_fmt_16_16_unsigned_8pix", "value": 313},
3367 {"name": "DB_PERF_SEL_DB_CB_lquad_fmt_16_16_signed_8pix", "value": 314},
3368 {"name": "DB_PERF_SEL_DB_CB_lquad_fmt_16_16_float_8pix", "value": 315},
3369 {"name": "DB_PERF_SEL_DB_CB_lquad_num_pixels_need_blending", "value": 316},
3370 {"name": "DB_PERF_SEL_DB_CB_context_dones", "value": 317},
3371 {"name": "DB_PERF_SEL_DB_CB_eop_dones", "value": 318},
3372 {"name": "DB_PERF_SEL_SX_DB_quad_all_pixels_killed", "value": 319},
3373 {"name": "DB_PERF_SEL_SX_DB_quad_all_pixels_enabled", "value": 320},
3374 {"name": "DB_PERF_SEL_SX_DB_quad_need_blending_and_dst_read", "value": 321},
3375 {"name": "DB_PERF_SEL_SC_DB_tile_backface", "value": 322},
3376 {"name": "DB_PERF_SEL_SC_DB_quad_quads", "value": 323},
3377 {"name": "DB_PERF_SEL_DB_SC_quad_quads_with_1_pixel", "value": 324},
3378 {"name": "DB_PERF_SEL_DB_SC_quad_quads_with_2_pixels", "value": 325},
3379 {"name": "DB_PERF_SEL_DB_SC_quad_quads_with_3_pixels", "value": 326},
3380 {"name": "DB_PERF_SEL_DB_SC_quad_quads_with_4_pixels", "value": 327},
3381 {"name": "DB_PERF_SEL_DFSM_Flush_flushabit", "value": 328},
3382 {"name": "DB_PERF_SEL_DFSM_Flush_flushabit_camcoord_fifo", "value": 329},
3383 {"name": "DB_PERF_SEL_DFSM_Flush_flushabit_passthrough", "value": 330},
3384 {"name": "DB_PERF_SEL_DFSM_Flush_flushabit_forceflush", "value": 331},
3385 {"name": "DB_PERF_SEL_DFSM_Flush_flushabit_nearlyfull", "value": 332},
3386 {"name": "DB_PERF_SEL_DFSM_Flush_flushabit_primitivesinflightwatermark", "value": 333},
3387 {"name": "DB_PERF_SEL_DFSM_Flush_flushabit_punch_stalling", "value": 334},
3388 {"name": "DB_PERF_SEL_DFSM_Flush_flushabit_retainedtilefifo_watermark", "value": 335},
3389 {"name": "DB_PERF_SEL_DFSM_Flush_flushabit_tilesinflightwatermark", "value": 336},
3390 {"name": "DB_PERF_SEL_DFSM_Flush_flushall", "value": 337},
3391 {"name": "DB_PERF_SEL_DFSM_Flush_flushall_dfsmflush", "value": 338},
3392 {"name": "DB_PERF_SEL_DFSM_Flush_flushall_opmodechange", "value": 339},
3393 {"name": "DB_PERF_SEL_DFSM_Flush_flushall_sampleratechange", "value": 340},
3394 {"name": "DB_PERF_SEL_DFSM_Flush_flushall_watchdog", "value": 341},
3395 {"name": "DB_PERF_SEL_DB_SC_quad_double_quad", "value": 342},
3396 {"name": "DB_PERF_SEL_SX_DB_quad_export_quads", "value": 343},
3397 {"name": "DB_PERF_SEL_SX_DB_quad_double_format", "value": 344},
3398 {"name": "DB_PERF_SEL_SX_DB_quad_fast_format", "value": 345},
3399 {"name": "DB_PERF_SEL_SX_DB_quad_slow_format", "value": 346},
3400 {"name": "DB_PERF_SEL_quad_rd_sends_unc", "value": 347},
3401 {"name": "DB_PERF_SEL_quad_rd_mi_stall_unc", "value": 348},
3402 {"name": "DB_PERF_SEL_DFSM_OutputPunch", "value": 349},
3403 {"name": "DB_PERF_SEL_DFSM_OutputPops", "value": 350},
3404 {"name": "DB_PERF_SEL_DFSM_OutputFifo", "value": 351},
3405 {"name": "DB_PERF_SEL_DFSM_StallOpmodeChange", "value": 352},
3406 {"name": "DB_PERF_SEL_DFSM_StallCAMFifoFull", "value": 353},
3407 {"name": "DB_PERF_SEL_DFSM_StallBypassFifoFull", "value": 354},
3408 {"name": "DB_PERF_SEL_DFSM_StallRetainedTileFifoFull", "value": 355},
3409 {"name": "DB_PERF_SEL_DFSM_StallControlFifoFull", "value": 356},
3410 {"name": "DB_PERF_SEL_DFSM_StallControlCountFull", "value": 357},
3411 {"name": "DB_PERF_SEL_DFSM_StallOverflowMaximum", "value": 358},
3412 {"name": "DB_PERF_SEL_DFSM_StallPopsStallOverflow", "value": 359},
3413 {"name": "DB_PERF_SEL_DFSM_StallPopsStallSelfStall", "value": 360},
3414 {"name": "DB_PERF_SEL_DFSM_StallCamSlotFlush", "value": 361},
3415 {"name": "DB_PERF_SEL_DFSM_StallOutput", "value": 362},
3416 {"name": "DB_PERF_SEL_DFSM_WatchdogTrigger", "value": 363},
3417 {"name": "DB_PERF_SEL_DFSM_StallOnPOPSStall", "value": 364},
3418 {"name": "DB_PERF_SEL_SC_DB_tile_tiles_pipe0", "value": 365},
3419 {"name": "DB_PERF_SEL_SC_DB_tile_tiles_pipe1", "value": 366},
3420 {"name": "DB_PERF_SEL_SC_DB_quad_quads_pipe0", "value": 367},
3421 {"name": "DB_PERF_SEL_SC_DB_quad_quads_pipe1", "value": 368}
3422 ]
3423 },
3424 "PkrMap": {
3425 "entries": [
3426 {"name": "RASTER_CONFIG_PKR_MAP_0", "value": 0},
3427 {"name": "RASTER_CONFIG_PKR_MAP_1", "value": 1},
3428 {"name": "RASTER_CONFIG_PKR_MAP_2", "value": 2},
3429 {"name": "RASTER_CONFIG_PKR_MAP_3", "value": 3}
3430 ]
3431 },
3432 "PkrXsel": {
3433 "entries": [
3434 {"name": "RASTER_CONFIG_PKR_XSEL_0", "value": 0},
3435 {"name": "RASTER_CONFIG_PKR_XSEL_1", "value": 1},
3436 {"name": "RASTER_CONFIG_PKR_XSEL_2", "value": 2},
3437 {"name": "RASTER_CONFIG_PKR_XSEL_3", "value": 3}
3438 ]
3439 },
3440 "PkrXsel2": {
3441 "entries": [
3442 {"name": "RASTER_CONFIG_PKR_XSEL2_0", "value": 0},
3443 {"name": "RASTER_CONFIG_PKR_XSEL2_1", "value": 1},
3444 {"name": "RASTER_CONFIG_PKR_XSEL2_2", "value": 2},
3445 {"name": "RASTER_CONFIG_PKR_XSEL2_3", "value": 3}
3446 ]
3447 },
3448 "PkrYsel": {
3449 "entries": [
3450 {"name": "RASTER_CONFIG_PKR_YSEL_0", "value": 0},
3451 {"name": "RASTER_CONFIG_PKR_YSEL_1", "value": 1},
3452 {"name": "RASTER_CONFIG_PKR_YSEL_2", "value": 2},
3453 {"name": "RASTER_CONFIG_PKR_YSEL_3", "value": 3}
3454 ]
3455 },
3456 "RMIPerfSel": {
3457 "entries": [
3458 {"name": "RMI_PERF_SEL_NONE", "value": 0},
3459 {"name": "RMI_PERF_SEL_BUSY", "value": 1},
3460 {"name": "RMI_PERF_SEL_REG_CLK_VLD", "value": 2},
3461 {"name": "RMI_PERF_SEL_DYN_CLK_CMN_VLD", "value": 3},
3462 {"name": "RMI_PERF_SEL_DYN_CLK_RB_VLD", "value": 4},
3463 {"name": "RMI_PERF_SEL_DYN_CLK_PERF_VLD", "value": 5},
3464 {"name": "RMI_PERF_SEL_PERF_WINDOW", "value": 6},
3465 {"name": "RMI_PERF_SEL_EVENT_SEND", "value": 7},
3466 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID0", "value": 8},
3467 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID1", "value": 9},
3468 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID2", "value": 10},
3469 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID3", "value": 11},
3470 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID4", "value": 12},
3471 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID5", "value": 13},
3472 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID6", "value": 14},
3473 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID7", "value": 15},
3474 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID8", "value": 16},
3475 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID9", "value": 17},
3476 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID10", "value": 18},
3477 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID11", "value": 19},
3478 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID12", "value": 20},
3479 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID13", "value": 21},
3480 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID14", "value": 22},
3481 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID15", "value": 23},
3482 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID_ALL", "value": 24},
3483 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID0", "value": 25},
3484 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID1", "value": 26},
3485 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID2", "value": 27},
3486 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID3", "value": 28},
3487 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID4", "value": 29},
3488 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID5", "value": 30},
3489 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID6", "value": 31},
3490 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID7", "value": 32},
3491 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID8", "value": 33},
3492 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID9", "value": 34},
3493 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID10", "value": 35},
3494 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID11", "value": 36},
3495 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID12", "value": 37},
3496 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID13", "value": 38},
3497 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID14", "value": 39},
3498 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID15", "value": 40},
3499 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID_ALL", "value": 41},
3500 {"name": "RMI_PERF_SEL_UTCL1_TRANSLATION_MISS", "value": 42},
3501 {"name": "RMI_PERF_SEL_UTCL1_PERMISSION_MISS", "value": 43},
3502 {"name": "RMI_PERF_SEL_UTCL1_TRANSLATION_HIT", "value": 44},
3503 {"name": "RMI_PERF_SEL_UTCL1_REQUEST", "value": 45},
3504 {"name": "RMI_PERF_SEL_UTCL1_STALL_INFLIGHT_MAX", "value": 46},
3505 {"name": "RMI_PERF_SEL_UTCL1_STALL_LRU_INFLIGHT", "value": 47},
3506 {"name": "RMI_PERF_SEL_UTCL1_LFIFO_FULL", "value": 48},
3507 {"name": "RMI_PERF_SEL_UTCL1_STALL_LFIFO_NOT_RES", "value": 49},
3508 {"name": "RMI_PERF_SEL_UTCL1_STALL_UTCL2_REQ_OUT_OF_CREDITS", "value": 50},
3509 {"name": "RMI_PERF_SEL_UTCL1_STALL_MISSFIFO_FULL", "value": 51},
3510 {"name": "RMI_PERF_SEL_UTCL1_HIT_FIFO_FULL", "value": 52},
3511 {"name": "RMI_PERF_SEL_UTCL1_STALL_MULTI_MISS", "value": 53},
3512 {"name": "RMI_PERF_SEL_RB_RMI_WRREQ_ALL_CID", "value": 54},
3513 {"name": "RMI_PERF_SEL_RB_RMI_WRREQ_TO_WRRET_BUSY", "value": 55},
3514 {"name": "RMI_PERF_SEL_RB_RMI_WRREQ_CID0", "value": 56},
3515 {"name": "RMI_PERF_SEL_RB_RMI_WRREQ_CID1", "value": 57},
3516 {"name": "RMI_PERF_SEL_RB_RMI_WRREQ_CID2", "value": 58},
3517 {"name": "RMI_PERF_SEL_RB_RMI_WRREQ_CID3", "value": 59},
3518 {"name": "RMI_PERF_SEL_RB_RMI_WRREQ_CID4", "value": 60},
3519 {"name": "RMI_PERF_SEL_RB_RMI_WRREQ_CID5", "value": 61},
3520 {"name": "RMI_PERF_SEL_RB_RMI_WRREQ_CID6", "value": 62},
3521 {"name": "RMI_PERF_SEL_RB_RMI_WRREQ_CID7", "value": 63},
3522 {"name": "RMI_PERF_SEL_RB_RMI_32BWRREQ_INFLIGHT_ALL_ORONE_CID", "value": 64},
3523 {"name": "RMI_PERF_SEL_RB_RMI_WRREQ_BURST_LENGTH_ALL_ORONE_CID", "value": 65},
3524 {"name": "RMI_PERF_SEL_RB_RMI_WRREQ_BURST_ALL_ORONE_CID", "value": 66},
3525 {"name": "RMI_PERF_SEL_RB_RMI_WRREQ_RESIDENCY", "value": 67},
3526 {"name": "RMI_PERF_SEL_RMI_RB_WRRET_VALID_ALL_CID", "value": 68},
3527 {"name": "RMI_PERF_SEL_RMI_RB_WRRET_VALID_CID0", "value": 69},
3528 {"name": "RMI_PERF_SEL_RMI_RB_WRRET_VALID_CID1", "value": 70},
3529 {"name": "RMI_PERF_SEL_RMI_RB_WRRET_VALID_CID2", "value": 71},
3530 {"name": "RMI_PERF_SEL_RMI_RB_WRRET_VALID_CID3", "value": 72},
3531 {"name": "RMI_PERF_SEL_RMI_RB_WRRET_VALID_CID4", "value": 73},
3532 {"name": "RMI_PERF_SEL_RMI_RB_WRRET_VALID_CID5", "value": 74},
3533 {"name": "RMI_PERF_SEL_RMI_RB_WRRET_VALID_CID6", "value": 75},
3534 {"name": "RMI_PERF_SEL_RMI_RB_WRRET_VALID_CID7", "value": 76},
3535 {"name": "RMI_PERF_SEL_RMI_RB_WRRET_VALID_NACK0", "value": 77},
3536 {"name": "RMI_PERF_SEL_RMI_RB_WRRET_VALID_NACK1", "value": 78},
3537 {"name": "RMI_PERF_SEL_RMI_RB_WRRET_VALID_NACK2", "value": 79},
3538 {"name": "RMI_PERF_SEL_RMI_RB_WRRET_VALID_NACK3", "value": 80},
3539 {"name": "RMI_PERF_SEL_RB_RMI_32BRDREQ_ALL_CID", "value": 81},
3540 {"name": "RMI_PERF_SEL_RB_RMI_RDREQ_ALL_CID", "value": 82},
3541 {"name": "RMI_PERF_SEL_RB_RMI_RDREQ_TO_RDRET_BUSY", "value": 83},
3542 {"name": "RMI_PERF_SEL_RB_RMI_32BRDREQ_CID0", "value": 84},
3543 {"name": "RMI_PERF_SEL_RB_RMI_32BRDREQ_CID1", "value": 85},
3544 {"name": "RMI_PERF_SEL_RB_RMI_32BRDREQ_CID2", "value": 86},
3545 {"name": "RMI_PERF_SEL_RB_RMI_32BRDREQ_CID3", "value": 87},
3546 {"name": "RMI_PERF_SEL_RB_RMI_32BRDREQ_CID4", "value": 88},
3547 {"name": "RMI_PERF_SEL_RB_RMI_32BRDREQ_CID5", "value": 89},
3548 {"name": "RMI_PERF_SEL_RB_RMI_32BRDREQ_CID6", "value": 90},
3549 {"name": "RMI_PERF_SEL_RB_RMI_32BRDREQ_CID7", "value": 91},
3550 {"name": "RMI_PERF_SEL_RB_RMI_RDREQ_CID0", "value": 92},
3551 {"name": "RMI_PERF_SEL_RB_RMI_RDREQ_CID1", "value": 93},
3552 {"name": "RMI_PERF_SEL_RB_RMI_RDREQ_CID2", "value": 94},
3553 {"name": "RMI_PERF_SEL_RB_RMI_RDREQ_CID3", "value": 95},
3554 {"name": "RMI_PERF_SEL_RB_RMI_RDREQ_CID4", "value": 96},
3555 {"name": "RMI_PERF_SEL_RB_RMI_RDREQ_CID5", "value": 97},
3556 {"name": "RMI_PERF_SEL_RB_RMI_RDREQ_CID6", "value": 98},
3557 {"name": "RMI_PERF_SEL_RB_RMI_RDREQ_CID7", "value": 99},
3558 {"name": "RMI_PERF_SEL_RB_RMI_32BRDREQ_INFLIGHT_ALL_ORONE_CID", "value": 100},
3559 {"name": "RMI_PERF_SEL_RB_RMI_RDREQ_BURST_LENGTH_ALL_ORONE_CID", "value": 101},
3560 {"name": "RMI_PERF_SEL_RB_RMI_RDREQ_BURST_ALL_ORONE_CID", "value": 102},
3561 {"name": "RMI_PERF_SEL_RB_RMI_RDREQ_RESIDENCY", "value": 103},
3562 {"name": "RMI_PERF_SEL_RMI_RB_32BRDRET_VALID_ALL_CID", "value": 104},
3563 {"name": "RMI_PERF_SEL_RMI_RB_32BRDRET_VALID_CID0", "value": 105},
3564 {"name": "RMI_PERF_SEL_RMI_RB_32BRDRET_VALID_CID1", "value": 106},
3565 {"name": "RMI_PERF_SEL_RMI_RB_32BRDRET_VALID_CID2", "value": 107},
3566 {"name": "RMI_PERF_SEL_RMI_RB_32BRDRET_VALID_CID3", "value": 108},
3567 {"name": "RMI_PERF_SEL_RMI_RB_32BRDRET_VALID_CID4", "value": 109},
3568 {"name": "RMI_PERF_SEL_RMI_RB_32BRDRET_VALID_CID5", "value": 110},
3569 {"name": "RMI_PERF_SEL_RMI_RB_32BRDRET_VALID_CID6", "value": 111},
3570 {"name": "RMI_PERF_SEL_RMI_RB_32BRDRET_VALID_CID7", "value": 112},
3571 {"name": "RMI_PERF_SEL_RMI_RB_32BRDRET_VALID_NACK0", "value": 113},
3572 {"name": "RMI_PERF_SEL_RMI_RB_32BRDRET_VALID_NACK1", "value": 114},
3573 {"name": "RMI_PERF_SEL_RMI_RB_32BRDRET_VALID_NACK2", "value": 115},
3574 {"name": "RMI_PERF_SEL_RMI_RB_32BRDRET_VALID_NACK3", "value": 116},
3575 {"name": "RMI_PERF_SEL_RB_RMI_WR_FIFO_MAX", "value": 117},
3576 {"name": "RMI_PERF_SEL_RB_RMI_WR_FIFO_EMPTY", "value": 118},
3577 {"name": "RMI_PERF_SEL_RB_RMI_WR_IDLE", "value": 119},
3578 {"name": "RMI_PERF_SEL_RB_RMI_WR_STARVE", "value": 120},
3579 {"name": "RMI_PERF_SEL_RB_RMI_WR_STALL", "value": 121},
3580 {"name": "RMI_PERF_SEL_RB_RMI_WR_BUSY", "value": 122},
3581 {"name": "RMI_PERF_SEL_RB_RMI_WR_INTF_BUSY", "value": 123},
3582 {"name": "RMI_PERF_SEL_RB_RMI_RD_FIFO_MAX", "value": 124},
3583 {"name": "RMI_PERF_SEL_RB_RMI_RD_FIFO_EMPTY", "value": 125},
3584 {"name": "RMI_PERF_SEL_RB_RMI_RD_IDLE", "value": 126},
3585 {"name": "RMI_PERF_SEL_RB_RMI_RD_STARVE", "value": 127},
3586 {"name": "RMI_PERF_SEL_RB_RMI_RD_STALL", "value": 128},
3587 {"name": "RMI_PERF_SEL_RB_RMI_RD_BUSY", "value": 129},
3588 {"name": "RMI_PERF_SEL_RB_RMI_RD_INTF_BUSY", "value": 130},
3589 {"name": "RMI_PERF_SEL_RMI_TC_64BWRREQ_ALL_ORONE_CID", "value": 131},
3590 {"name": "RMI_PERF_SEL_RMI_TC_64BRDREQ_ALL_ORONE_CID", "value": 132},
3591 {"name": "RMI_PERF_SEL_RMI_TC_WRREQ_ALL_CID", "value": 133},
3592 {"name": "RMI_PERF_SEL_RMI_TC_REQ_BUSY", "value": 134},
3593 {"name": "RMI_PERF_SEL_RMI_TC_WRREQ_CID0", "value": 135},
3594 {"name": "RMI_PERF_SEL_RMI_TC_WRREQ_CID1", "value": 136},
3595 {"name": "RMI_PERF_SEL_RMI_TC_WRREQ_CID2", "value": 137},
3596 {"name": "RMI_PERF_SEL_RMI_TC_WRREQ_CID3", "value": 138},
3597 {"name": "RMI_PERF_SEL_RMI_TC_WRREQ_CID4", "value": 139},
3598 {"name": "RMI_PERF_SEL_RMI_TC_WRREQ_CID5", "value": 140},
3599 {"name": "RMI_PERF_SEL_RMI_TC_WRREQ_CID6", "value": 141},
3600 {"name": "RMI_PERF_SEL_RMI_TC_WRREQ_CID7", "value": 142},
3601 {"name": "RMI_PERF_SEL_RMI_TC_WRREQ_INFLIGHT_ALL_CID", "value": 143},
3602 {"name": "RMI_PERF_SEL_TC_RMI_WRRET_VALID_ALL_CID", "value": 144},
3603 {"name": "RMI_PERF_SEL_RMI_TC_RDREQ_ALL_CID", "value": 145},
3604 {"name": "RMI_PERF_SEL_RMI_TC_RDREQ_CID0", "value": 146},
3605 {"name": "RMI_PERF_SEL_RMI_TC_RDREQ_CID1", "value": 147},
3606 {"name": "RMI_PERF_SEL_RMI_TC_RDREQ_CID2", "value": 148},
3607 {"name": "RMI_PERF_SEL_RMI_TC_RDREQ_CID3", "value": 149},
3608 {"name": "RMI_PERF_SEL_RMI_TC_RDREQ_CID4", "value": 150},
3609 {"name": "RMI_PERF_SEL_RMI_TC_RDREQ_CID5", "value": 151},
3610 {"name": "RMI_PERF_SEL_RMI_TC_RDREQ_CID6", "value": 152},
3611 {"name": "RMI_PERF_SEL_RMI_TC_RDREQ_CID7", "value": 153},
3612 {"name": "RMI_PERF_SEL_RMI_TC_STALL_RDREQ", "value": 154},
3613 {"name": "RMI_PERF_SEL_RMI_TC_STALL_WRREQ", "value": 155},
3614 {"name": "RMI_PERF_SEL_RMI_TC_STALL_ALLREQ", "value": 156},
3615 {"name": "RMI_PERF_SEL_RMI_TC_CREDIT_FULL_NO_PENDING_SEND", "value": 157},
3616 {"name": "RMI_PERF_SEL_RMI_TC_CREDIT_ZERO_PENDING_SEND", "value": 158},
3617 {"name": "RMI_PERF_SEL_RMI_TC_RDREQ_INFLIGHT_ALL_CID", "value": 159},
3618 {"name": "RMI_PERF_SEL_TC_RMI_RDRET_VALID_ALL_CID", "value": 160},
3619 {"name": "RMI_PERF_SEL_UTCL1_BUSY", "value": 161},
3620 {"name": "RMI_PERF_SEL_RMI_UTC_REQ", "value": 162},
3621 {"name": "RMI_PERF_SEL_RMI_UTC_BUSY", "value": 163},
3622 {"name": "RMI_PERF_SEL_UTCL1_UTCL2_REQ", "value": 164},
3623 {"name": "RMI_PERF_SEL_LEVEL_ADD_UTCL1_TO_UTCL2", "value": 165},
3624 {"name": "RMI_PERF_SEL_PROBE_UTCL1_XNACK_RETRY", "value": 166},
3625 {"name": "RMI_PERF_SEL_PROBE_UTCL1_ALL_FAULT", "value": 167},
3626 {"name": "RMI_PERF_SEL_PROBE_UTCL1_PRT_FAULT", "value": 168},
3627 {"name": "RMI_PERF_SEL_PROBE_UTCL1_VMID_BYPASS", "value": 169},
3628 {"name": "RMI_PERF_SEL_PROBE_UTCL1_XNACK_NORETRY_FAULT", "value": 170},
3629 {"name": "RMI_PERF_SEL_XNACK_FIFO_NUM_USED", "value": 171},
3630 {"name": "RMI_PERF_SEL_LAT_FIFO_NUM_USED", "value": 172},
3631 {"name": "RMI_PERF_SEL_LAT_FIFO_BLOCKING_REQ", "value": 173},
3632 {"name": "RMI_PERF_SEL_LAT_FIFO_NONBLOCKING_REQ", "value": 174},
3633 {"name": "RMI_PERF_SEL_XNACK_FIFO_FULL", "value": 175},
3634 {"name": "RMI_PERF_SEL_XNACK_FIFO_BUSY", "value": 176},
3635 {"name": "RMI_PERF_SEL_LAT_FIFO_FULL", "value": 177},
3636 {"name": "RMI_PERF_SEL_SKID_FIFO_DEPTH", "value": 178},
3637 {"name": "RMI_PERF_SEL_TCIW_INFLIGHT_COUNT", "value": 179},
3638 {"name": "RMI_PERF_SEL_PRT_FIFO_NUM_USED", "value": 180},
3639 {"name": "RMI_PERF_SEL_PRT_FIFO_REQ", "value": 181},
3640 {"name": "RMI_PERF_SEL_PRT_FIFO_BUSY", "value": 182},
3641 {"name": "RMI_PERF_SEL_TCIW_REQ", "value": 183},
3642 {"name": "RMI_PERF_SEL_TCIW_BUSY", "value": 184},
3643 {"name": "RMI_PERF_SEL_SKID_FIFO_REQ", "value": 185},
3644 {"name": "RMI_PERF_SEL_SKID_FIFO_BUSY", "value": 186},
3645 {"name": "RMI_PERF_SEL_DEMUX_TCIW_RESIDENCY_NACK0", "value": 187},
3646 {"name": "RMI_PERF_SEL_DEMUX_TCIW_RESIDENCY_NACK1", "value": 188},
3647 {"name": "RMI_PERF_SEL_DEMUX_TCIW_RESIDENCY_NACK2", "value": 189},
3648 {"name": "RMI_PERF_SEL_DEMUX_TCIW_RESIDENCY_NACK3", "value": 190},
3649 {"name": "RMI_PERF_SEL_XBAR_PROBEGEN_RTS_RTR", "value": 191},
3650 {"name": "RMI_PERF_SEL_XBAR_PROBEGEN_RTSB_RTR", "value": 192},
3651 {"name": "RMI_PERF_SEL_XBAR_PROBEGEN_RTS_RTRB", "value": 193},
3652 {"name": "RMI_PERF_SEL_XBAR_PROBEGEN_RTSB_RTRB", "value": 194},
3653 {"name": "RMI_PERF_SEL_DEMUX_TCIW_FORMATTER_RTS_RTR", "value": 195},
3654 {"name": "RMI_PERF_SEL_DEMUX_TCIW_FORMATTER_RTSB_RTR", "value": 196},
3655 {"name": "RMI_PERF_SEL_DEMUX_TCIW_FORMATTER_RTS_RTRB", "value": 197},
3656 {"name": "RMI_PERF_SEL_DEMUX_TCIW_FORMATTER_RTSB_RTRB", "value": 198},
3657 {"name": "RMI_PERF_SEL_WRREQCONSUMER_XBAR_WRREQ_RTS_RTR", "value": 199},
3658 {"name": "RMI_PERF_SEL_WRREQCONSUMER_XBAR_WRREQ_RTSB_RTR", "value": 200},
3659 {"name": "RMI_PERF_SEL_WRREQCONSUMER_XBAR_WRREQ_RTS_RTRB", "value": 201},
3660 {"name": "RMI_PERF_SEL_WRREQCONSUMER_XBAR_WRREQ_RTSB_RTRB", "value": 202},
3661 {"name": "RMI_PERF_SEL_RDREQCONSUMER_XBAR_RDREQ_RTS_RTR", "value": 203},
3662 {"name": "RMI_PERF_SEL_RDREQCONSUMER_XBAR_RDREQ_RTSB_RTR", "value": 204},
3663 {"name": "RMI_PERF_SEL_RDREQCONSUMER_XBAR_RDREQ_RTS_RTRB", "value": 205},
3664 {"name": "RMI_PERF_SEL_RDREQCONSUMER_XBAR_RDREQ_RTSB_RTRB", "value": 206},
3665 {"name": "RMI_PERF_SEL_POP_DEMUX_RTS_RTR", "value": 207},
3666 {"name": "RMI_PERF_SEL_POP_DEMUX_RTSB_RTR", "value": 208},
3667 {"name": "RMI_PERF_SEL_POP_DEMUX_RTS_RTRB", "value": 209},
3668 {"name": "RMI_PERF_SEL_POP_DEMUX_RTSB_RTRB", "value": 210},
3669 {"name": "RMI_PERF_SEL_PROBEGEN_UTC_RTS_RTR", "value": 211},
3670 {"name": "RMI_PERF_SEL_LEVEL_ADD_RMI_TO_UTC", "value": 212},
3671 {"name": "RMI_PERF_SEL_PROBEGEN_UTC_RTSB_RTR", "value": 213},
3672 {"name": "RMI_PERF_SEL_PROBEGEN_UTC_RTS_RTRB", "value": 214},
3673 {"name": "RMI_PERF_SEL_PROBEGEN_UTC_RTSB_RTRB", "value": 215},
3674 {"name": "RMI_PERF_SEL_UTC_POP_RTS_RTR", "value": 216},
3675 {"name": "RMI_PERF_SEL_UTC_POP_RTSB_RTR", "value": 217},
3676 {"name": "RMI_PERF_SEL_UTC_POP_RTS_RTRB", "value": 218},
3677 {"name": "RMI_PERF_SEL_UTC_POP_RTSB_RTRB", "value": 219},
3678 {"name": "RMI_PERF_SEL_POP_XNACK_RTS_RTR", "value": 220},
3679 {"name": "RMI_PERF_SEL_POP_XNACK_RTSB_RTR", "value": 221},
3680 {"name": "RMI_PERF_SEL_POP_XNACK_RTS_RTRB", "value": 222},
3681 {"name": "RMI_PERF_SEL_POP_XNACK_RTSB_RTRB", "value": 223},
3682 {"name": "RMI_PERF_SEL_XNACK_PROBEGEN_RTS_RTR", "value": 224},
3683 {"name": "RMI_PERF_SEL_XNACK_PROBEGEN_RTSB_RTR", "value": 225},
3684 {"name": "RMI_PERF_SEL_XNACK_PROBEGEN_RTS_RTRB", "value": 226},
3685 {"name": "RMI_PERF_SEL_XNACK_PROBEGEN_RTSB_RTRB", "value": 227},
3686 {"name": "RMI_PERF_SEL_PRTFIFO_RTNFORMATTER_RTS_RTR", "value": 228},
3687 {"name": "RMI_PERF_SEL_PRTFIFO_RTNFORMATTER_RTSB_RTR", "value": 229},
3688 {"name": "RMI_PERF_SEL_PRTFIFO_RTNFORMATTER_RTS_RTRB", "value": 230},
3689 {"name": "RMI_PERF_SEL_PRTFIFO_RTNFORMATTER_RTSB_RTRB", "value": 231},
3690 {"name": "RMI_PERF_SEL_SKID_FIFO_IN_RTS", "value": 232},
3691 {"name": "RMI_PERF_SEL_SKID_FIFO_IN_RTSB", "value": 233},
3692 {"name": "RMI_PERF_SEL_SKID_FIFO_OUT_RTS", "value": 234},
3693 {"name": "RMI_PERF_SEL_SKID_FIFO_OUT_RTSB", "value": 235},
3694 {"name": "RMI_PERF_SEL_XBAR_PROBEGEN_READ_RTS_RTR", "value": 236},
3695 {"name": "RMI_PERF_SEL_XBAR_PROBEGEN_WRITE_RTS_RTR", "value": 237},
3696 {"name": "RMI_PERF_SEL_XBAR_PROBEGEN_IN0_RTS_RTR", "value": 238},
3697 {"name": "RMI_PERF_SEL_XBAR_PROBEGEN_IN1_RTS_RTR", "value": 239},
3698 {"name": "RMI_PERF_SEL_XBAR_PROBEGEN_CB_RTS_RTR", "value": 240},
3699 {"name": "RMI_PERF_SEL_XBAR_PROBEGEN_DB_RTS_RTR", "value": 241},
3700 {"name": "RMI_PERF_SEL_REORDER_FIFO_REQ", "value": 242},
3701 {"name": "RMI_PERF_SEL_REORDER_FIFO_BUSY", "value": 243},
3702 {"name": "RMI_PERF_SEL_RMI_RB_EARLY_WRACK_ALL_CID", "value": 244},
3703 {"name": "RMI_PERF_SEL_RMI_RB_EARLY_WRACK_CID0", "value": 245},
3704 {"name": "RMI_PERF_SEL_RMI_RB_EARLY_WRACK_CID1", "value": 246},
3705 {"name": "RMI_PERF_SEL_RMI_RB_EARLY_WRACK_CID2", "value": 247},
3706 {"name": "RMI_PERF_SEL_RMI_RB_EARLY_WRACK_CID3", "value": 248},
3707 {"name": "RMI_PERF_SEL_RMI_RB_EARLY_WRACK_CID4", "value": 249},
3708 {"name": "RMI_PERF_SEL_RMI_RB_EARLY_WRACK_CID5", "value": 250},
3709 {"name": "RMI_PERF_SEL_RMI_RB_EARLY_WRACK_CID6", "value": 251},
3710 {"name": "RMI_PERF_SEL_RMI_RB_EARLY_WRACK_CID7", "value": 252},
3711 {"name": "RMI_PERF_SEL_RMI_RB_EARLY_WRACK_NACK0", "value": 253},
3712 {"name": "RMI_PERF_SEL_RMI_RB_EARLY_WRACK_NACK1", "value": 254},
3713 {"name": "RMI_PERF_SEL_RMI_RB_EARLY_WRACK_NACK2", "value": 255},
3714 {"name": "RMI_PERF_SEL_RMI_RB_EARLY_WRACK_NACK3", "value": 256},
3715 {"name": "RMI_PERF_SEL_UTCL0_UTCL1_PERM_FAULT", "value": 257}
3716 ]
3717 },
3718 "RbMap": {
3719 "entries": [
3720 {"name": "RASTER_CONFIG_RB_MAP_0", "value": 0},
3721 {"name": "RASTER_CONFIG_RB_MAP_1", "value": 1},
3722 {"name": "RASTER_CONFIG_RB_MAP_2", "value": 2},
3723 {"name": "RASTER_CONFIG_RB_MAP_3", "value": 3}
3724 ]
3725 },
3726 "RbXsel": {
3727 "entries": [
3728 {"name": "RASTER_CONFIG_RB_XSEL_0", "value": 0},
3729 {"name": "RASTER_CONFIG_RB_XSEL_1", "value": 1}
3730 ]
3731 },
3732 "RbXsel2": {
3733 "entries": [
3734 {"name": "RASTER_CONFIG_RB_XSEL2_0", "value": 0},
3735 {"name": "RASTER_CONFIG_RB_XSEL2_1", "value": 1},
3736 {"name": "RASTER_CONFIG_RB_XSEL2_2", "value": 2},
3737 {"name": "RASTER_CONFIG_RB_XSEL2_3", "value": 3}
3738 ]
3739 },
3740 "RbYsel": {
3741 "entries": [
3742 {"name": "RASTER_CONFIG_RB_YSEL_0", "value": 0},
3743 {"name": "RASTER_CONFIG_RB_YSEL_1", "value": 1}
3744 ]
3745 },
3746 "SC_PERFCNT_SEL": {
3747 "entries": [
3748 {"name": "SC_SRPS_WINDOW_VALID", "value": 0},
3749 {"name": "SC_PSSW_WINDOW_VALID", "value": 1},
3750 {"name": "SC_TPQZ_WINDOW_VALID", "value": 2},
3751 {"name": "SC_QZQP_WINDOW_VALID", "value": 3},
3752 {"name": "SC_TRPK_WINDOW_VALID", "value": 4},
3753 {"name": "SC_SRPS_WINDOW_VALID_BUSY", "value": 5},
3754 {"name": "SC_PSSW_WINDOW_VALID_BUSY", "value": 6},
3755 {"name": "SC_TPQZ_WINDOW_VALID_BUSY", "value": 7},
3756 {"name": "SC_QZQP_WINDOW_VALID_BUSY", "value": 8},
3757 {"name": "SC_TRPK_WINDOW_VALID_BUSY", "value": 9},
3758 {"name": "SC_STARVED_BY_PA", "value": 10},
3759 {"name": "SC_STALLED_BY_PRIMFIFO", "value": 11},
3760 {"name": "SC_STALLED_BY_DB_TILE", "value": 12},
3761 {"name": "SC_STARVED_BY_DB_TILE", "value": 13},
3762 {"name": "SC_STALLED_BY_TILEORDERFIFO", "value": 14},
3763 {"name": "SC_STALLED_BY_TILEFIFO", "value": 15},
3764 {"name": "SC_STALLED_BY_DB_QUAD", "value": 16},
3765 {"name": "SC_STARVED_BY_DB_QUAD", "value": 17},
3766 {"name": "SC_STALLED_BY_QUADFIFO", "value": 18},
3767 {"name": "SC_STALLED_BY_BCI", "value": 19},
3768 {"name": "SC_STALLED_BY_SPI", "value": 20},
3769 {"name": "SC_SCISSOR_DISCARD", "value": 21},
3770 {"name": "SC_BB_DISCARD", "value": 22},
3771 {"name": "SC_SUPERTILE_COUNT", "value": 23},
3772 {"name": "SC_SUPERTILE_PER_PRIM_H0", "value": 24},
3773 {"name": "SC_SUPERTILE_PER_PRIM_H1", "value": 25},
3774 {"name": "SC_SUPERTILE_PER_PRIM_H2", "value": 26},
3775 {"name": "SC_SUPERTILE_PER_PRIM_H3", "value": 27},
3776 {"name": "SC_SUPERTILE_PER_PRIM_H4", "value": 28},
3777 {"name": "SC_SUPERTILE_PER_PRIM_H5", "value": 29},
3778 {"name": "SC_SUPERTILE_PER_PRIM_H6", "value": 30},
3779 {"name": "SC_SUPERTILE_PER_PRIM_H7", "value": 31},
3780 {"name": "SC_SUPERTILE_PER_PRIM_H8", "value": 32},
3781 {"name": "SC_SUPERTILE_PER_PRIM_H9", "value": 33},
3782 {"name": "SC_SUPERTILE_PER_PRIM_H10", "value": 34},
3783 {"name": "SC_SUPERTILE_PER_PRIM_H11", "value": 35},
3784 {"name": "SC_SUPERTILE_PER_PRIM_H12", "value": 36},
3785 {"name": "SC_SUPERTILE_PER_PRIM_H13", "value": 37},
3786 {"name": "SC_SUPERTILE_PER_PRIM_H14", "value": 38},
3787 {"name": "SC_SUPERTILE_PER_PRIM_H15", "value": 39},
3788 {"name": "SC_SUPERTILE_PER_PRIM_H16", "value": 40},
3789 {"name": "SC_TILE_PER_PRIM_H0", "value": 41},
3790 {"name": "SC_TILE_PER_PRIM_H1", "value": 42},
3791 {"name": "SC_TILE_PER_PRIM_H2", "value": 43},
3792 {"name": "SC_TILE_PER_PRIM_H3", "value": 44},
3793 {"name": "SC_TILE_PER_PRIM_H4", "value": 45},
3794 {"name": "SC_TILE_PER_PRIM_H5", "value": 46},
3795 {"name": "SC_TILE_PER_PRIM_H6", "value": 47},
3796 {"name": "SC_TILE_PER_PRIM_H7", "value": 48},
3797 {"name": "SC_TILE_PER_PRIM_H8", "value": 49},
3798 {"name": "SC_TILE_PER_PRIM_H9", "value": 50},
3799 {"name": "SC_TILE_PER_PRIM_H10", "value": 51},
3800 {"name": "SC_TILE_PER_PRIM_H11", "value": 52},
3801 {"name": "SC_TILE_PER_PRIM_H12", "value": 53},
3802 {"name": "SC_TILE_PER_PRIM_H13", "value": 54},
3803 {"name": "SC_TILE_PER_PRIM_H14", "value": 55},
3804 {"name": "SC_TILE_PER_PRIM_H15", "value": 56},
3805 {"name": "SC_TILE_PER_PRIM_H16", "value": 57},
3806 {"name": "SC_TILE_PER_SUPERTILE_H0", "value": 58},
3807 {"name": "SC_TILE_PER_SUPERTILE_H1", "value": 59},
3808 {"name": "SC_TILE_PER_SUPERTILE_H2", "value": 60},
3809 {"name": "SC_TILE_PER_SUPERTILE_H3", "value": 61},
3810 {"name": "SC_TILE_PER_SUPERTILE_H4", "value": 62},
3811 {"name": "SC_TILE_PER_SUPERTILE_H5", "value": 63},
3812 {"name": "SC_TILE_PER_SUPERTILE_H6", "value": 64},
3813 {"name": "SC_TILE_PER_SUPERTILE_H7", "value": 65},
3814 {"name": "SC_TILE_PER_SUPERTILE_H8", "value": 66},
3815 {"name": "SC_TILE_PER_SUPERTILE_H9", "value": 67},
3816 {"name": "SC_TILE_PER_SUPERTILE_H10", "value": 68},
3817 {"name": "SC_TILE_PER_SUPERTILE_H11", "value": 69},
3818 {"name": "SC_TILE_PER_SUPERTILE_H12", "value": 70},
3819 {"name": "SC_TILE_PER_SUPERTILE_H13", "value": 71},
3820 {"name": "SC_TILE_PER_SUPERTILE_H14", "value": 72},
3821 {"name": "SC_TILE_PER_SUPERTILE_H15", "value": 73},
3822 {"name": "SC_TILE_PER_SUPERTILE_H16", "value": 74},
3823 {"name": "SC_TILE_PICKED_H1", "value": 75},
3824 {"name": "SC_TILE_PICKED_H2", "value": 76},
3825 {"name": "SC_TILE_PICKED_H3", "value": 77},
3826 {"name": "SC_TILE_PICKED_H4", "value": 78},
3827 {"name": "SC_QZ0_TILE_COUNT", "value": 79},
3828 {"name": "SC_QZ1_TILE_COUNT", "value": 80},
3829 {"name": "SC_QZ2_TILE_COUNT", "value": 81},
3830 {"name": "SC_QZ3_TILE_COUNT", "value": 82},
3831 {"name": "SC_QZ0_TILE_COVERED_COUNT", "value": 83},
3832 {"name": "SC_QZ1_TILE_COVERED_COUNT", "value": 84},
3833 {"name": "SC_QZ2_TILE_COVERED_COUNT", "value": 85},
3834 {"name": "SC_QZ3_TILE_COVERED_COUNT", "value": 86},
3835 {"name": "SC_QZ0_TILE_NOT_COVERED_COUNT", "value": 87},
3836 {"name": "SC_QZ1_TILE_NOT_COVERED_COUNT", "value": 88},
3837 {"name": "SC_QZ2_TILE_NOT_COVERED_COUNT", "value": 89},
3838 {"name": "SC_QZ3_TILE_NOT_COVERED_COUNT", "value": 90},
3839 {"name": "SC_QZ0_QUAD_PER_TILE_H0", "value": 91},
3840 {"name": "SC_QZ0_QUAD_PER_TILE_H1", "value": 92},
3841 {"name": "SC_QZ0_QUAD_PER_TILE_H2", "value": 93},
3842 {"name": "SC_QZ0_QUAD_PER_TILE_H3", "value": 94},
3843 {"name": "SC_QZ0_QUAD_PER_TILE_H4", "value": 95},
3844 {"name": "SC_QZ0_QUAD_PER_TILE_H5", "value": 96},
3845 {"name": "SC_QZ0_QUAD_PER_TILE_H6", "value": 97},
3846 {"name": "SC_QZ0_QUAD_PER_TILE_H7", "value": 98},
3847 {"name": "SC_QZ0_QUAD_PER_TILE_H8", "value": 99},
3848 {"name": "SC_QZ0_QUAD_PER_TILE_H9", "value": 100},
3849 {"name": "SC_QZ0_QUAD_PER_TILE_H10", "value": 101},
3850 {"name": "SC_QZ0_QUAD_PER_TILE_H11", "value": 102},
3851 {"name": "SC_QZ0_QUAD_PER_TILE_H12", "value": 103},
3852 {"name": "SC_QZ0_QUAD_PER_TILE_H13", "value": 104},
3853 {"name": "SC_QZ0_QUAD_PER_TILE_H14", "value": 105},
3854 {"name": "SC_QZ0_QUAD_PER_TILE_H15", "value": 106},
3855 {"name": "SC_QZ0_QUAD_PER_TILE_H16", "value": 107},
3856 {"name": "SC_QZ1_QUAD_PER_TILE_H0", "value": 108},
3857 {"name": "SC_QZ1_QUAD_PER_TILE_H1", "value": 109},
3858 {"name": "SC_QZ1_QUAD_PER_TILE_H2", "value": 110},
3859 {"name": "SC_QZ1_QUAD_PER_TILE_H3", "value": 111},
3860 {"name": "SC_QZ1_QUAD_PER_TILE_H4", "value": 112},
3861 {"name": "SC_QZ1_QUAD_PER_TILE_H5", "value": 113},
3862 {"name": "SC_QZ1_QUAD_PER_TILE_H6", "value": 114},
3863 {"name": "SC_QZ1_QUAD_PER_TILE_H7", "value": 115},
3864 {"name": "SC_QZ1_QUAD_PER_TILE_H8", "value": 116},
3865 {"name": "SC_QZ1_QUAD_PER_TILE_H9", "value": 117},
3866 {"name": "SC_QZ1_QUAD_PER_TILE_H10", "value": 118},
3867 {"name": "SC_QZ1_QUAD_PER_TILE_H11", "value": 119},
3868 {"name": "SC_QZ1_QUAD_PER_TILE_H12", "value": 120},
3869 {"name": "SC_QZ1_QUAD_PER_TILE_H13", "value": 121},
3870 {"name": "SC_QZ1_QUAD_PER_TILE_H14", "value": 122},
3871 {"name": "SC_QZ1_QUAD_PER_TILE_H15", "value": 123},
3872 {"name": "SC_QZ1_QUAD_PER_TILE_H16", "value": 124},
3873 {"name": "SC_QZ2_QUAD_PER_TILE_H0", "value": 125},
3874 {"name": "SC_QZ2_QUAD_PER_TILE_H1", "value": 126},
3875 {"name": "SC_QZ2_QUAD_PER_TILE_H2", "value": 127},
3876 {"name": "SC_QZ2_QUAD_PER_TILE_H3", "value": 128},
3877 {"name": "SC_QZ2_QUAD_PER_TILE_H4", "value": 129},
3878 {"name": "SC_QZ2_QUAD_PER_TILE_H5", "value": 130},
3879 {"name": "SC_QZ2_QUAD_PER_TILE_H6", "value": 131},
3880 {"name": "SC_QZ2_QUAD_PER_TILE_H7", "value": 132},
3881 {"name": "SC_QZ2_QUAD_PER_TILE_H8", "value": 133},
3882 {"name": "SC_QZ2_QUAD_PER_TILE_H9", "value": 134},
3883 {"name": "SC_QZ2_QUAD_PER_TILE_H10", "value": 135},
3884 {"name": "SC_QZ2_QUAD_PER_TILE_H11", "value": 136},
3885 {"name": "SC_QZ2_QUAD_PER_TILE_H12", "value": 137},
3886 {"name": "SC_QZ2_QUAD_PER_TILE_H13", "value": 138},
3887 {"name": "SC_QZ2_QUAD_PER_TILE_H14", "value": 139},
3888 {"name": "SC_QZ2_QUAD_PER_TILE_H15", "value": 140},
3889 {"name": "SC_QZ2_QUAD_PER_TILE_H16", "value": 141},
3890 {"name": "SC_QZ3_QUAD_PER_TILE_H0", "value": 142},
3891 {"name": "SC_QZ3_QUAD_PER_TILE_H1", "value": 143},
3892 {"name": "SC_QZ3_QUAD_PER_TILE_H2", "value": 144},
3893 {"name": "SC_QZ3_QUAD_PER_TILE_H3", "value": 145},
3894 {"name": "SC_QZ3_QUAD_PER_TILE_H4", "value": 146},
3895 {"name": "SC_QZ3_QUAD_PER_TILE_H5", "value": 147},
3896 {"name": "SC_QZ3_QUAD_PER_TILE_H6", "value": 148},
3897 {"name": "SC_QZ3_QUAD_PER_TILE_H7", "value": 149},
3898 {"name": "SC_QZ3_QUAD_PER_TILE_H8", "value": 150},
3899 {"name": "SC_QZ3_QUAD_PER_TILE_H9", "value": 151},
3900 {"name": "SC_QZ3_QUAD_PER_TILE_H10", "value": 152},
3901 {"name": "SC_QZ3_QUAD_PER_TILE_H11", "value": 153},
3902 {"name": "SC_QZ3_QUAD_PER_TILE_H12", "value": 154},
3903 {"name": "SC_QZ3_QUAD_PER_TILE_H13", "value": 155},
3904 {"name": "SC_QZ3_QUAD_PER_TILE_H14", "value": 156},
3905 {"name": "SC_QZ3_QUAD_PER_TILE_H15", "value": 157},
3906 {"name": "SC_QZ3_QUAD_PER_TILE_H16", "value": 158},
3907 {"name": "SC_QZ0_QUAD_COUNT", "value": 159},
3908 {"name": "SC_QZ1_QUAD_COUNT", "value": 160},
3909 {"name": "SC_QZ2_QUAD_COUNT", "value": 161},
3910 {"name": "SC_QZ3_QUAD_COUNT", "value": 162},
3911 {"name": "SC_P0_HIZ_TILE_COUNT", "value": 163},
3912 {"name": "SC_P1_HIZ_TILE_COUNT", "value": 164},
3913 {"name": "SC_P2_HIZ_TILE_COUNT", "value": 165},
3914 {"name": "SC_P3_HIZ_TILE_COUNT", "value": 166},
3915 {"name": "SC_P0_HIZ_QUAD_PER_TILE_H0", "value": 167},
3916 {"name": "SC_P0_HIZ_QUAD_PER_TILE_H1", "value": 168},
3917 {"name": "SC_P0_HIZ_QUAD_PER_TILE_H2", "value": 169},
3918 {"name": "SC_P0_HIZ_QUAD_PER_TILE_H3", "value": 170},
3919 {"name": "SC_P0_HIZ_QUAD_PER_TILE_H4", "value": 171},
3920 {"name": "SC_P0_HIZ_QUAD_PER_TILE_H5", "value": 172},
3921 {"name": "SC_P0_HIZ_QUAD_PER_TILE_H6", "value": 173},
3922 {"name": "SC_P0_HIZ_QUAD_PER_TILE_H7", "value": 174},
3923 {"name": "SC_P0_HIZ_QUAD_PER_TILE_H8", "value": 175},
3924 {"name": "SC_P0_HIZ_QUAD_PER_TILE_H9", "value": 176},
3925 {"name": "SC_P0_HIZ_QUAD_PER_TILE_H10", "value": 177},
3926 {"name": "SC_P0_HIZ_QUAD_PER_TILE_H11", "value": 178},
3927 {"name": "SC_P0_HIZ_QUAD_PER_TILE_H12", "value": 179},
3928 {"name": "SC_P0_HIZ_QUAD_PER_TILE_H13", "value": 180},
3929 {"name": "SC_P0_HIZ_QUAD_PER_TILE_H14", "value": 181},
3930 {"name": "SC_P0_HIZ_QUAD_PER_TILE_H15", "value": 182},
3931 {"name": "SC_P0_HIZ_QUAD_PER_TILE_H16", "value": 183},
3932 {"name": "SC_P1_HIZ_QUAD_PER_TILE_H0", "value": 184},
3933 {"name": "SC_P1_HIZ_QUAD_PER_TILE_H1", "value": 185},
3934 {"name": "SC_P1_HIZ_QUAD_PER_TILE_H2", "value": 186},
3935 {"name": "SC_P1_HIZ_QUAD_PER_TILE_H3", "value": 187},
3936 {"name": "SC_P1_HIZ_QUAD_PER_TILE_H4", "value": 188},
3937 {"name": "SC_P1_HIZ_QUAD_PER_TILE_H5", "value": 189},
3938 {"name": "SC_P1_HIZ_QUAD_PER_TILE_H6", "value": 190},
3939 {"name": "SC_P1_HIZ_QUAD_PER_TILE_H7", "value": 191},
3940 {"name": "SC_P1_HIZ_QUAD_PER_TILE_H8", "value": 192},
3941 {"name": "SC_P1_HIZ_QUAD_PER_TILE_H9", "value": 193},
3942 {"name": "SC_P1_HIZ_QUAD_PER_TILE_H10", "value": 194},
3943 {"name": "SC_P1_HIZ_QUAD_PER_TILE_H11", "value": 195},
3944 {"name": "SC_P1_HIZ_QUAD_PER_TILE_H12", "value": 196},
3945 {"name": "SC_P1_HIZ_QUAD_PER_TILE_H13", "value": 197},
3946 {"name": "SC_P1_HIZ_QUAD_PER_TILE_H14", "value": 198},
3947 {"name": "SC_P1_HIZ_QUAD_PER_TILE_H15", "value": 199},
3948 {"name": "SC_P1_HIZ_QUAD_PER_TILE_H16", "value": 200},
3949 {"name": "SC_P2_HIZ_QUAD_PER_TILE_H0", "value": 201},
3950 {"name": "SC_P2_HIZ_QUAD_PER_TILE_H1", "value": 202},
3951 {"name": "SC_P2_HIZ_QUAD_PER_TILE_H2", "value": 203},
3952 {"name": "SC_P2_HIZ_QUAD_PER_TILE_H3", "value": 204},
3953 {"name": "SC_P2_HIZ_QUAD_PER_TILE_H4", "value": 205},
3954 {"name": "SC_P2_HIZ_QUAD_PER_TILE_H5", "value": 206},
3955 {"name": "SC_P2_HIZ_QUAD_PER_TILE_H6", "value": 207},
3956 {"name": "SC_P2_HIZ_QUAD_PER_TILE_H7", "value": 208},
3957 {"name": "SC_P2_HIZ_QUAD_PER_TILE_H8", "value": 209},
3958 {"name": "SC_P2_HIZ_QUAD_PER_TILE_H9", "value": 210},
3959 {"name": "SC_P2_HIZ_QUAD_PER_TILE_H10", "value": 211},
3960 {"name": "SC_P2_HIZ_QUAD_PER_TILE_H11", "value": 212},
3961 {"name": "SC_P2_HIZ_QUAD_PER_TILE_H12", "value": 213},
3962 {"name": "SC_P2_HIZ_QUAD_PER_TILE_H13", "value": 214},
3963 {"name": "SC_P2_HIZ_QUAD_PER_TILE_H14", "value": 215},
3964 {"name": "SC_P2_HIZ_QUAD_PER_TILE_H15", "value": 216},
3965 {"name": "SC_P2_HIZ_QUAD_PER_TILE_H16", "value": 217},
3966 {"name": "SC_P3_HIZ_QUAD_PER_TILE_H0", "value": 218},
3967 {"name": "SC_P3_HIZ_QUAD_PER_TILE_H1", "value": 219},
3968 {"name": "SC_P3_HIZ_QUAD_PER_TILE_H2", "value": 220},
3969 {"name": "SC_P3_HIZ_QUAD_PER_TILE_H3", "value": 221},
3970 {"name": "SC_P3_HIZ_QUAD_PER_TILE_H4", "value": 222},
3971 {"name": "SC_P3_HIZ_QUAD_PER_TILE_H5", "value": 223},
3972 {"name": "SC_P3_HIZ_QUAD_PER_TILE_H6", "value": 224},
3973 {"name": "SC_P3_HIZ_QUAD_PER_TILE_H7", "value": 225},
3974 {"name": "SC_P3_HIZ_QUAD_PER_TILE_H8", "value": 226},
3975 {"name": "SC_P3_HIZ_QUAD_PER_TILE_H9", "value": 227},
3976 {"name": "SC_P3_HIZ_QUAD_PER_TILE_H10", "value": 228},
3977 {"name": "SC_P3_HIZ_QUAD_PER_TILE_H11", "value": 229},
3978 {"name": "SC_P3_HIZ_QUAD_PER_TILE_H12", "value": 230},
3979 {"name": "SC_P3_HIZ_QUAD_PER_TILE_H13", "value": 231},
3980 {"name": "SC_P3_HIZ_QUAD_PER_TILE_H14", "value": 232},
3981 {"name": "SC_P3_HIZ_QUAD_PER_TILE_H15", "value": 233},
3982 {"name": "SC_P3_HIZ_QUAD_PER_TILE_H16", "value": 234},
3983 {"name": "SC_P0_HIZ_QUAD_COUNT", "value": 235},
3984 {"name": "SC_P1_HIZ_QUAD_COUNT", "value": 236},
3985 {"name": "SC_P2_HIZ_QUAD_COUNT", "value": 237},
3986 {"name": "SC_P3_HIZ_QUAD_COUNT", "value": 238},
3987 {"name": "SC_P0_DETAIL_QUAD_COUNT", "value": 239},
3988 {"name": "SC_P1_DETAIL_QUAD_COUNT", "value": 240},
3989 {"name": "SC_P2_DETAIL_QUAD_COUNT", "value": 241},
3990 {"name": "SC_P3_DETAIL_QUAD_COUNT", "value": 242},
3991 {"name": "SC_P0_DETAIL_QUAD_WITH_1_PIX", "value": 243},
3992 {"name": "SC_P0_DETAIL_QUAD_WITH_2_PIX", "value": 244},
3993 {"name": "SC_P0_DETAIL_QUAD_WITH_3_PIX", "value": 245},
3994 {"name": "SC_P0_DETAIL_QUAD_WITH_4_PIX", "value": 246},
3995 {"name": "SC_P1_DETAIL_QUAD_WITH_1_PIX", "value": 247},
3996 {"name": "SC_P1_DETAIL_QUAD_WITH_2_PIX", "value": 248},
3997 {"name": "SC_P1_DETAIL_QUAD_WITH_3_PIX", "value": 249},
3998 {"name": "SC_P1_DETAIL_QUAD_WITH_4_PIX", "value": 250},
3999 {"name": "SC_P2_DETAIL_QUAD_WITH_1_PIX", "value": 251},
4000 {"name": "SC_P2_DETAIL_QUAD_WITH_2_PIX", "value": 252},
4001 {"name": "SC_P2_DETAIL_QUAD_WITH_3_PIX", "value": 253},
4002 {"name": "SC_P2_DETAIL_QUAD_WITH_4_PIX", "value": 254},
4003 {"name": "SC_P3_DETAIL_QUAD_WITH_1_PIX", "value": 255},
4004 {"name": "SC_P3_DETAIL_QUAD_WITH_2_PIX", "value": 256},
4005 {"name": "SC_P3_DETAIL_QUAD_WITH_3_PIX", "value": 257},
4006 {"name": "SC_P3_DETAIL_QUAD_WITH_4_PIX", "value": 258},
4007 {"name": "SC_EARLYZ_QUAD_COUNT", "value": 259},
4008 {"name": "SC_EARLYZ_QUAD_WITH_1_PIX", "value": 260},
4009 {"name": "SC_EARLYZ_QUAD_WITH_2_PIX", "value": 261},
4010 {"name": "SC_EARLYZ_QUAD_WITH_3_PIX", "value": 262},
4011 {"name": "SC_EARLYZ_QUAD_WITH_4_PIX", "value": 263},
4012 {"name": "SC_PKR_QUAD_PER_ROW_H1", "value": 264},
4013 {"name": "SC_PKR_QUAD_PER_ROW_H2", "value": 265},
4014 {"name": "SC_PKR_4X2_QUAD_SPLIT", "value": 266},
4015 {"name": "SC_PKR_4X2_FILL_QUAD", "value": 267},
4016 {"name": "SC_PKR_END_OF_VECTOR", "value": 268},
4017 {"name": "SC_PKR_CONTROL_XFER", "value": 269},
4018 {"name": "SC_PKR_DBHANG_FORCE_EOV", "value": 270},
4019 {"name": "SC_REG_SCLK_BUSY", "value": 271},
4020 {"name": "SC_GRP0_DYN_SCLK_BUSY", "value": 272},
4021 {"name": "SC_GRP1_DYN_SCLK_BUSY", "value": 273},
4022 {"name": "SC_GRP2_DYN_SCLK_BUSY", "value": 274},
4023 {"name": "SC_GRP3_DYN_SCLK_BUSY", "value": 275},
4024 {"name": "SC_GRP4_DYN_SCLK_BUSY", "value": 276},
4025 {"name": "SC_PA0_SC_DATA_FIFO_RD", "value": 277},
4026 {"name": "SC_PA0_SC_DATA_FIFO_WE", "value": 278},
4027 {"name": "SC_PA1_SC_DATA_FIFO_RD", "value": 279},
4028 {"name": "SC_PA1_SC_DATA_FIFO_WE", "value": 280},
4029 {"name": "SC_PS_ARB_XFC_ALL_EVENT_OR_PRIM_CYCLES", "value": 281},
4030 {"name": "SC_PS_ARB_XFC_ONLY_PRIM_CYCLES", "value": 282},
4031 {"name": "SC_PS_ARB_XFC_ONLY_ONE_INC_PER_PRIM", "value": 283},
4032 {"name": "SC_PS_ARB_STALLED_FROM_BELOW", "value": 284},
4033 {"name": "SC_PS_ARB_STARVED_FROM_ABOVE", "value": 285},
4034 {"name": "SC_PS_ARB_SC_BUSY", "value": 286},
4035 {"name": "SC_PS_ARB_PA_SC_BUSY", "value": 287},
4036 {"name": "SC_PA2_SC_DATA_FIFO_RD", "value": 288},
4037 {"name": "SC_PA2_SC_DATA_FIFO_WE", "value": 289},
4038 {"name": "SC_PA3_SC_DATA_FIFO_RD", "value": 290},
4039 {"name": "SC_PA3_SC_DATA_FIFO_WE", "value": 291},
4040 {"name": "SC_PA_SC_DEALLOC_0_0_WE", "value": 292},
4041 {"name": "SC_PA_SC_DEALLOC_0_1_WE", "value": 293},
4042 {"name": "SC_PA_SC_DEALLOC_1_0_WE", "value": 294},
4043 {"name": "SC_PA_SC_DEALLOC_1_1_WE", "value": 295},
4044 {"name": "SC_PA_SC_DEALLOC_2_0_WE", "value": 296},
4045 {"name": "SC_PA_SC_DEALLOC_2_1_WE", "value": 297},
4046 {"name": "SC_PA_SC_DEALLOC_3_0_WE", "value": 298},
4047 {"name": "SC_PA_SC_DEALLOC_3_1_WE", "value": 299},
4048 {"name": "SC_PA0_SC_EOP_WE", "value": 300},
4049 {"name": "SC_PA0_SC_EOPG_WE", "value": 301},
4050 {"name": "SC_PA0_SC_EVENT_WE", "value": 302},
4051 {"name": "SC_PA1_SC_EOP_WE", "value": 303},
4052 {"name": "SC_PA1_SC_EOPG_WE", "value": 304},
4053 {"name": "SC_PA1_SC_EVENT_WE", "value": 305},
4054 {"name": "SC_PA2_SC_EOP_WE", "value": 306},
4055 {"name": "SC_PA2_SC_EOPG_WE", "value": 307},
4056 {"name": "SC_PA2_SC_EVENT_WE", "value": 308},
4057 {"name": "SC_PA3_SC_EOP_WE", "value": 309},
4058 {"name": "SC_PA3_SC_EOPG_WE", "value": 310},
4059 {"name": "SC_PA3_SC_EVENT_WE", "value": 311},
4060 {"name": "SC_PS_ARB_OOO_THRESHOLD_SWITCH_TO_DESIRED_FIFO", "value": 312},
4061 {"name": "SC_PS_ARB_OOO_FIFO_EMPTY_SWITCH", "value": 313},
4062 {"name": "SC_PS_ARB_NULL_PRIM_BUBBLE_POP", "value": 314},
4063 {"name": "SC_PS_ARB_EOP_POP_SYNC_POP", "value": 315},
4064 {"name": "SC_PS_ARB_EVENT_SYNC_POP", "value": 316},
4065 {"name": "SC_SC_PS_ENG_MULTICYCLE_BUBBLE", "value": 317},
4066 {"name": "SC_PA0_SC_FPOV_WE", "value": 318},
4067 {"name": "SC_PA1_SC_FPOV_WE", "value": 319},
4068 {"name": "SC_PA2_SC_FPOV_WE", "value": 320},
4069 {"name": "SC_PA3_SC_FPOV_WE", "value": 321},
4070 {"name": "SC_PA0_SC_LPOV_WE", "value": 322},
4071 {"name": "SC_PA1_SC_LPOV_WE", "value": 323},
4072 {"name": "SC_PA2_SC_LPOV_WE", "value": 324},
4073 {"name": "SC_PA3_SC_LPOV_WE", "value": 325},
4074 {"name": "SC_SC_SPI_DEALLOC_0_0", "value": 326},
4075 {"name": "SC_SC_SPI_DEALLOC_0_1", "value": 327},
4076 {"name": "SC_SC_SPI_DEALLOC_0_2", "value": 328},
4077 {"name": "SC_SC_SPI_DEALLOC_1_0", "value": 329},
4078 {"name": "SC_SC_SPI_DEALLOC_1_1", "value": 330},
4079 {"name": "SC_SC_SPI_DEALLOC_1_2", "value": 331},
4080 {"name": "SC_SC_SPI_DEALLOC_2_0", "value": 332},
4081 {"name": "SC_SC_SPI_DEALLOC_2_1", "value": 333},
4082 {"name": "SC_SC_SPI_DEALLOC_2_2", "value": 334},
4083 {"name": "SC_SC_SPI_DEALLOC_3_0", "value": 335},
4084 {"name": "SC_SC_SPI_DEALLOC_3_1", "value": 336},
4085 {"name": "SC_SC_SPI_DEALLOC_3_2", "value": 337},
4086 {"name": "SC_SC_SPI_FPOV_0", "value": 338},
4087 {"name": "SC_SC_SPI_FPOV_1", "value": 339},
4088 {"name": "SC_SC_SPI_FPOV_2", "value": 340},
4089 {"name": "SC_SC_SPI_FPOV_3", "value": 341},
4090 {"name": "SC_SC_SPI_EVENT", "value": 342},
4091 {"name": "SC_PS_TS_EVENT_FIFO_PUSH", "value": 343},
4092 {"name": "SC_PS_TS_EVENT_FIFO_POP", "value": 344},
4093 {"name": "SC_PS_CTX_DONE_FIFO_PUSH", "value": 345},
4094 {"name": "SC_PS_CTX_DONE_FIFO_POP", "value": 346},
4095 {"name": "SC_MULTICYCLE_BUBBLE_FREEZE", "value": 347},
4096 {"name": "SC_EOP_SYNC_WINDOW", "value": 348},
4097 {"name": "SC_PA0_SC_NULL_WE", "value": 349},
4098 {"name": "SC_PA0_SC_NULL_DEALLOC_WE", "value": 350},
4099 {"name": "SC_PA0_SC_DATA_FIFO_EOPG_RD", "value": 351},
4100 {"name": "SC_PA0_SC_DATA_FIFO_EOP_RD", "value": 352},
4101 {"name": "SC_PA0_SC_DEALLOC_0_RD", "value": 353},
4102 {"name": "SC_PA0_SC_DEALLOC_1_RD", "value": 354},
4103 {"name": "SC_PA1_SC_DATA_FIFO_EOPG_RD", "value": 355},
4104 {"name": "SC_PA1_SC_DATA_FIFO_EOP_RD", "value": 356},
4105 {"name": "SC_PA1_SC_DEALLOC_0_RD", "value": 357},
4106 {"name": "SC_PA1_SC_DEALLOC_1_RD", "value": 358},
4107 {"name": "SC_PA1_SC_NULL_WE", "value": 359},
4108 {"name": "SC_PA1_SC_NULL_DEALLOC_WE", "value": 360},
4109 {"name": "SC_PA2_SC_DATA_FIFO_EOPG_RD", "value": 361},
4110 {"name": "SC_PA2_SC_DATA_FIFO_EOP_RD", "value": 362},
4111 {"name": "SC_PA2_SC_DEALLOC_0_RD", "value": 363},
4112 {"name": "SC_PA2_SC_DEALLOC_1_RD", "value": 364},
4113 {"name": "SC_PA2_SC_NULL_WE", "value": 365},
4114 {"name": "SC_PA2_SC_NULL_DEALLOC_WE", "value": 366},
4115 {"name": "SC_PA3_SC_DATA_FIFO_EOPG_RD", "value": 367},
4116 {"name": "SC_PA3_SC_DATA_FIFO_EOP_RD", "value": 368},
4117 {"name": "SC_PA3_SC_DEALLOC_0_RD", "value": 369},
4118 {"name": "SC_PA3_SC_DEALLOC_1_RD", "value": 370},
4119 {"name": "SC_PA3_SC_NULL_WE", "value": 371},
4120 {"name": "SC_PA3_SC_NULL_DEALLOC_WE", "value": 372},
4121 {"name": "SC_PS_PA0_SC_FIFO_EMPTY", "value": 373},
4122 {"name": "SC_PS_PA0_SC_FIFO_FULL", "value": 374},
4123 {"name": "SC_RESERVED_0", "value": 375},
4124 {"name": "SC_PS_PA1_SC_FIFO_EMPTY", "value": 376},
4125 {"name": "SC_PS_PA1_SC_FIFO_FULL", "value": 377},
4126 {"name": "SC_RESERVED_1", "value": 378},
4127 {"name": "SC_PS_PA2_SC_FIFO_EMPTY", "value": 379},
4128 {"name": "SC_PS_PA2_SC_FIFO_FULL", "value": 380},
4129 {"name": "SC_RESERVED_2", "value": 381},
4130 {"name": "SC_PS_PA3_SC_FIFO_EMPTY", "value": 382},
4131 {"name": "SC_PS_PA3_SC_FIFO_FULL", "value": 383},
4132 {"name": "SC_RESERVED_3", "value": 384},
4133 {"name": "SC_BUSY_PROCESSING_MULTICYCLE_PRIM", "value": 385},
4134 {"name": "SC_BUSY_CNT_NOT_ZERO", "value": 386},
4135 {"name": "SC_BM_BUSY", "value": 387},
4136 {"name": "SC_BACKEND_BUSY", "value": 388},
4137 {"name": "SC_SCF_SCB_INTERFACE_BUSY", "value": 389},
4138 {"name": "SC_SCB_BUSY", "value": 390},
4139 {"name": "SC_STARVED_BY_PA_WITH_UNSELECTED_PA_NOT_EMPTY", "value": 391},
4140 {"name": "SC_STARVED_BY_PA_WITH_UNSELECTED_PA_FULL", "value": 392},
4141 {"name": "SC_PBB_BIN_HIST_NUM_PRIMS", "value": 393},
4142 {"name": "SC_PBB_BATCH_HIST_NUM_PRIMS", "value": 394},
4143 {"name": "SC_PBB_BIN_HIST_NUM_CONTEXTS", "value": 395},
4144 {"name": "SC_PBB_BATCH_HIST_NUM_CONTEXTS", "value": 396},
4145 {"name": "SC_PBB_BIN_HIST_NUM_PERSISTENT_STATES", "value": 397},
4146 {"name": "SC_PBB_BATCH_HIST_NUM_PERSISTENT_STATES", "value": 398},
4147 {"name": "SC_PBB_BATCH_HIST_NUM_PS_WAVE_BREAKS", "value": 399},
4148 {"name": "SC_PBB_BATCH_HIST_NUM_TRIV_REJECTED_PRIMS", "value": 400},
4149 {"name": "SC_PBB_BATCH_HIST_NUM_ROWS_PER_PRIM", "value": 401},
4150 {"name": "SC_PBB_BATCH_HIST_NUM_COLUMNS_PER_ROW", "value": 402},
4151 {"name": "SC_PBB_BUSY", "value": 403},
4152 {"name": "SC_PBB_BUSY_AND_NO_SENDS", "value": 404},
4153 {"name": "SC_PBB_STALLS_PA_DUE_TO_NO_TILES", "value": 405},
4154 {"name": "SC_PBB_NUM_BINS", "value": 406},
4155 {"name": "SC_PBB_END_OF_BIN", "value": 407},
4156 {"name": "SC_PBB_END_OF_BATCH", "value": 408},
4157 {"name": "SC_PBB_PRIMBIN_PROCESSED", "value": 409},
4158 {"name": "SC_PBB_PRIM_ADDED_TO_BATCH", "value": 410},
4159 {"name": "SC_PBB_NONBINNED_PRIM", "value": 411},
4160 {"name": "SC_PBB_TOTAL_REAL_PRIMS_OUT_OF_PBB", "value": 412},
4161 {"name": "SC_PBB_TOTAL_NULL_PRIMS_OUT_OF_PBB", "value": 413},
4162 {"name": "SC_PBB_IDLE_CLK_DUE_TO_ROW_TO_COLUMN_TRANSITION", "value": 414},
4163 {"name": "SC_PBB_IDLE_CLK_DUE_TO_FALSE_POSITIVE_ON_ROW", "value": 415},
4164 {"name": "SC_PBB_IDLE_CLK_DUE_TO_FALSE_POSITIVE_ON_COLUMN", "value": 416},
4165 {"name": "SC_PBB_BATCH_BREAK_DUE_TO_PERSISTENT_STATE", "value": 417},
4166 {"name": "SC_PBB_BATCH_BREAK_DUE_TO_CONTEXT_STATE", "value": 418},
4167 {"name": "SC_PBB_BATCH_BREAK_DUE_TO_PRIM", "value": 419},
4168 {"name": "SC_PBB_BATCH_BREAK_DUE_TO_PC_STORAGE", "value": 420},
4169 {"name": "SC_PBB_BATCH_BREAK_DUE_TO_EVENT", "value": 421},
4170 {"name": "SC_PBB_BATCH_BREAK_DUE_TO_FPOV_LIMIT", "value": 422},
4171 {"name": "SC_POPS_INTRA_WAVE_OVERLAPS", "value": 423},
4172 {"name": "SC_POPS_FORCE_EOV", "value": 424},
4173 {"name": "SC_PKR_QUAD_OVLP_NOT_FOUND_IN_WAVE_TABLE_AND_WAVES_SINCE_OVLP_SET_TO_MAX", "value": 425},
4174 {"name": "SC_PKR_QUAD_OVLP_NOT_FOUND_IN_WAVE_TABLE_AND_NO_CHANGE_TO_WAVES_SINCE_OVLP", "value": 426},
4175 {"name": "SC_PKR_QUAD_OVLP_FOUND_IN_WAVE_TABLE", "value": 427},
4176 {"name": "SC_FULL_FULL_QUAD", "value": 428},
4177 {"name": "SC_FULL_HALF_QUAD", "value": 429},
4178 {"name": "SC_FULL_QTR_QUAD", "value": 430},
4179 {"name": "SC_HALF_FULL_QUAD", "value": 431},
4180 {"name": "SC_HALF_HALF_QUAD", "value": 432},
4181 {"name": "SC_HALF_QTR_QUAD", "value": 433},
4182 {"name": "SC_QTR_FULL_QUAD", "value": 434},
4183 {"name": "SC_QTR_HALF_QUAD", "value": 435},
4184 {"name": "SC_QTR_QTR_QUAD", "value": 436},
4185 {"name": "SC_GRP5_DYN_SCLK_BUSY", "value": 437},
4186 {"name": "SC_GRP6_DYN_SCLK_BUSY", "value": 438},
4187 {"name": "SC_GRP7_DYN_SCLK_BUSY", "value": 439},
4188 {"name": "SC_GRP8_DYN_SCLK_BUSY", "value": 440},
4189 {"name": "SC_GRP9_DYN_SCLK_BUSY", "value": 441},
4190 {"name": "SC_PS_TO_BE_SCLK_GATE_STALL", "value": 442},
4191 {"name": "SC_PA_TO_PBB_SCLK_GATE_STALL_STALL", "value": 443},
4192 {"name": "SC_PK_BUSY", "value": 444},
4193 {"name": "SC_PK_MAX_DEALLOC_FORCE_EOV", "value": 445},
4194 {"name": "SC_PK_DEALLOC_WAVE_BREAK", "value": 446},
4195 {"name": "SC_SPI_SEND", "value": 447},
4196 {"name": "SC_SPI_CREDIT_AT_ZERO_WITH_PENDING_SEND", "value": 448},
4197 {"name": "SC_SPI_CREDIT_AT_MAX", "value": 449},
4198 {"name": "SC_SPI_CREDIT_AT_MAX_NO_PENDING_SEND", "value": 450},
4199 {"name": "SC_BCI_SEND", "value": 451},
4200 {"name": "SC_BCI_CREDIT_AT_ZERO_WITH_PENDING_SEND", "value": 452},
4201 {"name": "SC_BCI_CREDIT_AT_MAX", "value": 453},
4202 {"name": "SC_BCI_CREDIT_AT_MAX_NO_PENDING_SEND", "value": 454},
4203 {"name": "SC_SPIBC_FULL_FREEZE", "value": 455},
4204 {"name": "SC_PW_BM_PASS_EMPTY_PRIM", "value": 456},
4205 {"name": "SC_SUPERTILE_COUNT_EXCLUDE_PASS_EMPTY_PRIM", "value": 457},
4206 {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H0", "value": 458},
4207 {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H1", "value": 459},
4208 {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H2", "value": 460},
4209 {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H3", "value": 461},
4210 {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H4", "value": 462},
4211 {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H5", "value": 463},
4212 {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H6", "value": 464},
4213 {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H7", "value": 465},
4214 {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H8", "value": 466},
4215 {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H9", "value": 467},
4216 {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H10", "value": 468},
4217 {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H11", "value": 469},
4218 {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H12", "value": 470},
4219 {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H13", "value": 471},
4220 {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H14", "value": 472},
4221 {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H15", "value": 473},
4222 {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H16", "value": 474},
4223 {"name": "SC_DB0_TILE_INTERFACE_BUSY", "value": 475},
4224 {"name": "SC_DB0_TILE_INTERFACE_SEND", "value": 476},
4225 {"name": "SC_DB0_TILE_INTERFACE_SEND_EVENT", "value": 477},
4226 {"name": "SC_DB0_TILE_INTERFACE_SEND_SOP_ONLY_EVENT", "value": 478},
4227 {"name": "SC_DB0_TILE_INTERFACE_SEND_SOP", "value": 479},
4228 {"name": "SC_DB0_TILE_INTERFACE_CREDIT_AT_ZERO_WITH_PENDING_SEND", "value": 480},
4229 {"name": "SC_DB0_TILE_INTERFACE_CREDIT_AT_MAX", "value": 481},
4230 {"name": "SC_DB0_TILE_INTERFACE_CREDIT_AT_MAX_WITH_NO_PENDING_SEND", "value": 482},
4231 {"name": "SC_DB1_TILE_INTERFACE_BUSY", "value": 483},
4232 {"name": "SC_DB1_TILE_INTERFACE_SEND", "value": 484},
4233 {"name": "SC_DB1_TILE_INTERFACE_SEND_EVENT", "value": 485},
4234 {"name": "SC_DB1_TILE_INTERFACE_SEND_SOP_ONLY_EVENT", "value": 486},
4235 {"name": "SC_DB1_TILE_INTERFACE_SEND_SOP", "value": 487},
4236 {"name": "SC_DB1_TILE_INTERFACE_CREDIT_AT_ZERO_WITH_PENDING_SEND", "value": 488},
4237 {"name": "SC_DB1_TILE_INTERFACE_CREDIT_AT_MAX", "value": 489},
4238 {"name": "SC_DB1_TILE_INTERFACE_CREDIT_AT_MAX_WITH_NO_PENDING_SEND", "value": 490},
4239 {"name": "SC_BACKEND_PRIM_FIFO_FULL", "value": 491},
4240 {"name": "SC_PBB_BATCH_BREAK_DUE_TO_TIMEOUT_COUNTER", "value": 492},
4241 {"name": "SC_PBB_BATCH_BREAK_DUE_TO_NONBINNED_BATCH", "value": 493},
4242 {"name": "SC_PBB_BATCH_BREAK_DUE_TO_DEBUG_DATA_PER_DRAW_DISPATCH", "value": 494},
4243 {"name": "SC_PBB_BATCH_BREAK_DUE_TO_OVERRIDE_REGISTER_PERSISTENT", "value": 495},
4244 {"name": "SC_PBB_BATCH_BREAK_DUE_TO_OVERRIDE_REGISTER_CONTEXT", "value": 496},
4245 {"name": "SC_PBB_BATCH_BREAK_DUE_TO_OVERRIDE_REGISTER_FPOV", "value": 497},
4246 {"name": "SC_PBB_BATCH_BREAK_DUE_TO_NEW_SC_MODE", "value": 498},
4247 {"name": "SC_PBB_BATCH_BREAK_DUE_TO_BINNING_MODE_CHANGE", "value": 499},
4248 {"name": "SC_PBB_BATCH_BREAK_DUE_TO_PIPELINE_EVENT_COUNT", "value": 500},
4249 {"name": "SC_PBB_BATCH_BREAK_DUE_TO_PIPE_RESET", "value": 501},
4250 {"name": "SC_PBB_BATCH_BREAK_DUE_TO_GFX_PIPE_CHANGE", "value": 502},
4251 {"name": "SC_STALLED_BY_DB0_TILEFIFO", "value": 503},
4252 {"name": "SC_DB0_QUAD_INTF_SEND", "value": 504},
4253 {"name": "SC_DB0_QUAD_INTF_BUSY", "value": 505},
4254 {"name": "SC_DB0_QUAD_INTF_STALLED_BY_DB", "value": 506},
4255 {"name": "SC_DB0_QUAD_INTF_CREDIT_AT_MAX", "value": 507},
4256 {"name": "SC_DB0_QUAD_INTF_IDLE", "value": 508},
4257 {"name": "SC_DB1_QUAD_INTF_SEND", "value": 509},
4258 {"name": "SC_STALLED_BY_DB1_TILEFIFO", "value": 510},
4259 {"name": "SC_DB1_QUAD_INTF_BUSY", "value": 511},
4260 {"name": "SC_DB1_QUAD_INTF_STALLED_BY_DB", "value": 512},
4261 {"name": "SC_DB1_QUAD_INTF_CREDIT_AT_MAX", "value": 513},
4262 {"name": "SC_DB1_QUAD_INTF_IDLE", "value": 514},
4263 {"name": "SC_PKR_WAVE_BREAK_OUTSIDE_REGION", "value": 515},
4264 {"name": "SC_PKR_WAVE_BREAK_FULL_TILE", "value": 516}
4265 ]
4266 },
4267 "SPI_LB_WAVES_SELECT": {
4268 "entries": [
4269 {"name": "HS_GS", "value": 0},
4270 {"name": "VS_PS", "value": 1},
4271 {"name": "CS_NA", "value": 2},
4272 {"name": "SPI_LB_WAVES_RSVD", "value": 3}
4273 ]
4274 },
4275 "SPI_PERFCNT_SEL": {
4276 "entries": [
4277 {"name": "SPI_PERF_VS_WINDOW_VALID", "value": 0},
4278 {"name": "SPI_PERF_VS_BUSY", "value": 1},
4279 {"name": "SPI_PERF_VS_FIRST_WAVE", "value": 2},
4280 {"name": "SPI_PERF_VS_LAST_WAVE", "value": 3},
4281 {"name": "SPI_PERF_VS_LSHS_DEALLOC", "value": 4},
4282 {"name": "SPI_PERF_VS_POS0_STALL", "value": 5},
4283 {"name": "SPI_PERF_VS_POS1_STALL", "value": 6},
4284 {"name": "SPI_PERF_VS_CRAWLER_STALL", "value": 7},
4285 {"name": "SPI_PERF_VS_EVENT_WAVE", "value": 8},
4286 {"name": "SPI_PERF_VS_WAVE", "value": 9},
4287 {"name": "SPI_PERF_VS_PERS_UPD_FULL0", "value": 10},
4288 {"name": "SPI_PERF_VS_PERS_UPD_FULL1", "value": 11},
4289 {"name": "SPI_PERF_VS_LATE_ALLOC_FULL", "value": 12},
4290 {"name": "SPI_PERF_VS_FIRST_SUBGRP", "value": 13},
4291 {"name": "SPI_PERF_VS_LAST_SUBGRP", "value": 14},
4292 {"name": "SPI_PERF_VS_ALLOC_CNT", "value": 15},
4293 {"name": "SPI_PERF_VS_LATE_ALLOC_ACCUM", "value": 16},
4294 {"name": "SPI_PERF_GS_WINDOW_VALID", "value": 17},
4295 {"name": "SPI_PERF_GS_BUSY", "value": 18},
4296 {"name": "SPI_PERF_GS_CRAWLER_STALL", "value": 19},
4297 {"name": "SPI_PERF_GS_EVENT_WAVE", "value": 20},
4298 {"name": "SPI_PERF_GS_WAVE", "value": 21},
4299 {"name": "SPI_PERF_GS_PERS_UPD_FULL0", "value": 22},
4300 {"name": "SPI_PERF_GS_PERS_UPD_FULL1", "value": 23},
4301 {"name": "SPI_PERF_GS_FIRST_SUBGRP", "value": 24},
4302 {"name": "SPI_PERF_GS_LAST_SUBGRP", "value": 25},
4303 {"name": "SPI_PERF_GS_HS_DEALLOC", "value": 26},
4304 {"name": "SPI_PERF_GS_NGG_SE_LATE_ALLOC_LIMIT", "value": 27},
4305 {"name": "SPI_PERF_GS_GRP_FIFO_FULL", "value": 28},
4306 {"name": "SPI_PERF_GS_POS0_STALL", "value": 29},
4307 {"name": "SPI_PERF_GS_POS1_STALL", "value": 30},
4308 {"name": "SPI_PERF_GS_INDX0_STALL", "value": 31},
4309 {"name": "SPI_PERF_GS_INDX1_STALL", "value": 32},
4310 {"name": "SPI_PERF_HS_WINDOW_VALID", "value": 33},
4311 {"name": "SPI_PERF_HS_BUSY", "value": 34},
4312 {"name": "SPI_PERF_HS_CRAWLER_STALL", "value": 35},
4313 {"name": "SPI_PERF_HS_FIRST_WAVE", "value": 36},
4314 {"name": "SPI_PERF_HS_LAST_WAVE", "value": 37},
4315 {"name": "SPI_PERF_HS_LSHS_DEALLOC", "value": 38},
4316 {"name": "SPI_PERF_HS_OFFCHIP_LDS_STALL", "value": 39},
4317 {"name": "SPI_PERF_HS_EVENT_WAVE", "value": 40},
4318 {"name": "SPI_PERF_HS_WAVE", "value": 41},
4319 {"name": "SPI_PERF_HS_PERS_UPD_FULL0", "value": 42},
4320 {"name": "SPI_PERF_HS_PERS_UPD_FULL1", "value": 43},
4321 {"name": "SPI_PERF_CSG_WINDOW_VALID", "value": 44},
4322 {"name": "SPI_PERF_CSG_BUSY", "value": 45},
4323 {"name": "SPI_PERF_CSG_NUM_THREADGROUPS", "value": 46},
4324 {"name": "SPI_PERF_CSG_CRAWLER_STALL", "value": 47},
4325 {"name": "SPI_PERF_CSG_EVENT_WAVE", "value": 48},
4326 {"name": "SPI_PERF_CSG_WAVE", "value": 49},
4327 {"name": "SPI_PERF_CSN_WINDOW_VALID", "value": 50},
4328 {"name": "SPI_PERF_CSN_BUSY", "value": 51},
4329 {"name": "SPI_PERF_CSN_NUM_THREADGROUPS", "value": 52},
4330 {"name": "SPI_PERF_CSN_CRAWLER_STALL", "value": 53},
4331 {"name": "SPI_PERF_CSN_EVENT_WAVE", "value": 54},
4332 {"name": "SPI_PERF_CSN_WAVE", "value": 55},
4333 {"name": "SPI_PERF_PS0_WINDOW_VALID", "value": 56},
4334 {"name": "SPI_PERF_PS1_WINDOW_VALID", "value": 57},
4335 {"name": "SPI_PERF_PS2_WINDOW_VALID", "value": 58},
4336 {"name": "SPI_PERF_PS3_WINDOW_VALID", "value": 59},
4337 {"name": "SPI_PERF_PS0_BUSY", "value": 60},
4338 {"name": "SPI_PERF_PS1_BUSY", "value": 61},
4339 {"name": "SPI_PERF_PS2_BUSY", "value": 62},
4340 {"name": "SPI_PERF_PS3_BUSY", "value": 63},
4341 {"name": "SPI_PERF_PS0_ACTIVE", "value": 64},
4342 {"name": "SPI_PERF_PS1_ACTIVE", "value": 65},
4343 {"name": "SPI_PERF_PS2_ACTIVE", "value": 66},
4344 {"name": "SPI_PERF_PS3_ACTIVE", "value": 67},
4345 {"name": "SPI_PERF_PS0_DEALLOC", "value": 68},
4346 {"name": "SPI_PERF_PS1_DEALLOC", "value": 69},
4347 {"name": "SPI_PERF_PS2_DEALLOC", "value": 70},
4348 {"name": "SPI_PERF_PS3_DEALLOC", "value": 71},
4349 {"name": "SPI_PERF_PS0_FPOS_STALL", "value": 72},
4350 {"name": "SPI_PERF_PS1_FPOS_STALL", "value": 73},
4351 {"name": "SPI_PERF_PS2_FPOS_STALL", "value": 74},
4352 {"name": "SPI_PERF_PS3_FPOS_STALL", "value": 75},
4353 {"name": "SPI_PERF_PS0_EVENT_WAVE", "value": 76},
4354 {"name": "SPI_PERF_PS1_EVENT_WAVE", "value": 77},
4355 {"name": "SPI_PERF_PS2_EVENT_WAVE", "value": 78},
4356 {"name": "SPI_PERF_PS3_EVENT_WAVE", "value": 79},
4357 {"name": "SPI_PERF_PS0_WAVE", "value": 80},
4358 {"name": "SPI_PERF_PS1_WAVE", "value": 81},
4359 {"name": "SPI_PERF_PS2_WAVE", "value": 82},
4360 {"name": "SPI_PERF_PS3_WAVE", "value": 83},
4361 {"name": "SPI_PERF_PS0_OPT_WAVE", "value": 84},
4362 {"name": "SPI_PERF_PS1_OPT_WAVE", "value": 85},
4363 {"name": "SPI_PERF_PS2_OPT_WAVE", "value": 86},
4364 {"name": "SPI_PERF_PS3_OPT_WAVE", "value": 87},
4365 {"name": "SPI_PERF_PS0_PASS_BIN0", "value": 88},
4366 {"name": "SPI_PERF_PS1_PASS_BIN0", "value": 89},
4367 {"name": "SPI_PERF_PS2_PASS_BIN0", "value": 90},
4368 {"name": "SPI_PERF_PS3_PASS_BIN0", "value": 91},
4369 {"name": "SPI_PERF_PS0_PASS_BIN1", "value": 92},
4370 {"name": "SPI_PERF_PS1_PASS_BIN1", "value": 93},
4371 {"name": "SPI_PERF_PS2_PASS_BIN1", "value": 94},
4372 {"name": "SPI_PERF_PS3_PASS_BIN1", "value": 95},
4373 {"name": "SPI_PERF_PS0_FPOS", "value": 96},
4374 {"name": "SPI_PERF_PS1_FPOS", "value": 97},
4375 {"name": "SPI_PERF_PS2_FPOS", "value": 98},
4376 {"name": "SPI_PERF_PS3_FPOS", "value": 99},
4377 {"name": "SPI_PERF_PS0_PRIM_BIN0", "value": 100},
4378 {"name": "SPI_PERF_PS1_PRIM_BIN0", "value": 101},
4379 {"name": "SPI_PERF_PS2_PRIM_BIN0", "value": 102},
4380 {"name": "SPI_PERF_PS3_PRIM_BIN0", "value": 103},
4381 {"name": "SPI_PERF_PS0_PRIM_BIN1", "value": 104},
4382 {"name": "SPI_PERF_PS1_PRIM_BIN1", "value": 105},
4383 {"name": "SPI_PERF_PS2_PRIM_BIN1", "value": 106},
4384 {"name": "SPI_PERF_PS3_PRIM_BIN1", "value": 107},
4385 {"name": "SPI_PERF_PS0_CNF_BIN2", "value": 108},
4386 {"name": "SPI_PERF_PS1_CNF_BIN2", "value": 109},
4387 {"name": "SPI_PERF_PS2_CNF_BIN2", "value": 110},
4388 {"name": "SPI_PERF_PS3_CNF_BIN2", "value": 111},
4389 {"name": "SPI_PERF_PS0_CNF_BIN3", "value": 112},
4390 {"name": "SPI_PERF_PS1_CNF_BIN3", "value": 113},
4391 {"name": "SPI_PERF_PS2_CNF_BIN3", "value": 114},
4392 {"name": "SPI_PERF_PS3_CNF_BIN3", "value": 115},
4393 {"name": "SPI_PERF_PS0_CRAWLER_STALL", "value": 116},
4394 {"name": "SPI_PERF_PS1_CRAWLER_STALL", "value": 117},
4395 {"name": "SPI_PERF_PS2_CRAWLER_STALL", "value": 118},
4396 {"name": "SPI_PERF_PS3_CRAWLER_STALL", "value": 119},
4397 {"name": "SPI_PERF_PS0_LDS_RES_FULL", "value": 120},
4398 {"name": "SPI_PERF_PS1_LDS_RES_FULL", "value": 121},
4399 {"name": "SPI_PERF_PS2_LDS_RES_FULL", "value": 122},
4400 {"name": "SPI_PERF_PS3_LDS_RES_FULL", "value": 123},
4401 {"name": "SPI_PERF_PS0_POPS_WAVE_SENT", "value": 124},
4402 {"name": "SPI_PERF_PS1_POPS_WAVE_SENT", "value": 125},
4403 {"name": "SPI_PERF_PS2_POPS_WAVE_SENT", "value": 126},
4404 {"name": "SPI_PERF_PS3_POPS_WAVE_SENT", "value": 127},
4405 {"name": "SPI_PERF_PS0_POPS_WAVE_EXIT", "value": 128},
4406 {"name": "SPI_PERF_PS1_POPS_WAVE_EXIT", "value": 129},
4407 {"name": "SPI_PERF_PS2_POPS_WAVE_EXIT", "value": 130},
4408 {"name": "SPI_PERF_PS3_POPS_WAVE_EXIT", "value": 131},
4409 {"name": "SPI_PERF_PS_PERS_UPD_FULL0", "value": 132},
4410 {"name": "SPI_PERF_PS_PERS_UPD_FULL1", "value": 133},
4411 {"name": "SPI_PERF_LDS0_PC_VALID", "value": 134},
4412 {"name": "SPI_PERF_LDS1_PC_VALID", "value": 135},
4413 {"name": "SPI_PERF_RA_PIPE_REQ_BIN2", "value": 136},
4414 {"name": "SPI_PERF_RA_TASK_REQ_BIN3", "value": 137},
4415 {"name": "SPI_PERF_RA_WR_CTL_FULL", "value": 138},
4416 {"name": "SPI_PERF_RA_REQ_NO_ALLOC", "value": 139},
4417 {"name": "SPI_PERF_RA_REQ_NO_ALLOC_PS", "value": 140},
4418 {"name": "SPI_PERF_RA_REQ_NO_ALLOC_VS", "value": 141},
4419 {"name": "SPI_PERF_RA_REQ_NO_ALLOC_ES", "value": 142},
4420 {"name": "SPI_PERF_RA_REQ_NO_ALLOC_GS", "value": 143},
4421 {"name": "SPI_PERF_RA_REQ_NO_ALLOC_LS", "value": 144},
4422 {"name": "SPI_PERF_RA_REQ_NO_ALLOC_HS", "value": 145},
4423 {"name": "SPI_PERF_RA_REQ_NO_ALLOC_CSG", "value": 146},
4424 {"name": "SPI_PERF_RA_REQ_NO_ALLOC_CSN", "value": 147},
4425 {"name": "SPI_PERF_RA_RES_STALL_PS", "value": 148},
4426 {"name": "SPI_PERF_RA_RES_STALL_VS", "value": 149},
4427 {"name": "SPI_PERF_RA_RES_STALL_ES", "value": 150},
4428 {"name": "SPI_PERF_RA_RES_STALL_GS", "value": 151},
4429 {"name": "SPI_PERF_RA_RES_STALL_LS", "value": 152},
4430 {"name": "SPI_PERF_RA_RES_STALL_HS", "value": 153},
4431 {"name": "SPI_PERF_RA_RES_STALL_CSG", "value": 154},
4432 {"name": "SPI_PERF_RA_RES_STALL_CSN", "value": 155},
4433 {"name": "SPI_PERF_RA_TMP_STALL_PS", "value": 156},
4434 {"name": "SPI_PERF_RA_TMP_STALL_VS", "value": 157},
4435 {"name": "SPI_PERF_RA_TMP_STALL_ES", "value": 158},
4436 {"name": "SPI_PERF_RA_TMP_STALL_GS", "value": 159},
4437 {"name": "SPI_PERF_RA_TMP_STALL_LS", "value": 160},
4438 {"name": "SPI_PERF_RA_TMP_STALL_HS", "value": 161},
4439 {"name": "SPI_PERF_RA_TMP_STALL_CSG", "value": 162},
4440 {"name": "SPI_PERF_RA_TMP_STALL_CSN", "value": 163},
4441 {"name": "SPI_PERF_RA_WAVE_SIMD_FULL_PS", "value": 164},
4442 {"name": "SPI_PERF_RA_WAVE_SIMD_FULL_VS", "value": 165},
4443 {"name": "SPI_PERF_RA_WAVE_SIMD_FULL_ES", "value": 166},
4444 {"name": "SPI_PERF_RA_WAVE_SIMD_FULL_GS", "value": 167},
4445 {"name": "SPI_PERF_RA_WAVE_SIMD_FULL_LS", "value": 168},
4446 {"name": "SPI_PERF_RA_WAVE_SIMD_FULL_HS", "value": 169},
4447 {"name": "SPI_PERF_RA_WAVE_SIMD_FULL_CSG", "value": 170},
4448 {"name": "SPI_PERF_RA_WAVE_SIMD_FULL_CSN", "value": 171},
4449 {"name": "SPI_PERF_RA_VGPR_SIMD_FULL_PS", "value": 172},
4450 {"name": "SPI_PERF_RA_VGPR_SIMD_FULL_VS", "value": 173},
4451 {"name": "SPI_PERF_RA_VGPR_SIMD_FULL_ES", "value": 174},
4452 {"name": "SPI_PERF_RA_VGPR_SIMD_FULL_GS", "value": 175},
4453 {"name": "SPI_PERF_RA_VGPR_SIMD_FULL_HS", "value": 176},
4454 {"name": "SPI_PERF_RA_VGPR_SIMD_FULL_LS", "value": 177},
4455 {"name": "SPI_PERF_RA_VGPR_SIMD_FULL_CSG", "value": 178},
4456 {"name": "SPI_PERF_RA_VGPR_SIMD_FULL_CSN", "value": 179},
4457 {"name": "SPI_PERF_RA_SGPR_SIMD_FULL_PS", "value": 180},
4458 {"name": "SPI_PERF_RA_SGPR_SIMD_FULL_VS", "value": 181},
4459 {"name": "SPI_PERF_RA_SGPR_SIMD_FULL_ES", "value": 182},
4460 {"name": "SPI_PERF_RA_SGPR_SIMD_FULL_GS", "value": 183},
4461 {"name": "SPI_PERF_RA_SGPR_SIMD_FULL_LS", "value": 184},
4462 {"name": "SPI_PERF_RA_SGPR_SIMD_FULL_HS", "value": 185},
4463 {"name": "SPI_PERF_RA_SGPR_SIMD_FULL_CSG", "value": 186},
4464 {"name": "SPI_PERF_RA_SGPR_SIMD_FULL_CSN", "value": 187},
4465 {"name": "SPI_PERF_RA_LDS_CU_FULL_PS", "value": 188},
4466 {"name": "SPI_PERF_RA_LDS_CU_FULL_LS", "value": 189},
4467 {"name": "SPI_PERF_RA_LDS_CU_FULL_HS", "value": 190},
4468 {"name": "SPI_PERF_RA_LDS_CU_FULL_ES", "value": 191},
4469 {"name": "SPI_PERF_RA_LDS_CU_FULL_GS", "value": 192},
4470 {"name": "SPI_PERF_RA_LDS_CU_FULL_CSG", "value": 193},
4471 {"name": "SPI_PERF_RA_LDS_CU_FULL_CSN", "value": 194},
4472 {"name": "SPI_PERF_RA_BAR_CU_FULL_HS", "value": 195},
4473 {"name": "SPI_PERF_RA_BAR_CU_FULL_CSG", "value": 196},
4474 {"name": "SPI_PERF_RA_BAR_CU_FULL_CSN", "value": 197},
4475 {"name": "SPI_PERF_RA_BULKY_CU_FULL_CSG", "value": 198},
4476 {"name": "SPI_PERF_RA_BULKY_CU_FULL_CSN", "value": 199},
4477 {"name": "SPI_PERF_RA_TGLIM_CU_FULL_CSG", "value": 200},
4478 {"name": "SPI_PERF_RA_TGLIM_CU_FULL_CSN", "value": 201},
4479 {"name": "SPI_PERF_RA_WVLIM_STALL_PS", "value": 202},
4480 {"name": "SPI_PERF_RA_WVLIM_STALL_VS", "value": 203},
4481 {"name": "SPI_PERF_RA_WVLIM_STALL_ES", "value": 204},
4482 {"name": "SPI_PERF_RA_WVLIM_STALL_GS", "value": 205},
4483 {"name": "SPI_PERF_RA_WVLIM_STALL_LS", "value": 206},
4484 {"name": "SPI_PERF_RA_WVLIM_STALL_HS", "value": 207},
4485 {"name": "SPI_PERF_RA_WVLIM_STALL_CSG", "value": 208},
4486 {"name": "SPI_PERF_RA_WVLIM_STALL_CSN", "value": 209},
4487 {"name": "SPI_PERF_RA_VS_LOCK", "value": 210},
4488 {"name": "SPI_PERF_RA_PS_LOCK", "value": 211},
4489 {"name": "SPI_PERF_RA_ES_LOCK", "value": 212},
4490 {"name": "SPI_PERF_RA_GS_LOCK", "value": 213},
4491 {"name": "SPI_PERF_RA_LS_LOCK", "value": 214},
4492 {"name": "SPI_PERF_RA_HS_LOCK", "value": 215},
4493 {"name": "SPI_PERF_RA_CSG_LOCK", "value": 216},
4494 {"name": "SPI_PERF_RA_CSN_LOCK", "value": 217},
4495 {"name": "SPI_PERF_RA_RSV_UPD", "value": 218},
4496 {"name": "SPI_PERF_RA_PRE_ALLOC_STALL", "value": 219},
4497 {"name": "SPI_PERF_RA_GFX_UNDER_TUNNEL", "value": 220},
4498 {"name": "SPI_PERF_RA_CSC_UNDER_TUNNEL", "value": 221},
4499 {"name": "SPI_PERF_RA_WVALLOC_STALL", "value": 222},
4500 {"name": "SPI_PERF_EXP_ARB_COL_CNT", "value": 223},
4501 {"name": "SPI_PERF_EXP_ARB_PAR_CNT", "value": 224},
4502 {"name": "SPI_PERF_EXP_ARB_POS_CNT", "value": 225},
4503 {"name": "SPI_PERF_EXP_ARB_GDS_CNT", "value": 226},
4504 {"name": "SPI_PERF_NUM_PS_COL_SA0SQ0_EXPORTS", "value": 227},
4505 {"name": "SPI_PERF_NUM_PS_COL_SA0SQ1_EXPORTS", "value": 228},
4506 {"name": "SPI_PERF_NUM_PS_COL_SA1SQ0_EXPORTS", "value": 229},
4507 {"name": "SPI_PERF_NUM_PS_COL_SA1SQ1_EXPORTS", "value": 230},
4508 {"name": "SPI_PERF_NUM_VS_POS_SA0SQ0_EXPORTS", "value": 231},
4509 {"name": "SPI_PERF_NUM_VS_POS_SA0SQ1_EXPORTS", "value": 232},
4510 {"name": "SPI_PERF_NUM_VS_POS_SA1SQ0_EXPORTS", "value": 233},
4511 {"name": "SPI_PERF_NUM_VS_POS_SA1SQ1_EXPORTS", "value": 234},
4512 {"name": "SPI_PERF_NUM_VS_PARAM_SA0SQ0_EXPORTS", "value": 235},
4513 {"name": "SPI_PERF_NUM_VS_PARAM_SA0SQ1_EXPORTS", "value": 236},
4514 {"name": "SPI_PERF_NUM_VS_PARAM_SA1SQ0_EXPORTS", "value": 237},
4515 {"name": "SPI_PERF_NUM_VS_PARAM_SA1SQ1_EXPORTS", "value": 238},
4516 {"name": "SPI_PERF_NUM_VS_GDS_SA0SQ0_EXPORTS", "value": 239},
4517 {"name": "SPI_PERF_NUM_VS_GDS_SA0SQ1_EXPORTS", "value": 240},
4518 {"name": "SPI_PERF_NUM_VS_GDS_SA1SQ0_EXPORTS", "value": 241},
4519 {"name": "SPI_PERF_NUM_VS_GDS_SA1SQ1_EXPORTS", "value": 242},
4520 {"name": "SPI_PERF_NUM_EXPGRANT_EXPORTS", "value": 243},
4521 {"name": "SPI_PERF_CLKGATE_BUSY_STALL", "value": 244},
4522 {"name": "SPI_PERF_CLKGATE_ACTIVE_STALL", "value": 245},
4523 {"name": "SPI_PERF_CLKGATE_ALL_CLOCKS_ON", "value": 246},
4524 {"name": "SPI_PERF_CLKGATE_CGTT_DYN_ON", "value": 247},
4525 {"name": "SPI_PERF_CLKGATE_CGTT_REG_ON", "value": 248},
4526 {"name": "SPI_PERF_PIX_ALLOC_PEND_CNT", "value": 249},
4527 {"name": "SPI_PERF_PIX_ALLOC_SCB0_STALL", "value": 250},
4528 {"name": "SPI_PERF_PIX_ALLOC_SCB1_STALL", "value": 251},
4529 {"name": "SPI_PERF_PIX_ALLOC_SCB2_STALL", "value": 252},
4530 {"name": "SPI_PERF_PIX_ALLOC_SCB3_STALL", "value": 253},
4531 {"name": "SPI_PERF_PIX_ALLOC_DB0_STALL", "value": 254},
4532 {"name": "SPI_PERF_PIX_ALLOC_DB1_STALL", "value": 255},
4533 {"name": "SPI_PERF_PIX_ALLOC_DB2_STALL", "value": 256},
4534 {"name": "SPI_PERF_PIX_ALLOC_DB3_STALL", "value": 257},
4535 {"name": "SPI_PERF_PIX_ALLOC_DB4_STALL", "value": 258},
4536 {"name": "SPI_PERF_PIX_ALLOC_DB5_STALL", "value": 259},
4537 {"name": "SPI_PERF_PIX_ALLOC_DB6_STALL", "value": 260},
4538 {"name": "SPI_PERF_PIX_ALLOC_DB7_STALL", "value": 261},
4539 {"name": "SPI_PERF_GS_NGG_SE_SEND_GS_ALLOC", "value": 262},
4540 {"name": "SPI_PERF_SWC_PS_WR", "value": 263},
4541 {"name": "SPI_PERF_SWC_VS_WR", "value": 264},
4542 {"name": "SPI_PERF_SWC_ES_WR", "value": 265},
4543 {"name": "SPI_PERF_SWC_GS_WR", "value": 266},
4544 {"name": "SPI_PERF_SWC_LS_WR", "value": 267},
4545 {"name": "SPI_PERF_SWC_HS_WR", "value": 268},
4546 {"name": "SPI_PERF_SWC_CSG_WR", "value": 269},
4547 {"name": "SPI_PERF_SWC_CSN_WR", "value": 270},
4548 {"name": "SPI_PERF_VWC_PS_WR", "value": 271},
4549 {"name": "SPI_PERF_VWC_VS_WR", "value": 272},
4550 {"name": "SPI_PERF_VWC_ES_WR", "value": 273},
4551 {"name": "SPI_PERF_VWC_GS_WR", "value": 274},
4552 {"name": "SPI_PERF_VWC_LS_WR", "value": 275},
4553 {"name": "SPI_PERF_VWC_HS_WR", "value": 276},
4554 {"name": "SPI_PERF_VWC_CSG_WR", "value": 277},
4555 {"name": "SPI_PERF_VWC_CSN_WR", "value": 278},
4556 {"name": "SPI_PERF_ES_WINDOW_VALID", "value": 279},
4557 {"name": "SPI_PERF_ES_BUSY", "value": 280},
4558 {"name": "SPI_PERF_ES_CRAWLER_STALL", "value": 281},
4559 {"name": "SPI_PERF_ES_FIRST_WAVE", "value": 282},
4560 {"name": "SPI_PERF_ES_LAST_WAVE", "value": 283},
4561 {"name": "SPI_PERF_ES_LSHS_DEALLOC", "value": 284},
4562 {"name": "SPI_PERF_ES_EVENT_WAVE", "value": 285},
4563 {"name": "SPI_PERF_ES_WAVE", "value": 286},
4564 {"name": "SPI_PERF_ES_PERS_UPD_FULL0", "value": 287},
4565 {"name": "SPI_PERF_ES_PERS_UPD_FULL1", "value": 288},
4566 {"name": "SPI_PERF_ES_FIRST_SUBGRP", "value": 289},
4567 {"name": "SPI_PERF_ES_LAST_SUBGRP", "value": 290},
4568 {"name": "SPI_PERF_LS_WINDOW_VALID", "value": 291},
4569 {"name": "SPI_PERF_LS_BUSY", "value": 292},
4570 {"name": "SPI_PERF_LS_CRAWLER_STALL", "value": 293},
4571 {"name": "SPI_PERF_LS_FIRST_WAVE", "value": 294},
4572 {"name": "SPI_PERF_LS_LAST_WAVE", "value": 295},
4573 {"name": "SPI_PERF_LS_OFFCHIP_LDS_STALL", "value": 296},
4574 {"name": "SPI_PERF_LS_EVENT_WAVE", "value": 297},
4575 {"name": "SPI_PERF_LS_WAVE", "value": 298},
4576 {"name": "SPI_PERF_LS_PERS_UPD_FULL0", "value": 299},
4577 {"name": "SPI_PERF_LS_PERS_UPD_FULL1", "value": 300}
4578 ]
4579 },
4580 "SPI_PNT_SPRITE_OVERRIDE": {
4581 "entries": [
4582 {"name": "SPI_PNT_SPRITE_SEL_0", "value": 0},
4583 {"name": "SPI_PNT_SPRITE_SEL_1", "value": 1},
4584 {"name": "SPI_PNT_SPRITE_SEL_S", "value": 2},
4585 {"name": "SPI_PNT_SPRITE_SEL_T", "value": 3},
4586 {"name": "SPI_PNT_SPRITE_SEL_NONE", "value": 4}
4587 ]
4588 },
4589 "SPI_SHADER_EX_FORMAT": {
4590 "entries": [
4591 {"name": "SPI_SHADER_ZERO", "value": 0},
4592 {"name": "SPI_SHADER_32_R", "value": 1},
4593 {"name": "SPI_SHADER_32_GR", "value": 2},
4594 {"name": "SPI_SHADER_32_AR", "value": 3},
4595 {"name": "SPI_SHADER_FP16_ABGR", "value": 4},
4596 {"name": "SPI_SHADER_UNORM16_ABGR", "value": 5},
4597 {"name": "SPI_SHADER_SNORM16_ABGR", "value": 6},
4598 {"name": "SPI_SHADER_UINT16_ABGR", "value": 7},
4599 {"name": "SPI_SHADER_SINT16_ABGR", "value": 8},
4600 {"name": "SPI_SHADER_32_ABGR", "value": 9}
4601 ]
4602 },
4603 "SPI_SHADER_FORMAT": {
4604 "entries": [
4605 {"name": "SPI_SHADER_NONE", "value": 0},
4606 {"name": "SPI_SHADER_1COMP", "value": 1},
4607 {"name": "SPI_SHADER_2COMP", "value": 2},
4608 {"name": "SPI_SHADER_4COMPRESS", "value": 3},
4609 {"name": "SPI_SHADER_4COMP", "value": 4}
4610 ]
4611 },
4612 "SPM_PERFMON_STATE": {
4613 "entries": [
4614 {"name": "STRM_PERFMON_STATE_DISABLE_AND_RESET", "value": 0},
4615 {"name": "STRM_PERFMON_STATE_START_COUNTING", "value": 1},
4616 {"name": "STRM_PERFMON_STATE_STOP_COUNTING", "value": 2},
4617 {"name": "STRM_PERFMON_STATE_RESERVED_3", "value": 3},
4618 {"name": "STRM_PERFMON_STATE_DISABLE_AND_RESET_PHANTOM", "value": 4},
4619 {"name": "STRM_PERFMON_STATE_COUNT_AND_DUMP_PHANTOM", "value": 5}
4620 ]
4621 },
4622 "SQ_PERF_SEL": {
4623 "entries": [
4624 {"name": "SQ_PERF_SEL_NONE", "value": 0},
4625 {"name": "SQ_PERF_SEL_ACCUM_PREV", "value": 1},
4626 {"name": "SQ_PERF_SEL_CYCLES", "value": 2},
4627 {"name": "SQ_PERF_SEL_BUSY_CYCLES", "value": 3},
4628 {"name": "SQ_PERF_SEL_WAVES", "value": 4},
4629 {"name": "SQ_PERF_SEL_WAVES_32", "value": 5},
4630 {"name": "SQ_PERF_SEL_WAVES_64", "value": 6},
4631 {"name": "SQ_PERF_SEL_LEVEL_WAVES", "value": 7},
4632 {"name": "SQ_PERF_SEL_ITEMS", "value": 8},
4633 {"name": "SQ_PERF_SEL_WAVE32_ITEMS", "value": 9},
4634 {"name": "SQ_PERF_SEL_WAVE64_ITEMS", "value": 10},
4635 {"name": "SQ_PERF_SEL_QUADS", "value": 11},
4636 {"name": "SQ_PERF_SEL_EVENTS", "value": 12},
4637 {"name": "SQ_PERF_SEL_WAVES_EQ_64", "value": 13},
4638 {"name": "SQ_PERF_SEL_WAVES_LT_64", "value": 14},
4639 {"name": "SQ_PERF_SEL_WAVES_LT_48", "value": 15},
4640 {"name": "SQ_PERF_SEL_WAVES_LT_32", "value": 16},
4641 {"name": "SQ_PERF_SEL_WAVES_LT_16", "value": 17},
4642 {"name": "SQ_PERF_SEL_WAVES_RESTORED", "value": 18},
4643 {"name": "SQ_PERF_SEL_WAVES_SAVED", "value": 19},
4644 {"name": "SQ_PERF_SEL_MSG", "value": 20},
4645 {"name": "SQ_PERF_SEL_MSG_GSCNT", "value": 21},
4646 {"name": "SQ_PERF_SEL_MSG_INTERRUPT", "value": 22},
4647 {"name": "SQ_PERF_SEL_Reserved_1", "value": 23},
4648 {"name": "SQ_PERF_SEL_Reserved_2", "value": 24},
4649 {"name": "SQ_PERF_SEL_Reserved_3", "value": 25},
4650 {"name": "SQ_PERF_SEL_WAVE_CYCLES", "value": 26},
4651 {"name": "SQ_PERF_SEL_WAVE_READY", "value": 27},
4652 {"name": "SQ_PERF_SEL_WAIT_INST_ANY", "value": 28},
4653 {"name": "SQ_PERF_SEL_WAIT_INST_VALU", "value": 29},
4654 {"name": "SQ_PERF_SEL_WAIT_INST_SCA", "value": 30},
4655 {"name": "SQ_PERF_SEL_WAIT_INST_LDS", "value": 31},
4656 {"name": "SQ_PERF_SEL_WAIT_INST_TEX", "value": 32},
4657 {"name": "SQ_PERF_SEL_WAIT_INST_FLAT", "value": 33},
4658 {"name": "SQ_PERF_SEL_WAIT_INST_VMEM", "value": 34},
4659 {"name": "SQ_PERF_SEL_WAIT_INST_EXP_GDS", "value": 35},
4660 {"name": "SQ_PERF_SEL_WAIT_INST_BR_MSG", "value": 36},
4661 {"name": "SQ_PERF_SEL_WAIT_ANY", "value": 37},
4662 {"name": "SQ_PERF_SEL_WAIT_CNT_ANY", "value": 38},
4663 {"name": "SQ_PERF_SEL_WAIT_CNT_VMVS", "value": 39},
4664 {"name": "SQ_PERF_SEL_WAIT_CNT_LGKM", "value": 40},
4665 {"name": "SQ_PERF_SEL_WAIT_CNT_EXP", "value": 41},
4666 {"name": "SQ_PERF_SEL_WAIT_TTRACE", "value": 42},
4667 {"name": "SQ_PERF_SEL_WAIT_IFETCH", "value": 43},
4668 {"name": "SQ_PERF_SEL_WAIT_BARRIER", "value": 44},
4669 {"name": "SQ_PERF_SEL_WAIT_EXP_ALLOC", "value": 45},
4670 {"name": "SQ_PERF_SEL_WAIT_SLEEP", "value": 46},
4671 {"name": "SQ_PERF_SEL_WAIT_SLEEP_XNACK", "value": 47},
4672 {"name": "SQ_PERF_SEL_WAIT_OTHER", "value": 48},
4673 {"name": "SQ_PERF_SEL_INSTS_ALL", "value": 49},
4674 {"name": "SQ_PERF_SEL_INSTS_BRANCH", "value": 50},
4675 {"name": "SQ_PERF_SEL_INSTS_CBRANCH_NOT_TAKEN", "value": 51},
4676 {"name": "SQ_PERF_SEL_INSTS_CBRANCH_TAKEN", "value": 52},
4677 {"name": "SQ_PERF_SEL_INSTS_CBRANCH_TAKEN_HIT_IS", "value": 53},
4678 {"name": "SQ_PERF_SEL_INSTS_EXP_GDS", "value": 54},
4679 {"name": "SQ_PERF_SEL_INSTS_GDS", "value": 55},
4680 {"name": "SQ_PERF_SEL_INSTS_EXP", "value": 56},
4681 {"name": "SQ_PERF_SEL_INSTS_FLAT", "value": 57},
4682 {"name": "SQ_PERF_SEL_Reserved_4", "value": 58},
4683 {"name": "SQ_PERF_SEL_INSTS_LDS", "value": 59},
4684 {"name": "SQ_PERF_SEL_INSTS_SALU", "value": 60},
4685 {"name": "SQ_PERF_SEL_INSTS_SMEM", "value": 61},
4686 {"name": "SQ_PERF_SEL_INSTS_SMEM_NORM", "value": 62},
4687 {"name": "SQ_PERF_SEL_INSTS_SENDMSG", "value": 63},
4688 {"name": "SQ_PERF_SEL_INSTS_VALU", "value": 64},
4689 {"name": "SQ_PERF_SEL_Reserved_17", "value": 65},
4690 {"name": "SQ_PERF_SEL_INSTS_VALU_TRANS32", "value": 66},
4691 {"name": "SQ_PERF_SEL_INSTS_VALU_NO_COEXEC", "value": 67},
4692 {"name": "SQ_PERF_SEL_INSTS_TEX", "value": 68},
4693 {"name": "SQ_PERF_SEL_INSTS_TEX_LOAD", "value": 69},
4694 {"name": "SQ_PERF_SEL_INSTS_TEX_STORE", "value": 70},
4695 {"name": "SQ_PERF_SEL_INSTS_WAVE32", "value": 71},
4696 {"name": "SQ_PERF_SEL_INSTS_WAVE32_FLAT", "value": 72},
4697 {"name": "SQ_PERF_SEL_Reserved_5", "value": 73},
4698 {"name": "SQ_PERF_SEL_INSTS_WAVE32_LDS", "value": 74},
4699 {"name": "SQ_PERF_SEL_INSTS_WAVE32_VALU", "value": 75},
4700 {"name": "SQ_PERF_SEL_INSTS_WAVE32_EXP_GDS", "value": 76},
4701 {"name": "SQ_PERF_SEL_INSTS_WAVE32_VALU_TRANS32", "value": 77},
4702 {"name": "SQ_PERF_SEL_INSTS_WAVE32_VALU_NO_COEXEC", "value": 78},
4703 {"name": "SQ_PERF_SEL_INSTS_WAVE32_TEX", "value": 79},
4704 {"name": "SQ_PERF_SEL_INSTS_WAVE32_TEX_LOAD", "value": 80},
4705 {"name": "SQ_PERF_SEL_INSTS_WAVE32_TEX_STORE", "value": 81},
4706 {"name": "SQ_PERF_SEL_ITEM_CYCLES_VALU", "value": 82},
4707 {"name": "SQ_PERF_SEL_VALU_READWRITELANE_CYCLES", "value": 83},
4708 {"name": "SQ_PERF_SEL_WAVE32_INSTS", "value": 84},
4709 {"name": "SQ_PERF_SEL_WAVE64_INSTS", "value": 85},
4710 {"name": "SQ_PERF_SEL_Reserved_18", "value": 86},
4711 {"name": "SQ_PERF_SEL_INSTS_VALU_EXEC_SKIPPED", "value": 87},
4712 {"name": "SQ_PERF_SEL_WAVE64_HALF_SKIP", "value": 88},
4713 {"name": "SQ_PERF_SEL_INSTS_TEX_REPLAY", "value": 89},
4714 {"name": "SQ_PERF_SEL_INSTS_SMEM_REPLAY", "value": 90},
4715 {"name": "SQ_PERF_SEL_Reserved_20", "value": 91},
4716 {"name": "SQ_PERF_SEL_INSTS_FLAT_REPLAY", "value": 92},
4717 {"name": "SQ_PERF_SEL_TA_XNACK_ALL", "value": 93},
4718 {"name": "SQ_PERF_SEL_TA_XNACK_FIRST", "value": 94},
4719 {"name": "SQ_PERF_SEL_INSTS_VALU_LDS_DIRECT_RD", "value": 95},
4720 {"name": "SQ_PERF_SEL_INSTS_VALU_VINTRP_OP", "value": 96},
4721 {"name": "SQ_PERF_SEL_INST_LEVEL_EXP", "value": 97},
4722 {"name": "SQ_PERF_SEL_INST_LEVEL_GDS", "value": 98},
4723 {"name": "SQ_PERF_SEL_INST_LEVEL_LDS", "value": 99},
4724 {"name": "SQ_PERF_SEL_INST_LEVEL_SMEM", "value": 100},
4725 {"name": "SQ_PERF_SEL_INST_LEVEL_TEX_LOAD", "value": 101},
4726 {"name": "SQ_PERF_SEL_INST_LEVEL_TEX_STORE", "value": 102},
4727 {"name": "SQ_PERF_SEL_IFETCH_REQS", "value": 103},
4728 {"name": "SQ_PERF_SEL_IFETCH_LEVEL", "value": 104},
4729 {"name": "SQ_PERF_SEL_IFETCH_XNACK", "value": 105},
4730 {"name": "SQ_PERF_SEL_Reserved_6", "value": 106},
4731 {"name": "SQ_PERF_SEL_Reserved_7", "value": 107},
4732 {"name": "SQ_PERF_SEL_LDS_DIRECT_CMD_FIFO_FULL_STALL", "value": 108},
4733 {"name": "SQ_PERF_SEL_VALU_SGATHER_STALL", "value": 109},
4734 {"name": "SQ_PERF_SEL_VALU_FWD_BUFFER_FULL_STALL", "value": 110},
4735 {"name": "SQ_PERF_SEL_VALU_SGPR_RD_FIFO_FULL_STALL", "value": 111},
4736 {"name": "SQ_PERF_SEL_VALU_SGATHER_FULL_STALL", "value": 112},
4737 {"name": "SQ_PERF_SEL_SALU_SGATHER_STALL", "value": 113},
4738 {"name": "SQ_PERF_SEL_SALU_SGPR_RD_FIFO_FULL_STALL", "value": 114},
4739 {"name": "SQ_PERF_SEL_SALU_GATHER_FULL_STALL", "value": 115},
4740 {"name": "SQ_PERF_SEL_SMEM_DCACHE_FIFO_FULL_STALL", "value": 116},
4741 {"name": "SQ_PERF_SEL_INST_CYCLES_VALU", "value": 117},
4742 {"name": "SQ_PERF_SEL_INST_CYCLES_VALU_TRANS32", "value": 118},
4743 {"name": "SQ_PERF_SEL_INST_CYCLES_VALU_NO_COEXEC", "value": 119},
4744 {"name": "SQ_PERF_SEL_INST_CYCLES_VMEM", "value": 120},
4745 {"name": "SQ_PERF_SEL_INST_CYCLES_VMEM_LOAD", "value": 121},
4746 {"name": "SQ_PERF_SEL_INST_CYCLES_VMEM_STORE", "value": 122},
4747 {"name": "SQ_PERF_SEL_INST_CYCLES_LDS", "value": 123},
4748 {"name": "SQ_PERF_SEL_INST_CYCLES_TEX", "value": 124},
4749 {"name": "SQ_PERF_SEL_INST_CYCLES_FLAT", "value": 125},
4750 {"name": "SQ_PERF_SEL_INST_CYCLES_EXP_GDS", "value": 126},
4751 {"name": "SQ_PERF_SEL_INST_CYCLES_EXP", "value": 127},
4752 {"name": "SQ_PERF_SEL_INST_CYCLES_GDS", "value": 128},
4753 {"name": "SQ_PERF_SEL_VALU_STARVE", "value": 129},
4754 {"name": "SQ_PERF_SEL_VMEM_ARB_FIFO_FULL", "value": 130},
4755 {"name": "SQ_PERF_SEL_MSG_FIFO_FULL_STALL", "value": 131},
4756 {"name": "SQ_PERF_SEL_EXP_REQ_FIFO_FULL", "value": 132},
4757 {"name": "SQ_PERF_SEL_Reserved_11", "value": 133},
4758 {"name": "SQ_PERF_SEL_Reserved_12", "value": 134},
4759 {"name": "SQ_PERF_SEL_Reserved_13", "value": 135},
4760 {"name": "SQ_PERF_SEL_Reserved_14", "value": 136},
4761 {"name": "SQ_PERF_SEL_VMEM_BUS_ACTIVE", "value": 137},
4762 {"name": "SQ_PERF_SEL_VMEM_BUS_STALL", "value": 138},
4763 {"name": "SQ_PERF_SEL_VMEM_BUS_STALL_TA_ADDR_FIFO_FULL", "value": 139},
4764 {"name": "SQ_PERF_SEL_VMEM_BUS_STALL_TA_CMD_FIFO_FULL", "value": 140},
4765 {"name": "SQ_PERF_SEL_VMEM_BUS_STALL_LDS_ADDR_FIFO_FULL", "value": 141},
4766 {"name": "SQ_PERF_SEL_VMEM_BUS_STALL_LDS_CMD_FIFO_FULL", "value": 142},
4767 {"name": "SQ_PERF_SEL_VMEM_STARVE_TA_ADDR_EMPTY", "value": 143},
4768 {"name": "SQ_PERF_SEL_VMEM_STARVE_LDS_ADDR_EMPTY", "value": 144},
4769 {"name": "SQ_PERF_SEL_Reserved_15", "value": 145},
4770 {"name": "SQ_PERF_SEL_SALU_PIPE_STALL", "value": 146},
4771 {"name": "SQ_PERF_SEL_SMEM_DCACHE_RETURN_CYCLES", "value": 147},
4772 {"name": "SQ_PERF_SEL_Reserved_21", "value": 148},
4773 {"name": "SQ_PERF_SEL_MSG_BUS_BUSY", "value": 149},
4774 {"name": "SQ_PERF_SEL_EXP_REQ_BUS_STALL", "value": 150},
4775 {"name": "SQ_PERF_SEL_EXP_REQ0_BUS_BUSY", "value": 151},
4776 {"name": "SQ_PERF_SEL_EXP_REQ1_BUS_BUSY", "value": 152},
4777 {"name": "SQ_PERF_SEL_EXP_BUS0_BUSY", "value": 153},
4778 {"name": "SQ_PERF_SEL_EXP_BUS1_BUSY", "value": 154},
4779 {"name": "SQ_PERF_SEL_Reserved_19", "value": 155},
4780 {"name": "SQ_PERF_SEL_INST_CACHE_REQ_STALL", "value": 156},
4781 {"name": "SQ_PERF_SEL_MIXED_SUBSEQUENT_ISSUES_VALU", "value": 157},
4782 {"name": "SQ_PERF_SEL_MIXED_SUBSEQUENT_ISSUES_SALU", "value": 158},
4783 {"name": "SQ_PERF_SEL_MIXED_SUBSEQUENT_ISSUES_VMEM", "value": 159},
4784 {"name": "SQ_PERF_SEL_USER0", "value": 160},
4785 {"name": "SQ_PERF_SEL_USER1", "value": 161},
4786 {"name": "SQ_PERF_SEL_USER2", "value": 162},
4787 {"name": "SQ_PERF_SEL_USER3", "value": 163},
4788 {"name": "SQ_PERF_SEL_USER4", "value": 164},
4789 {"name": "SQ_PERF_SEL_USER5", "value": 165},
4790 {"name": "SQ_PERF_SEL_USER6", "value": 166},
4791 {"name": "SQ_PERF_SEL_USER7", "value": 167},
4792 {"name": "SQ_PERF_SEL_USER8", "value": 168},
4793 {"name": "SQ_PERF_SEL_USER9", "value": 169},
4794 {"name": "SQ_PERF_SEL_USER10", "value": 170},
4795 {"name": "SQ_PERF_SEL_USER11", "value": 171},
4796 {"name": "SQ_PERF_SEL_USER12", "value": 172},
4797 {"name": "SQ_PERF_SEL_USER13", "value": 173},
4798 {"name": "SQ_PERF_SEL_USER14", "value": 174},
4799 {"name": "SQ_PERF_SEL_USER15", "value": 175},
4800 {"name": "SQ_PERF_SEL_USER_LEVEL0", "value": 176},
4801 {"name": "SQ_PERF_SEL_USER_LEVEL1", "value": 177},
4802 {"name": "SQ_PERF_SEL_USER_LEVEL2", "value": 178},
4803 {"name": "SQ_PERF_SEL_USER_LEVEL3", "value": 179},
4804 {"name": "SQ_PERF_SEL_USER_LEVEL4", "value": 180},
4805 {"name": "SQ_PERF_SEL_USER_LEVEL5", "value": 181},
4806 {"name": "SQ_PERF_SEL_USER_LEVEL6", "value": 182},
4807 {"name": "SQ_PERF_SEL_USER_LEVEL7", "value": 183},
4808 {"name": "SQ_PERF_SEL_USER_LEVEL8", "value": 184},
4809 {"name": "SQ_PERF_SEL_USER_LEVEL9", "value": 185},
4810 {"name": "SQ_PERF_SEL_USER_LEVEL10", "value": 186},
4811 {"name": "SQ_PERF_SEL_USER_LEVEL11", "value": 187},
4812 {"name": "SQ_PERF_SEL_USER_LEVEL12", "value": 188},
4813 {"name": "SQ_PERF_SEL_USER_LEVEL13", "value": 189},
4814 {"name": "SQ_PERF_SEL_USER_LEVEL14", "value": 190},
4815 {"name": "SQ_PERF_SEL_USER_LEVEL15", "value": 191},
4816 {"name": "SQ_PERF_SEL_VALU_RETURN_SDST", "value": 192},
4817 {"name": "SQ_PERF_SEL_VMEM_SECOND_TRY_USED", "value": 193},
4818 {"name": "SQ_PERF_SEL_VMEM_SECOND_TRY_STALL", "value": 194},
4819 {"name": "SQ_PERF_SEL_DUMMY_END", "value": 195},
4820 {"name": "SQ_PERF_SEL_DUMMY_LAST", "value": 196},
4821 {"name": "SQG_PERF_SEL_UTCL0_TRANSLATION_MISS", "value": 197},
4822 {"name": "SQG_PERF_SEL_UTCL0_PERMISSION_MISS", "value": 198},
4823 {"name": "SQG_PERF_SEL_UTCL0_TRANSLATION_HIT", "value": 199},
4824 {"name": "SQG_PERF_SEL_UTCL0_REQUEST", "value": 200},
4825 {"name": "SQG_PERF_SEL_UTCL0_STALL_MISSFIFO_FULL", "value": 201},
4826 {"name": "SQG_PERF_SEL_UTCL0_STALL_INFLIGHT_MAX", "value": 202},
4827 {"name": "SQG_PERF_SEL_UTCL0_STALL_LRU_INFLIGHT", "value": 203},
4828 {"name": "SQG_PERF_SEL_UTCL0_LFIFO_FULL", "value": 204},
4829 {"name": "SQG_PERF_SEL_UTCL0_STALL_LFIFO_NOT_RES", "value": 205},
4830 {"name": "SQG_PERF_SEL_UTCL0_STALL_UTCL1_REQ_OUT_OF_CREDITS", "value": 206},
4831 {"name": "SQG_PERF_SEL_UTCL0_HIT_FIFO_FULL", "value": 207},
4832 {"name": "SQG_PERF_SEL_UTCL0_UTCL1_REQ", "value": 208},
4833 {"name": "SQG_PERF_SEL_TLB_SHOOTDOWN", "value": 209},
4834 {"name": "SQG_PERF_SEL_TLB_SHOOTDOWN_CYCLES", "value": 210},
4835 {"name": "SQG_PERF_SEL_TTRACE_REQS", "value": 211},
4836 {"name": "SQG_PERF_SEL_TTRACE_INFLIGHT_REQS", "value": 212},
4837 {"name": "SQG_PERF_SEL_TTRACE_STALL", "value": 213},
4838 {"name": "SQG_PERF_SEL_TTRACE_LOST_PACKETS", "value": 214},
4839 {"name": "SQG_PERF_SEL_DUMMY_LAST", "value": 215},
4840 {"name": "SQC_PERF_SEL_POWER_VALU", "value": 216},
4841 {"name": "SQC_PERF_SEL_POWER_VALU0", "value": 217},
4842 {"name": "SQC_PERF_SEL_POWER_VALU1", "value": 218},
4843 {"name": "SQC_PERF_SEL_POWER_VALU2", "value": 219},
4844 {"name": "SQC_PERF_SEL_POWER_GPR_RD", "value": 220},
4845 {"name": "SQC_PERF_SEL_POWER_GPR_WR", "value": 221},
4846 {"name": "SQC_PERF_SEL_POWER_LDS_BUSY", "value": 222},
4847 {"name": "SQC_PERF_SEL_POWER_ALU_BUSY", "value": 223},
4848 {"name": "SQC_PERF_SEL_POWER_TEX_BUSY", "value": 224},
4849 {"name": "SQC_PERF_SEL_PT_POWER_STALL", "value": 225},
4850 {"name": "SQC_PERF_SEL_LDS_BANK_CONFLICT", "value": 226},
4851 {"name": "SQC_PERF_SEL_LDS_ADDR_CONFLICT", "value": 227},
4852 {"name": "SQC_PERF_SEL_LDS_UNALIGNED_STALL", "value": 228},
4853 {"name": "SQC_PERF_SEL_LDS_MEM_VIOLATIONS", "value": 229},
4854 {"name": "SQC_PERF_SEL_LDS_ATOMIC_RETURN", "value": 230},
4855 {"name": "SQC_PERF_SEL_LDS_IDX_ACTIVE", "value": 231},
4856 {"name": "SQC_PERF_SEL_LDS_ADDR_STALL", "value": 232},
4857 {"name": "SQC_PERF_SEL_LDS_ADDR_ACTIVE", "value": 233},
4858 {"name": "SQC_PERF_SEL_LDS_DIRECT_FIFO_FULL_STALL", "value": 234},
4859 {"name": "SQC_PERF_SEL_LDS_PC_LDS_WRITE_STALL_TD", "value": 235},
4860 {"name": "SQC_PERF_SEL_LDS_SPI_VGPR_WRITE_STALL_TD", "value": 236},
4861 {"name": "SQC_PERF_SEL_LDS_LDS_VGPR_WRITE_STALL", "value": 237},
4862 {"name": "SQC_PERF_SEL_LDS_FP_ADD_CYCLES", "value": 238},
4863 {"name": "SQC_PERF_SEL_ICACHE_BUSY_CYCLES", "value": 239},
4864 {"name": "SQC_PERF_SEL_ICACHE_REQ", "value": 240},
4865 {"name": "SQC_PERF_SEL_ICACHE_HITS", "value": 241},
4866 {"name": "SQC_PERF_SEL_ICACHE_MISSES", "value": 242},
4867 {"name": "SQC_PERF_SEL_ICACHE_MISSES_DUPLICATE", "value": 243},
4868 {"name": "SQC_PERF_SEL_ICACHE_INVAL_INST", "value": 244},
4869 {"name": "SQC_PERF_SEL_ICACHE_INVAL_ASYNC", "value": 245},
4870 {"name": "SQC_PERF_SEL_ICACHE_INFLIGHT_LEVEL", "value": 246},
4871 {"name": "SQC_PERF_SEL_DCACHE_INFLIGHT_LEVEL", "value": 247},
4872 {"name": "SQC_PERF_SEL_TC_INFLIGHT_LEVEL", "value": 248},
4873 {"name": "SQC_PERF_SEL_ICACHE_TC_INFLIGHT_LEVEL", "value": 249},
4874 {"name": "SQC_PERF_SEL_DCACHE_TC_INFLIGHT_LEVEL", "value": 250},
4875 {"name": "SQC_PERF_SEL_ICACHE_INPUT_VALID_READY", "value": 251},
4876 {"name": "SQC_PERF_SEL_ICACHE_INPUT_VALID_READYB", "value": 252},
4877 {"name": "SQC_PERF_SEL_ICACHE_INPUT_VALIDB", "value": 253},
4878 {"name": "SQC_PERF_SEL_DCACHE_INPUT_VALID_READY", "value": 254},
4879 {"name": "SQC_PERF_SEL_DCACHE_INPUT_VALID_READYB", "value": 255},
4880 {"name": "SQC_PERF_SEL_DCACHE_INPUT_VALIDB", "value": 256},
4881 {"name": "SQC_PERF_SEL_TC_REQ", "value": 257},
4882 {"name": "SQC_PERF_SEL_TC_INST_REQ", "value": 258},
4883 {"name": "SQC_PERF_SEL_TC_DATA_READ_REQ", "value": 259},
4884 {"name": "SQC_PERF_SEL_TC_DATA_WRITE_REQ", "value": 260},
4885 {"name": "SQC_PERF_SEL_TC_DATA_ATOMIC_REQ", "value": 261},
4886 {"name": "SQC_PERF_SEL_TC_STALL", "value": 262},
4887 {"name": "SQC_PERF_SEL_TC_STARVE", "value": 263},
4888 {"name": "SQC_PERF_SEL_ICACHE_INPUT_STALL_ARB_NO_GRANT", "value": 264},
4889 {"name": "SQC_PERF_SEL_ICACHE_INPUT_STALL_BANK_READYB", "value": 265},
4890 {"name": "SQC_PERF_SEL_ICACHE_CACHE_STALLED", "value": 266},
4891 {"name": "SQC_PERF_SEL_ICACHE_CACHE_STALL_INFLIGHT_NONZERO", "value": 267},
4892 {"name": "SQC_PERF_SEL_ICACHE_CACHE_STALL_INFLIGHT_MAX", "value": 268},
4893 {"name": "SQC_PERF_SEL_ICACHE_CACHE_STALL_OUTPUT", "value": 269},
4894 {"name": "SQC_PERF_SEL_ICACHE_CACHE_STALL_OUTPUT_MISS_FIFO", "value": 270},
4895 {"name": "SQC_PERF_SEL_ICACHE_CACHE_STALL_OUTPUT_HIT_FIFO", "value": 271},
4896 {"name": "SQC_PERF_SEL_ICACHE_CACHE_STALL_OUTPUT_TC_IF", "value": 272},
4897 {"name": "SQC_PERF_SEL_ICACHE_STALL_OUTXBAR_ARB_NO_GRANT", "value": 273},
4898 {"name": "SQC_PERF_SEL_DCACHE_BUSY_CYCLES", "value": 274},
4899 {"name": "SQC_PERF_SEL_DCACHE_REQ", "value": 275},
4900 {"name": "SQC_PERF_SEL_DCACHE_HITS", "value": 276},
4901 {"name": "SQC_PERF_SEL_DCACHE_MISSES", "value": 277},
4902 {"name": "SQC_PERF_SEL_DCACHE_MISSES_DUPLICATE", "value": 278},
4903 {"name": "SQC_PERF_SEL_DCACHE_INVAL_INST", "value": 279},
4904 {"name": "SQC_PERF_SEL_DCACHE_INVAL_ASYNC", "value": 280},
4905 {"name": "SQC_PERF_SEL_DCACHE_HIT_LRU_READ", "value": 281},
4906 {"name": "SQC_PERF_SEL_DCACHE_WC_LRU_WRITE", "value": 282},
4907 {"name": "SQC_PERF_SEL_DCACHE_WT_EVICT_WRITE", "value": 283},
4908 {"name": "SQC_PERF_SEL_DCACHE_ATOMIC", "value": 284},
4909 {"name": "SQC_PERF_SEL_DCACHE_WB_INST", "value": 285},
4910 {"name": "SQC_PERF_SEL_DCACHE_WB_ASYNC", "value": 286},
4911 {"name": "SQC_PERF_SEL_DCACHE_INPUT_STALL_ARB_NO_GRANT", "value": 287},
4912 {"name": "SQC_PERF_SEL_DCACHE_INPUT_STALL_BANK_READYB", "value": 288},
4913 {"name": "SQC_PERF_SEL_DCACHE_CACHE_STALLED", "value": 289},
4914 {"name": "SQC_PERF_SEL_DCACHE_CACHE_STALL_INFLIGHT_MAX", "value": 290},
4915 {"name": "SQC_PERF_SEL_DCACHE_CACHE_STALL_OUTPUT", "value": 291},
4916 {"name": "SQC_PERF_SEL_DCACHE_CACHE_STALL_EVICT", "value": 292},
4917 {"name": "SQC_PERF_SEL_DCACHE_CACHE_STALL_UNORDERED", "value": 293},
4918 {"name": "SQC_PERF_SEL_DCACHE_CACHE_STALL_ALLOC_UNAVAILABLE", "value": 294},
4919 {"name": "SQC_PERF_SEL_DCACHE_CACHE_STALL_FORCE_EVICT", "value": 295},
4920 {"name": "SQC_PERF_SEL_DCACHE_CACHE_STALL_MULTI_FLUSH", "value": 296},
4921 {"name": "SQC_PERF_SEL_DCACHE_CACHE_STALL_FLUSH_DONE", "value": 297},
4922 {"name": "SQC_PERF_SEL_DCACHE_CACHE_STALL_OUTPUT_MISS_FIFO", "value": 298},
4923 {"name": "SQC_PERF_SEL_DCACHE_CACHE_STALL_OUTPUT_HIT_FIFO", "value": 299},
4924 {"name": "SQC_PERF_SEL_DCACHE_CACHE_STALL_OUTPUT_TC_IF", "value": 300},
4925 {"name": "SQC_PERF_SEL_DCACHE_STALL_OUTXBAR_ARB_NO_GRANT", "value": 301},
4926 {"name": "SQC_PERF_SEL_DCACHE_REQ_READ_1", "value": 302},
4927 {"name": "SQC_PERF_SEL_DCACHE_REQ_READ_2", "value": 303},
4928 {"name": "SQC_PERF_SEL_DCACHE_REQ_READ_4", "value": 304},
4929 {"name": "SQC_PERF_SEL_DCACHE_REQ_READ_8", "value": 305},
4930 {"name": "SQC_PERF_SEL_DCACHE_REQ_READ_16", "value": 306},
4931 {"name": "SQC_PERF_SEL_DCACHE_REQ_TIME", "value": 307},
4932 {"name": "SQC_PERF_SEL_DCACHE_REQ_WRITE_1", "value": 308},
4933 {"name": "SQC_PERF_SEL_DCACHE_REQ_WRITE_2", "value": 309},
4934 {"name": "SQC_PERF_SEL_DCACHE_REQ_WRITE_4", "value": 310},
4935 {"name": "SQC_PERF_SEL_DCACHE_REQ_ATC_PROBE", "value": 311},
4936 {"name": "SQC_PERF_SEL_SQ_DCACHE_REQS", "value": 312},
4937 {"name": "SQC_PERF_SEL_DCACHE_FLAT_REQ", "value": 313},
4938 {"name": "SQC_PERF_SEL_DCACHE_NONFLAT_REQ", "value": 314},
4939 {"name": "SQC_PERF_SEL_ICACHE_UTCL0_TRANSLATION_MISS", "value": 315},
4940 {"name": "SQC_PERF_SEL_ICACHE_UTCL0_PERMISSION_MISS", "value": 316},
4941 {"name": "SQC_PERF_SEL_ICACHE_UTCL0_TRANSLATION_HIT", "value": 317},
4942 {"name": "SQC_PERF_SEL_ICACHE_UTCL0_REQUEST", "value": 318},
4943 {"name": "SQC_PERF_SEL_ICACHE_UTCL0_XNACK", "value": 319},
4944 {"name": "SQC_PERF_SEL_ICACHE_UTCL0_STALL_INFLIGHT_MAX", "value": 320},
4945 {"name": "SQC_PERF_SEL_ICACHE_UTCL0_STALL_LRU_INFLIGHT", "value": 321},
4946 {"name": "SQC_PERF_SEL_ICACHE_UTCL0_LFIFO_FULL", "value": 322},
4947 {"name": "SQC_PERF_SEL_ICACHE_UTCL0_STALL_LFIFO_NOT_RES", "value": 323},
4948 {"name": "SQC_PERF_SEL_ICACHE_UTCL0_STALL_UTCL1_REQ_OUT_OF_CREDITS", "value": 324},
4949 {"name": "SQC_PERF_SEL_ICACHE_UTCL0_UTCL1_INFLIGHT", "value": 325},
4950 {"name": "SQC_PERF_SEL_ICACHE_UTCL0_STALL_MISSFIFO_FULL", "value": 326},
4951 {"name": "SQC_PERF_SEL_DCACHE_UTCL0_TRANSLATION_MISS", "value": 327},
4952 {"name": "SQC_PERF_SEL_DCACHE_UTCL0_PERMISSION_MISS", "value": 328},
4953 {"name": "SQC_PERF_SEL_DCACHE_UTCL0_TRANSLATION_HIT", "value": 329},
4954 {"name": "SQC_PERF_SEL_DCACHE_UTCL0_REQUEST", "value": 330},
4955 {"name": "SQC_PERF_SEL_DCACHE_UTCL0_XNACK", "value": 331},
4956 {"name": "SQC_PERF_SEL_DCACHE_UTCL0_STALL_INFLIGHT_MAX", "value": 332},
4957 {"name": "SQC_PERF_SEL_DCACHE_UTCL0_STALL_LRU_INFLIGHT", "value": 333},
4958 {"name": "SQC_PERF_SEL_DCACHE_UTCL0_LFIFO_FULL", "value": 334},
4959 {"name": "SQC_PERF_SEL_DCACHE_UTCL0_STALL_LFIFO_NOT_RES", "value": 335},
4960 {"name": "SQC_PERF_SEL_DCACHE_UTCL0_STALL_UTCL1_REQ_OUT_OF_CREDITS", "value": 336},
4961 {"name": "SQC_PERF_SEL_DCACHE_UTCL0_UTCL1_INFLIGHT", "value": 337},
4962 {"name": "SQC_PERF_SEL_DCACHE_UTCL0_STALL_MISSFIFO_FULL", "value": 338},
4963 {"name": "SQC_PERF_SEL_DCACHE_UTCL0_STALL_MULTI_MISS", "value": 339},
4964 {"name": "SQC_PERF_SEL_DCACHE_UTCL0_HIT_FIFO_FULL", "value": 340},
4965 {"name": "SQC_PERF_SEL_ICACHE_UTCL0_INFLIGHT_LEVEL", "value": 341},
4966 {"name": "SQC_PERF_SEL_ICACHE_UTCL0_ALL_REQ", "value": 342},
4967 {"name": "SQC_PERF_SEL_ICACHE_UTCL1_INFLIGHT_LEVEL", "value": 343},
4968 {"name": "SQC_PERF_SEL_ICACHE_UTCL1_ALL_REQ", "value": 344},
4969 {"name": "SQC_PERF_SEL_ICACHE_UTCL0_UTCL1_PERM_FAULT", "value": 345},
4970 {"name": "SQC_PERF_SEL_DCACHE_UTCL0_INFLIGHT_LEVEL", "value": 346},
4971 {"name": "SQC_PERF_SEL_DCACHE_UTCL0_ALL_REQ", "value": 347},
4972 {"name": "SQC_PERF_SEL_DCACHE_UTCL1_INFLIGHT_LEVEL", "value": 348},
4973 {"name": "SQC_PERF_SEL_DCACHE_UTCL1_ALL_REQ", "value": 349},
4974 {"name": "SQC_PERF_SEL_DCACHE_UTCL0_UTCL1_PERM_FAULT", "value": 350},
4975 {"name": "SQC_PERF_SEL_ICACHE_GCR", "value": 351},
4976 {"name": "SQC_PERF_SEL_ICACHE_GCR_HITS", "value": 352},
4977 {"name": "SQC_PERF_SEL_DCACHE_GCR", "value": 353},
4978 {"name": "SQC_PERF_SEL_DCACHE_GCR_HITS", "value": 354},
4979 {"name": "SQC_PERF_SEL_ICACHE_GCR_INVALIDATE", "value": 355},
4980 {"name": "SQC_PERF_SEL_DCACHE_GCR_INVALIDATE", "value": 356},
4981 {"name": "SQC_PERF_SEL_DCACHE_GCR_WRITEBACK", "value": 357},
4982 {"name": "SQC_PERF_SEL_DCACHE_SPI_RETURN_STALL", "value": 358},
4983 {"name": "SQC_PERF_SEL_DUMMY_LAST", "value": 359},
4984 {"name": "SP_PERF_SEL_DCACHE_WB_CNT", "value": 360},
4985 {"name": "SP_PERF_SEL_DCACHE_STALL", "value": 361},
4986 {"name": "SP_PERF_SEL_DCACHE_HIT", "value": 362},
4987 {"name": "SP_PERF_SEL_DCACHE_HIT_DIRTY", "value": 363},
4988 {"name": "SP_PERF_SEL_DCACHE_WB_CONF_W_LDS", "value": 364},
4989 {"name": "SP_PERF_SEL_DCACHE_WB_CONF_W_TD", "value": 365},
4990 {"name": "SP_PERF_SEL_DCACHE_WB_CONF_W_SPI", "value": 366},
4991 {"name": "SP_PERF_SEL_DCACHE_WB_CONF_W_EXP_VMEM", "value": 367},
4992 {"name": "SP_PERF_SEL_DCACHE_EVEN_DIRTY_CNT", "value": 368},
4993 {"name": "SP_PERF_SEL_DCACHE_ODD_DIRTY_CNT", "value": 369},
4994 {"name": "SP_PERF_SEL_DCACHE_INVALIDATE_BY_VEC", "value": 370},
4995 {"name": "SP_PERF_SEL_GATHER_SRCA_FWD", "value": 371},
4996 {"name": "SP_PERF_SEL_GATHER_SRCB_FWD", "value": 372},
4997 {"name": "SP_PERF_SEL_GATHER_SRCC_FWD", "value": 373},
4998 {"name": "SP_PERF_SEL_GATHER_SRCA_REDUNDANT", "value": 374},
4999 {"name": "SP_PERF_SEL_GATHER_SRCB_REDUNDANT", "value": 375},
5000 {"name": "SP_PERF_SEL_GATHER_SRCC_REDUNDANT", "value": 376},
5001 {"name": "SP_PERF_SEL_GATHER_SRCA_DIRECT", "value": 377},
5002 {"name": "SP_PERF_SEL_GATHER_SRCB_DIRECT", "value": 378},
5003 {"name": "SP_PERF_SEL_GATHER_SRCC_DIRECT", "value": 379},
5004 {"name": "SP_PERF_SEL_VGPR_VALU_BANK0_RD", "value": 380},
5005 {"name": "SP_PERF_SEL_VGPR_VALU_BANK1_RD", "value": 381},
5006 {"name": "SP_PERF_SEL_VGPR_VALU_BANK2_RD", "value": 382},
5007 {"name": "SP_PERF_SEL_VGPR_VALU_BANK3_RD", "value": 383},
5008 {"name": "SP_PERF_SEL_VGPR_VMEM_BANK_RD", "value": 384},
5009 {"name": "SP_PERF_SEL_VGPR_EXP_BANK_RD", "value": 385},
5010 {"name": "SP_PERF_SEL_VGPR_TDDATA_WR", "value": 386},
5011 {"name": "SP_PERF_SEL_VGPR_LDSDATA_WR", "value": 387},
5012 {"name": "SP_PERF_SEL_PB_STALL", "value": 388},
5013 {"name": "SP_PERF_SEL_PB_SEND", "value": 389},
5014 {"name": "SP_PERF_SEL_LDS_DIRECT_FIFO_FULL", "value": 390},
5015 {"name": "SP_PERF_SEL_LDS_DIRECT_FIFO_SEND", "value": 391},
5016 {"name": "SP_PERF_SEL_SQ_SP_CONST_FIFO_FULL", "value": 392},
5017 {"name": "SP_PERF_SEL_SQ_SP_CONST_FIFO_SEND", "value": 393},
5018 {"name": "SP_PERF_SEL_SGPR_FULL", "value": 394},
5019 {"name": "SP_PERF_SEL_VALU_CONFLICT_WITH_EXP", "value": 395},
5020 {"name": "SP_PERF_SEL_VALU_CONFLICT_WITH_VMEM_LDS", "value": 396},
5021 {"name": "SP_PERF_SEL_VALU_CONFLICT_WITH_VMEM_NON_LDS", "value": 397},
5022 {"name": "SP_PERF_SEL_VALU_STALL_DUE_CONST_NOT_READY", "value": 398},
5023 {"name": "SP_PERF_SEL_VALU_STALL_DUE_VDST_FWD", "value": 399},
5024 {"name": "SP_PERF_SEL_VALU_STALL_DUE_SDST_FWD", "value": 400},
5025 {"name": "SP_PERF_SEL_VALU_STALL_DUE_LDS_DIR_NOT_READY", "value": 401},
5026 {"name": "SP_PERF_SEL_VALU_STALL_DUE_VGPR_NOT_READY", "value": 402},
5027 {"name": "SP_PERF_SEL_VALU_STALL_DUE_DST_STALL", "value": 403},
5028 {"name": "SP_PERF_SEL_VALU_STALL_DUE_DST_CACHE_WRITE_CONF", "value": 404},
5029 {"name": "SP_PERF_SEL_VALU_STALL", "value": 405},
5030 {"name": "SP_PERF_SEL_PERF_MEM_RD_CNT", "value": 406},
5031 {"name": "SP_PERF_SEL_PERF_MEM_WR_CNT", "value": 407},
5032 {"name": "SP_PERF_SEL_PERF_THREAD_REDUNDANT", "value": 408},
5033 {"name": "SP_PERF_SEL_PERF_OP_W_1_PASS", "value": 409},
5034 {"name": "SP_PERF_SEL_PERF_OP_W_2_PASS", "value": 410},
5035 {"name": "SP_PERF_SEL_PERF_OP_W_4_PASS", "value": 411},
5036 {"name": "SP_PERF_SEL_PERF_OP_W_16_PASS", "value": 412},
5037 {"name": "SP_PERF_SEL_PERF_COEXEC", "value": 413},
5038 {"name": "SP_PERF_SEL_PERF_ACTIVE_THREAD", "value": 414},
5039 {"name": "SP_PERF_SEL_PERF_ALL_ACTIVE", "value": 415},
5040 {"name": "SP_PERF_SEL_PERF_ZERO_P_ZERO", "value": 416},
5041 {"name": "SP_PERF_SEL_PERF_TRANS_OP", "value": 417},
5042 {"name": "SP_PERF_SEL_PERF_OP_W_MAD", "value": 418},
5043 {"name": "SP_PERF_SEL_PERF_OP_W_MUL", "value": 419},
5044 {"name": "SP_PERF_SEL_PERF_OP_W_ADD", "value": 420},
5045 {"name": "SP_PERF_SEL_DUMMY_LAST", "value": 421}
5046 ]
5047 },
5048 "SU_PERFCNT_SEL": {
5049 "entries": [
5050 {"name": "PERF_PAPC_PASX_REQ", "value": 0},
5051 {"name": "PERF_PAPC_PASX_DISABLE_PIPE", "value": 1},
5052 {"name": "PERF_PAPC_PASX_FIRST_VECTOR", "value": 2},
5053 {"name": "PERF_PAPC_PASX_SECOND_VECTOR", "value": 3},
5054 {"name": "PERF_PAPC_PASX_FIRST_DEAD", "value": 4},
5055 {"name": "PERF_PAPC_PASX_SECOND_DEAD", "value": 5},
5056 {"name": "PERF_PAPC_PASX_VTX_KILL_DISCARD", "value": 6},
5057 {"name": "PERF_PAPC_PASX_VTX_NAN_DISCARD", "value": 7},
5058 {"name": "PERF_PAPC_PA_INPUT_PRIM", "value": 8},
5059 {"name": "PERF_PAPC_PA_INPUT_NULL_PRIM", "value": 9},
5060 {"name": "PERF_PAPC_PA_INPUT_EVENT_FLAG", "value": 10},
5061 {"name": "PERF_PAPC_PA_INPUT_FIRST_PRIM_SLOT", "value": 11},
5062 {"name": "PERF_PAPC_PA_INPUT_END_OF_PACKET", "value": 12},
5063 {"name": "PERF_PAPC_PA_INPUT_EXTENDED_EVENT", "value": 13},
5064 {"name": "PERF_PAPC_CLPR_CULL_PRIM", "value": 14},
5065 {"name": "PERF_PAPC_CLPR_VVUCP_CULL_PRIM", "value": 15},
5066 {"name": "PERF_PAPC_CLPR_VV_CULL_PRIM", "value": 16},
5067 {"name": "PERF_PAPC_CLPR_UCP_CULL_PRIM", "value": 17},
5068 {"name": "PERF_PAPC_CLPR_VTX_KILL_CULL_PRIM", "value": 18},
5069 {"name": "PERF_PAPC_CLPR_VTX_NAN_CULL_PRIM", "value": 19},
5070 {"name": "PERF_PAPC_CLPR_CULL_TO_NULL_PRIM", "value": 20},
5071 {"name": "PERF_PAPC_CLPR_VVUCP_CLIP_PRIM", "value": 21},
5072 {"name": "PERF_PAPC_CLPR_VV_CLIP_PRIM", "value": 22},
5073 {"name": "PERF_PAPC_CLPR_UCP_CLIP_PRIM", "value": 23},
5074 {"name": "PERF_PAPC_CLPR_POINT_CLIP_CANDIDATE", "value": 24},
5075 {"name": "PERF_PAPC_CLPR_CLIP_PLANE_CNT_1", "value": 25},
5076 {"name": "PERF_PAPC_CLPR_CLIP_PLANE_CNT_2", "value": 26},
5077 {"name": "PERF_PAPC_CLPR_CLIP_PLANE_CNT_3", "value": 27},
5078 {"name": "PERF_PAPC_CLPR_CLIP_PLANE_CNT_4", "value": 28},
5079 {"name": "PERF_PAPC_CLPR_CLIP_PLANE_CNT_5_8", "value": 29},
5080 {"name": "PERF_PAPC_CLPR_CLIP_PLANE_CNT_9_12", "value": 30},
5081 {"name": "PERF_PAPC_CLPR_CLIP_PLANE_NEAR", "value": 31},
5082 {"name": "PERF_PAPC_CLPR_CLIP_PLANE_FAR", "value": 32},
5083 {"name": "PERF_PAPC_CLPR_CLIP_PLANE_LEFT", "value": 33},
5084 {"name": "PERF_PAPC_CLPR_CLIP_PLANE_RIGHT", "value": 34},
5085 {"name": "PERF_PAPC_CLPR_CLIP_PLANE_TOP", "value": 35},
5086 {"name": "PERF_PAPC_CLPR_CLIP_PLANE_BOTTOM", "value": 36},
5087 {"name": "PERF_PAPC_CLPR_GSC_KILL_CULL_PRIM", "value": 37},
5088 {"name": "PERF_PAPC_CLPR_RASTER_KILL_CULL_PRIM", "value": 38},
5089 {"name": "PERF_PAPC_CLSM_NULL_PRIM", "value": 39},
5090 {"name": "PERF_PAPC_CLSM_TOTALLY_VISIBLE_PRIM", "value": 40},
5091 {"name": "PERF_PAPC_CLSM_CULL_TO_NULL_PRIM", "value": 41},
5092 {"name": "PERF_PAPC_CLSM_OUT_PRIM_CNT_1", "value": 42},
5093 {"name": "PERF_PAPC_CLSM_OUT_PRIM_CNT_2", "value": 43},
5094 {"name": "PERF_PAPC_CLSM_OUT_PRIM_CNT_3", "value": 44},
5095 {"name": "PERF_PAPC_CLSM_OUT_PRIM_CNT_4", "value": 45},
5096 {"name": "PERF_PAPC_CLSM_OUT_PRIM_CNT_5_8", "value": 46},
5097 {"name": "PERF_PAPC_CLSM_OUT_PRIM_CNT_9_13", "value": 47},
5098 {"name": "PERF_PAPC_CLIPGA_VTE_KILL_PRIM", "value": 48},
5099 {"name": "PERF_PAPC_SU_INPUT_PRIM", "value": 49},
5100 {"name": "PERF_PAPC_SU_INPUT_CLIP_PRIM", "value": 50},
5101 {"name": "PERF_PAPC_SU_INPUT_NULL_PRIM", "value": 51},
5102 {"name": "PERF_PAPC_SU_INPUT_PRIM_DUAL", "value": 52},
5103 {"name": "PERF_PAPC_SU_INPUT_CLIP_PRIM_DUAL", "value": 53},
5104 {"name": "PERF_PAPC_SU_ZERO_AREA_CULL_PRIM", "value": 54},
5105 {"name": "PERF_PAPC_SU_BACK_FACE_CULL_PRIM", "value": 55},
5106 {"name": "PERF_PAPC_SU_FRONT_FACE_CULL_PRIM", "value": 56},
5107 {"name": "PERF_PAPC_SU_POLYMODE_FACE_CULL", "value": 57},
5108 {"name": "PERF_PAPC_SU_POLYMODE_BACK_CULL", "value": 58},
5109 {"name": "PERF_PAPC_SU_POLYMODE_FRONT_CULL", "value": 59},
5110 {"name": "PERF_PAPC_SU_POLYMODE_INVALID_FILL", "value": 60},
5111 {"name": "PERF_PAPC_SU_OUTPUT_PRIM", "value": 61},
5112 {"name": "PERF_PAPC_SU_OUTPUT_CLIP_PRIM", "value": 62},
5113 {"name": "PERF_PAPC_SU_OUTPUT_NULL_PRIM", "value": 63},
5114 {"name": "PERF_PAPC_SU_OUTPUT_EVENT_FLAG", "value": 64},
5115 {"name": "PERF_PAPC_SU_OUTPUT_FIRST_PRIM_SLOT", "value": 65},
5116 {"name": "PERF_PAPC_SU_OUTPUT_END_OF_PACKET", "value": 66},
5117 {"name": "PERF_PAPC_SU_OUTPUT_POLYMODE_FACE", "value": 67},
5118 {"name": "PERF_PAPC_SU_OUTPUT_POLYMODE_BACK", "value": 68},
5119 {"name": "PERF_PAPC_SU_OUTPUT_POLYMODE_FRONT", "value": 69},
5120 {"name": "PERF_PAPC_SU_OUT_CLIP_POLYMODE_FACE", "value": 70},
5121 {"name": "PERF_PAPC_SU_OUT_CLIP_POLYMODE_BACK", "value": 71},
5122 {"name": "PERF_PAPC_SU_OUT_CLIP_POLYMODE_FRONT", "value": 72},
5123 {"name": "PERF_PAPC_SU_OUTPUT_PRIM_DUAL", "value": 73},
5124 {"name": "PERF_PAPC_SU_OUTPUT_CLIP_PRIM_DUAL", "value": 74},
5125 {"name": "PERF_PAPC_SU_OUTPUT_POLYMODE_DUAL", "value": 75},
5126 {"name": "PERF_PAPC_SU_OUTPUT_CLIP_POLYMODE_DUAL", "value": 76},
5127 {"name": "PERF_PAPC_PASX_REQ_IDLE", "value": 77},
5128 {"name": "PERF_PAPC_PASX_REQ_BUSY", "value": 78},
5129 {"name": "PERF_PAPC_PASX_REQ_STALLED", "value": 79},
5130 {"name": "PERF_PAPC_PASX_REC_IDLE", "value": 80},
5131 {"name": "PERF_PAPC_PASX_REC_BUSY", "value": 81},
5132 {"name": "PERF_PAPC_PASX_REC_STARVED_SX", "value": 82},
5133 {"name": "PERF_PAPC_PASX_REC_STALLED", "value": 83},
5134 {"name": "PERF_PAPC_PASX_REC_STALLED_POS_MEM", "value": 84},
5135 {"name": "PERF_PAPC_PASX_REC_STALLED_CCGSM_IN", "value": 85},
5136 {"name": "PERF_PAPC_CCGSM_IDLE", "value": 86},
5137 {"name": "PERF_PAPC_CCGSM_BUSY", "value": 87},
5138 {"name": "PERF_PAPC_CCGSM_STALLED", "value": 88},
5139 {"name": "PERF_PAPC_CLPRIM_IDLE", "value": 89},
5140 {"name": "PERF_PAPC_CLPRIM_BUSY", "value": 90},
5141 {"name": "PERF_PAPC_CLPRIM_STALLED", "value": 91},
5142 {"name": "PERF_PAPC_CLPRIM_STARVED_CCGSM", "value": 92},
5143 {"name": "PERF_PAPC_CLIPSM_IDLE", "value": 93},
5144 {"name": "PERF_PAPC_CLIPSM_BUSY", "value": 94},
5145 {"name": "PERF_PAPC_CLIPSM_WAIT_CLIP_VERT_ENGH", "value": 95},
5146 {"name": "PERF_PAPC_CLIPSM_WAIT_HIGH_PRI_SEQ", "value": 96},
5147 {"name": "PERF_PAPC_CLIPSM_WAIT_CLIPGA", "value": 97},
5148 {"name": "PERF_PAPC_CLIPSM_WAIT_AVAIL_VTE_CLIP", "value": 98},
5149 {"name": "PERF_PAPC_CLIPSM_WAIT_CLIP_OUTSM", "value": 99},
5150 {"name": "PERF_PAPC_CLIPGA_IDLE", "value": 100},
5151 {"name": "PERF_PAPC_CLIPGA_BUSY", "value": 101},
5152 {"name": "PERF_PAPC_CLIPGA_STARVED_VTE_CLIP", "value": 102},
5153 {"name": "PERF_PAPC_CLIPGA_STALLED", "value": 103},
5154 {"name": "PERF_PAPC_CLIP_IDLE", "value": 104},
5155 {"name": "PERF_PAPC_CLIP_BUSY", "value": 105},
5156 {"name": "PERF_PAPC_SU_IDLE", "value": 106},
5157 {"name": "PERF_PAPC_SU_BUSY", "value": 107},
5158 {"name": "PERF_PAPC_SU_STARVED_CLIP", "value": 108},
5159 {"name": "PERF_PAPC_SU_STALLED_SC", "value": 109},
5160 {"name": "PERF_PAPC_CL_DYN_SCLK_VLD", "value": 110},
5161 {"name": "PERF_PAPC_SU_DYN_SCLK_VLD", "value": 111},
5162 {"name": "PERF_PAPC_PA_REG_SCLK_VLD", "value": 112},
5163 {"name": "PERF_PAPC_SU_MULTI_GPU_PRIM_FILTER_CULL", "value": 113},
5164 {"name": "PERF_PAPC_PASX_SE0_REQ", "value": 114},
5165 {"name": "PERF_PAPC_PASX_SE1_REQ", "value": 115},
5166 {"name": "PERF_PAPC_PASX_SE0_FIRST_VECTOR", "value": 116},
5167 {"name": "PERF_PAPC_PASX_SE0_SECOND_VECTOR", "value": 117},
5168 {"name": "PERF_PAPC_PASX_SE1_FIRST_VECTOR", "value": 118},
5169 {"name": "PERF_PAPC_PASX_SE1_SECOND_VECTOR", "value": 119},
5170 {"name": "PERF_PAPC_SU_SE0_PRIM_FILTER_CULL", "value": 120},
5171 {"name": "PERF_PAPC_SU_SE1_PRIM_FILTER_CULL", "value": 121},
5172 {"name": "PERF_PAPC_SU_SE01_PRIM_FILTER_CULL", "value": 122},
5173 {"name": "PERF_PAPC_SU_SE0_OUTPUT_PRIM", "value": 123},
5174 {"name": "PERF_PAPC_SU_SE1_OUTPUT_PRIM", "value": 124},
5175 {"name": "PERF_PAPC_SU_SE01_OUTPUT_PRIM", "value": 125},
5176 {"name": "PERF_PAPC_SU_SE0_OUTPUT_NULL_PRIM", "value": 126},
5177 {"name": "PERF_PAPC_SU_SE1_OUTPUT_NULL_PRIM", "value": 127},
5178 {"name": "PERF_PAPC_SU_SE01_OUTPUT_NULL_PRIM", "value": 128},
5179 {"name": "PERF_PAPC_SU_SE0_OUTPUT_FIRST_PRIM_SLOT", "value": 129},
5180 {"name": "PERF_PAPC_SU_SE1_OUTPUT_FIRST_PRIM_SLOT", "value": 130},
5181 {"name": "PERF_PAPC_SU_SE0_STALLED_SC", "value": 131},
5182 {"name": "PERF_PAPC_SU_SE1_STALLED_SC", "value": 132},
5183 {"name": "PERF_PAPC_SU_SE01_STALLED_SC", "value": 133},
5184 {"name": "PERF_PAPC_CLSM_CLIPPING_PRIM", "value": 134},
5185 {"name": "PERF_PAPC_SU_CULLED_PRIM", "value": 135},
5186 {"name": "PERF_PAPC_SU_OUTPUT_EOPG", "value": 136},
5187 {"name": "PERF_PAPC_SU_SE2_PRIM_FILTER_CULL", "value": 137},
5188 {"name": "PERF_PAPC_SU_SE3_PRIM_FILTER_CULL", "value": 138},
5189 {"name": "PERF_PAPC_SU_SE2_OUTPUT_PRIM", "value": 139},
5190 {"name": "PERF_PAPC_SU_SE3_OUTPUT_PRIM", "value": 140},
5191 {"name": "PERF_PAPC_SU_SE2_OUTPUT_NULL_PRIM", "value": 141},
5192 {"name": "PERF_PAPC_SU_SE3_OUTPUT_NULL_PRIM", "value": 142},
5193 {"name": "PERF_PAPC_SU_SE0_OUTPUT_END_OF_PACKET", "value": 143},
5194 {"name": "PERF_PAPC_SU_SE1_OUTPUT_END_OF_PACKET", "value": 144},
5195 {"name": "PERF_PAPC_SU_SE2_OUTPUT_END_OF_PACKET", "value": 145},
5196 {"name": "PERF_PAPC_SU_SE3_OUTPUT_END_OF_PACKET", "value": 146},
5197 {"name": "PERF_PAPC_SU_SE0_OUTPUT_EOPG", "value": 147},
5198 {"name": "PERF_PAPC_SU_SE1_OUTPUT_EOPG", "value": 148},
5199 {"name": "PERF_PAPC_SU_SE2_OUTPUT_EOPG", "value": 149},
5200 {"name": "PERF_PAPC_SU_SE3_OUTPUT_EOPG", "value": 150},
5201 {"name": "PERF_PAPC_SU_SE2_STALLED_SC", "value": 151},
5202 {"name": "PERF_PAPC_SU_SE3_STALLED_SC", "value": 152},
5203 {"name": "PERF_SU_SMALL_PRIM_FILTER_CULL_CNT", "value": 153},
5204 {"name": "PERF_SMALL_PRIM_CULL_PRIM_1X1", "value": 154},
5205 {"name": "PERF_SMALL_PRIM_CULL_PRIM_2X1", "value": 155},
5206 {"name": "PERF_SMALL_PRIM_CULL_PRIM_1X2", "value": 156},
5207 {"name": "PERF_SMALL_PRIM_CULL_PRIM_2X2", "value": 157},
5208 {"name": "PERF_SMALL_PRIM_CULL_PRIM_3X1", "value": 158},
5209 {"name": "PERF_SMALL_PRIM_CULL_PRIM_1X3", "value": 159},
5210 {"name": "PERF_SMALL_PRIM_CULL_PRIM_3X2", "value": 160},
5211 {"name": "PERF_SMALL_PRIM_CULL_PRIM_2X3", "value": 161},
5212 {"name": "PERF_SMALL_PRIM_CULL_PRIM_NX1", "value": 162},
5213 {"name": "PERF_SMALL_PRIM_CULL_PRIM_1XN", "value": 163},
5214 {"name": "PERF_SMALL_PRIM_CULL_PRIM_NX2", "value": 164},
5215 {"name": "PERF_SMALL_PRIM_CULL_PRIM_2XN", "value": 165},
5216 {"name": "PERF_SMALL_PRIM_CULL_PRIM_FULL_RES_EVENT", "value": 166},
5217 {"name": "PERF_SMALL_PRIM_CULL_PRIM_HALF_RES_EVENT", "value": 167},
5218 {"name": "PERF_SMALL_PRIM_CULL_PRIM_QUARTER_RES_EVENT", "value": 168},
5219 {"name": "PERF_SC0_QUALIFIED_SEND_BUSY_EVENT", "value": 169},
5220 {"name": "PERF_SC0_QUALIFIED_SEND_NOT_BUSY_EVENT", "value": 170},
5221 {"name": "PERF_SC1_QUALIFIED_SEND_BUSY_EVENT", "value": 171},
5222 {"name": "PERF_SC1_QUALIFIED_SEND_NOT_BUSY_EVENT", "value": 172},
5223 {"name": "PERF_SC2_QUALIFIED_SEND_BUSY_EVENT", "value": 173},
5224 {"name": "PERF_SC2_QUALIFIED_SEND_NOT_BUSY_EVENT", "value": 174},
5225 {"name": "PERF_SC3_QUALIFIED_SEND_BUSY_EVENT", "value": 175},
5226 {"name": "PERF_SC3_QUALIFIED_SEND_NOT_BUSY_EVENT", "value": 176},
5227 {"name": "PERF_PA_VERTEX_FIFO_FULL", "value": 177},
5228 {"name": "PERF_PA_PRIMIC_TO_CLPRIM_FIFO_FULL", "value": 178},
5229 {"name": "PERF_PA_FETCH_TO_PRIMIC_P_FIFO_FULL", "value": 179},
5230 {"name": "PERF_PA_FETCH_TO_SXIF_FIFO_FULL", "value": 180},
5231 {"name": "PERF_PA_PIPE0_SWITCHED_GEN", "value": 181},
5232 {"name": "PERF_PA_PIPE1_SWITCHED_GEN", "value": 182},
5233 {"name": "PERF_ENGG_CSB_MACHINE_IS_STARVED", "value": 183},
5234 {"name": "PERF_ENGG_CSB_MACHINE_STALLED_BY_CSB_MEMORY", "value": 184},
5235 {"name": "PERF_ENGG_CSB_MACHINE_STALLED_BY_SPI", "value": 185},
5236 {"name": "PERF_ENGG_CSB_GE_INPUT_FIFO_FULL", "value": 186},
5237 {"name": "PERF_ENGG_CSB_SPI_INPUT_FIFO_FULL", "value": 187},
5238 {"name": "PERF_ENGG_CSB_OBJECTID_INPUT_FIFO_FULL", "value": 188},
5239 {"name": "PERF_ENGG_CSB_GE_INPUT_FIFO_POP_BIT", "value": 189},
5240 {"name": "PERF_ENGG_CSB_PRIM_COUNT_EQ0", "value": 190},
5241 {"name": "PERF_ENGG_CSB_NULL_SUBGROUP", "value": 191},
5242 {"name": "PERF_ENGG_CSB_GE_SENDING_SUBGROUP", "value": 192},
5243 {"name": "PERF_ENGG_CSB_GE_MEMORY_FULL", "value": 193},
5244 {"name": "PERF_ENGG_CSB_GE_MEMORY_EMPTY", "value": 194},
5245 {"name": "PERF_ENGG_CSB_SPI_MEMORY_FULL", "value": 195},
5246 {"name": "PERF_ENGG_CSB_SPI_MEMORY_EMPTY", "value": 196},
5247 {"name": "PERF_ENGG_CSB_DELAY_BIN00", "value": 197},
5248 {"name": "PERF_ENGG_CSB_DELAY_BIN01", "value": 198},
5249 {"name": "PERF_ENGG_CSB_DELAY_BIN02", "value": 199},
5250 {"name": "PERF_ENGG_CSB_DELAY_BIN03", "value": 200},
5251 {"name": "PERF_ENGG_CSB_DELAY_BIN04", "value": 201},
5252 {"name": "PERF_ENGG_CSB_DELAY_BIN05", "value": 202},
5253 {"name": "PERF_ENGG_CSB_DELAY_BIN06", "value": 203},
5254 {"name": "PERF_ENGG_CSB_DELAY_BIN07", "value": 204},
5255 {"name": "PERF_ENGG_CSB_DELAY_BIN08", "value": 205},
5256 {"name": "PERF_ENGG_CSB_DELAY_BIN09", "value": 206},
5257 {"name": "PERF_ENGG_CSB_DELAY_BIN10", "value": 207},
5258 {"name": "PERF_ENGG_CSB_DELAY_BIN11", "value": 208},
5259 {"name": "PERF_ENGG_CSB_DELAY_BIN12", "value": 209},
5260 {"name": "PERF_ENGG_CSB_DELAY_BIN13", "value": 210},
5261 {"name": "PERF_ENGG_CSB_DELAY_BIN14", "value": 211},
5262 {"name": "PERF_ENGG_CSB_DELAY_BIN15", "value": 212},
5263 {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN00", "value": 213},
5264 {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN01", "value": 214},
5265 {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN02", "value": 215},
5266 {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN03", "value": 216},
5267 {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN04", "value": 217},
5268 {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN05", "value": 218},
5269 {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN06", "value": 219},
5270 {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN07", "value": 220},
5271 {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN08", "value": 221},
5272 {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN09", "value": 222},
5273 {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN10", "value": 223},
5274 {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN11", "value": 224},
5275 {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN12", "value": 225},
5276 {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN13", "value": 226},
5277 {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN14", "value": 227},
5278 {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN15", "value": 228},
5279 {"name": "PERF_ENGG_INDEX_REQ_STARVED", "value": 229},
5280 {"name": "PERF_ENGG_INDEX_REQ_IDLE_AND_STALLED_BY_REQ2RTN_FIFO_FULL", "value": 230},
5281 {"name": "PERF_ENGG_INDEX_REQ_BUSY_AND_STALLED_BY_REQ2RTN_FIFO_FULL", "value": 231},
5282 {"name": "PERF_ENGG_INDEX_REQ_STALLED_BY_SX_CREDITS", "value": 232},
5283 {"name": "PERF_ENGG_INDEX_RET_REQ2RTN_FIFO_FULL", "value": 233},
5284 {"name": "PERF_ENGG_INDEX_RET_REQ2RTN_FIFO_EMPTY", "value": 234},
5285 {"name": "PERF_ENGG_INDEX_RET_SX_RECEIVE_FIFO_FULL", "value": 235},
5286 {"name": "PERF_ENGG_INDEX_RET_SXRX_STARVED_BY_CSB", "value": 236},
5287 {"name": "PERF_ENGG_INDEX_RET_SXRX_STARVED_BY_PRIMS", "value": 237},
5288 {"name": "PERF_ENGG_INDEX_RET_SXRX_STALLED_BY_PRIM_INDICES_CSB_FIFO", "value": 238},
5289 {"name": "PERF_ENGG_INDEX_RET_SXRX_STALLED_BY_PRIM_INDICES_FIFO", "value": 239},
5290 {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_EVENT", "value": 240},
5291 {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_NULL_SUBGROUP", "value": 241},
5292 {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_SUBGROUP_PRIMCOUNT_EQ0", "value": 242},
5293 {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_0_VALID_PRIMS_NOPL", "value": 243},
5294 {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_1_VALID_PRIMS_NOPL", "value": 244},
5295 {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_2_VALID_PRIMS_NOPL", "value": 245},
5296 {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_3_VALID_PRIMS_NOPL", "value": 246},
5297 {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_4_VALID_PRIMS_NOPL", "value": 247},
5298 {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_0_VALID_PRIMS_PL", "value": 248},
5299 {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_1_VALID_PRIMS_PL", "value": 249},
5300 {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_2_VALID_PRIMS_PL", "value": 250},
5301 {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_3_VALID_PRIMS_PL", "value": 251},
5302 {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_4_VALID_PRIMS_PL", "value": 252},
5303 {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_0_NULL_PRIMS", "value": 253},
5304 {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_1_NULL_PRIMS", "value": 254},
5305 {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_2_NULL_PRIMS", "value": 255},
5306 {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_3_NULL_PRIMS", "value": 256},
5307 {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_4_NULL_PRIMS", "value": 257},
5308 {"name": "PERF_ENGG_INDEX_PRIM_IF_STALLED_BY_FULL_FETCH_TO_PRIMIC_P_FIFO", "value": 258},
5309 {"name": "PERF_ENGG_INDEX_PRIM_IF_STALLED_BY_FULL_FETCH_TO_PRIMIC_S_FIFO", "value": 259},
5310 {"name": "PERF_ENGG_INDEX_PRIM_IF_STARVED_BY_NO_CSB", "value": 260},
5311 {"name": "PERF_ENGG_INDEX_PRIM_IF_STARVED_BY_NO_PRIM", "value": 261},
5312 {"name": "PERF_ENGG_INDEX_PRIM_IF_FETCH_TO_PRIMIC_P_FIFO_WRITE", "value": 262},
5313 {"name": "PERF_ENGG_INDEX_PRIM_IF_FETCH_TO_PRIMIC_P_FIFO_NO_WRITE", "value": 263},
5314 {"name": "PERF_ENGG_POS_REQ_STARVED", "value": 264},
5315 {"name": "PERF_ENGG_POS_REQ_STALLED_BY_FULL_CLIPV_FIFO", "value": 265}
5316 ]
5317 },
5318 "SX_BLEND_OPT": {
5319 "entries": [
5320 {"name": "BLEND_OPT_PRESERVE_NONE_IGNORE_ALL", "value": 0},
5321 {"name": "BLEND_OPT_PRESERVE_ALL_IGNORE_NONE", "value": 1},
5322 {"name": "BLEND_OPT_PRESERVE_C1_IGNORE_C0", "value": 2},
5323 {"name": "BLEND_OPT_PRESERVE_C0_IGNORE_C1", "value": 3},
5324 {"name": "BLEND_OPT_PRESERVE_A1_IGNORE_A0", "value": 4},
5325 {"name": "BLEND_OPT_PRESERVE_A0_IGNORE_A1", "value": 5},
5326 {"name": "BLEND_OPT_PRESERVE_NONE_IGNORE_A0", "value": 6},
5327 {"name": "BLEND_OPT_PRESERVE_NONE_IGNORE_NONE", "value": 7}
5328 ]
5329 },
5330 "SX_DOWNCONVERT_FORMAT": {
5331 "entries": [
5332 {"name": "SX_RT_EXPORT_NO_CONVERSION", "value": 0},
5333 {"name": "SX_RT_EXPORT_32_R", "value": 1},
5334 {"name": "SX_RT_EXPORT_32_A", "value": 2},
5335 {"name": "SX_RT_EXPORT_10_11_11", "value": 3},
5336 {"name": "SX_RT_EXPORT_2_10_10_10", "value": 4},
5337 {"name": "SX_RT_EXPORT_8_8_8_8", "value": 5},
5338 {"name": "SX_RT_EXPORT_5_6_5", "value": 6},
5339 {"name": "SX_RT_EXPORT_1_5_5_5", "value": 7},
5340 {"name": "SX_RT_EXPORT_4_4_4_4", "value": 8},
5341 {"name": "SX_RT_EXPORT_16_16_GR", "value": 9},
5342 {"name": "SX_RT_EXPORT_16_16_AR", "value": 10},
5343 {"name": "SX_RT_EXPORT_9_9_9_E5", "value": 11}
5344 ]
5345 },
5346 "SX_OPT_COMB_FCN": {
5347 "entries": [
5348 {"name": "OPT_COMB_NONE", "value": 0},
5349 {"name": "OPT_COMB_ADD", "value": 1},
5350 {"name": "OPT_COMB_SUBTRACT", "value": 2},
5351 {"name": "OPT_COMB_MIN", "value": 3},
5352 {"name": "OPT_COMB_MAX", "value": 4},
5353 {"name": "OPT_COMB_REVSUBTRACT", "value": 5},
5354 {"name": "OPT_COMB_BLEND_DISABLED", "value": 6},
5355 {"name": "OPT_COMB_SAFE_ADD", "value": 7}
5356 ]
5357 },
5358 "SX_PERFCOUNTER_VALS": {
5359 "entries": [
5360 {"name": "SX_PERF_SEL_PA_IDLE_CYCLES", "value": 0},
5361 {"name": "SX_PERF_SEL_PA_REQ", "value": 1},
5362 {"name": "SX_PERF_SEL_PA_POS", "value": 2},
5363 {"name": "SX_PERF_SEL_CLOCK", "value": 3},
5364 {"name": "SX_PERF_SEL_GATE_EN1", "value": 4},
5365 {"name": "SX_PERF_SEL_GATE_EN2", "value": 5},
5366 {"name": "SX_PERF_SEL_GATE_EN3", "value": 6},
5367 {"name": "SX_PERF_SEL_GATE_EN4", "value": 7},
5368 {"name": "SX_PERF_SEL_SH_POS_STARVE", "value": 8},
5369 {"name": "SX_PERF_SEL_SH_COLOR_STARVE", "value": 9},
5370 {"name": "SX_PERF_SEL_SH_POS_STALL", "value": 10},
5371 {"name": "SX_PERF_SEL_SH_COLOR_STALL", "value": 11},
5372 {"name": "SX_PERF_SEL_DB0_PIXELS", "value": 12},
5373 {"name": "SX_PERF_SEL_DB0_HALF_QUADS", "value": 13},
5374 {"name": "SX_PERF_SEL_DB0_PIXEL_STALL", "value": 14},
5375 {"name": "SX_PERF_SEL_DB0_PIXEL_IDLE", "value": 15},
5376 {"name": "SX_PERF_SEL_DB0_PRED_PIXELS", "value": 16},
5377 {"name": "SX_PERF_SEL_DB1_PIXELS", "value": 17},
5378 {"name": "SX_PERF_SEL_DB1_HALF_QUADS", "value": 18},
5379 {"name": "SX_PERF_SEL_DB1_PIXEL_STALL", "value": 19},
5380 {"name": "SX_PERF_SEL_DB1_PIXEL_IDLE", "value": 20},
5381 {"name": "SX_PERF_SEL_DB1_PRED_PIXELS", "value": 21},
5382 {"name": "SX_PERF_SEL_DB2_PIXELS", "value": 22},
5383 {"name": "SX_PERF_SEL_DB2_HALF_QUADS", "value": 23},
5384 {"name": "SX_PERF_SEL_DB2_PIXEL_STALL", "value": 24},
5385 {"name": "SX_PERF_SEL_DB2_PIXEL_IDLE", "value": 25},
5386 {"name": "SX_PERF_SEL_DB2_PRED_PIXELS", "value": 26},
5387 {"name": "SX_PERF_SEL_DB3_PIXELS", "value": 27},
5388 {"name": "SX_PERF_SEL_DB3_HALF_QUADS", "value": 28},
5389 {"name": "SX_PERF_SEL_DB3_PIXEL_STALL", "value": 29},
5390 {"name": "SX_PERF_SEL_DB3_PIXEL_IDLE", "value": 30},
5391 {"name": "SX_PERF_SEL_DB3_PRED_PIXELS", "value": 31},
5392 {"name": "SX_PERF_SEL_COL_BUSY", "value": 32},
5393 {"name": "SX_PERF_SEL_POS_BUSY", "value": 33},
5394 {"name": "SX_PERF_SEL_DB0_A2M_DISCARD_QUADS", "value": 34},
5395 {"name": "SX_PERF_SEL_DB0_MRT0_BLEND_BYPASS", "value": 35},
5396 {"name": "SX_PERF_SEL_DB0_MRT0_DONT_RD_DEST", "value": 36},
5397 {"name": "SX_PERF_SEL_DB0_MRT0_DISCARD_SRC", "value": 37},
5398 {"name": "SX_PERF_SEL_DB0_MRT0_SINGLE_QUADS", "value": 38},
5399 {"name": "SX_PERF_SEL_DB0_MRT0_DOUBLE_QUADS", "value": 39},
5400 {"name": "SX_PERF_SEL_DB0_MRT1_BLEND_BYPASS", "value": 40},
5401 {"name": "SX_PERF_SEL_DB0_MRT1_DONT_RD_DEST", "value": 41},
5402 {"name": "SX_PERF_SEL_DB0_MRT1_DISCARD_SRC", "value": 42},
5403 {"name": "SX_PERF_SEL_DB0_MRT1_SINGLE_QUADS", "value": 43},
5404 {"name": "SX_PERF_SEL_DB0_MRT1_DOUBLE_QUADS", "value": 44},
5405 {"name": "SX_PERF_SEL_DB0_MRT2_BLEND_BYPASS", "value": 45},
5406 {"name": "SX_PERF_SEL_DB0_MRT2_DONT_RD_DEST", "value": 46},
5407 {"name": "SX_PERF_SEL_DB0_MRT2_DISCARD_SRC", "value": 47},
5408 {"name": "SX_PERF_SEL_DB0_MRT2_SINGLE_QUADS", "value": 48},
5409 {"name": "SX_PERF_SEL_DB0_MRT2_DOUBLE_QUADS", "value": 49},
5410 {"name": "SX_PERF_SEL_DB0_MRT3_BLEND_BYPASS", "value": 50},
5411 {"name": "SX_PERF_SEL_DB0_MRT3_DONT_RD_DEST", "value": 51},
5412 {"name": "SX_PERF_SEL_DB0_MRT3_DISCARD_SRC", "value": 52},
5413 {"name": "SX_PERF_SEL_DB0_MRT3_SINGLE_QUADS", "value": 53},
5414 {"name": "SX_PERF_SEL_DB0_MRT3_DOUBLE_QUADS", "value": 54},
5415 {"name": "SX_PERF_SEL_DB0_MRT4_BLEND_BYPASS", "value": 55},
5416 {"name": "SX_PERF_SEL_DB0_MRT4_DONT_RD_DEST", "value": 56},
5417 {"name": "SX_PERF_SEL_DB0_MRT4_DISCARD_SRC", "value": 57},
5418 {"name": "SX_PERF_SEL_DB0_MRT4_SINGLE_QUADS", "value": 58},
5419 {"name": "SX_PERF_SEL_DB0_MRT4_DOUBLE_QUADS", "value": 59},
5420 {"name": "SX_PERF_SEL_DB0_MRT5_BLEND_BYPASS", "value": 60},
5421 {"name": "SX_PERF_SEL_DB0_MRT5_DONT_RD_DEST", "value": 61},
5422 {"name": "SX_PERF_SEL_DB0_MRT5_DISCARD_SRC", "value": 62},
5423 {"name": "SX_PERF_SEL_DB0_MRT5_SINGLE_QUADS", "value": 63},
5424 {"name": "SX_PERF_SEL_DB0_MRT5_DOUBLE_QUADS", "value": 64},
5425 {"name": "SX_PERF_SEL_DB0_MRT6_BLEND_BYPASS", "value": 65},
5426 {"name": "SX_PERF_SEL_DB0_MRT6_DONT_RD_DEST", "value": 66},
5427 {"name": "SX_PERF_SEL_DB0_MRT6_DISCARD_SRC", "value": 67},
5428 {"name": "SX_PERF_SEL_DB0_MRT6_SINGLE_QUADS", "value": 68},
5429 {"name": "SX_PERF_SEL_DB0_MRT6_DOUBLE_QUADS", "value": 69},
5430 {"name": "SX_PERF_SEL_DB0_MRT7_BLEND_BYPASS", "value": 70},
5431 {"name": "SX_PERF_SEL_DB0_MRT7_DONT_RD_DEST", "value": 71},
5432 {"name": "SX_PERF_SEL_DB0_MRT7_DISCARD_SRC", "value": 72},
5433 {"name": "SX_PERF_SEL_DB0_MRT7_SINGLE_QUADS", "value": 73},
5434 {"name": "SX_PERF_SEL_DB0_MRT7_DOUBLE_QUADS", "value": 74},
5435 {"name": "SX_PERF_SEL_DB1_A2M_DISCARD_QUADS", "value": 75},
5436 {"name": "SX_PERF_SEL_DB1_MRT0_BLEND_BYPASS", "value": 76},
5437 {"name": "SX_PERF_SEL_DB1_MRT0_DONT_RD_DEST", "value": 77},
5438 {"name": "SX_PERF_SEL_DB1_MRT0_DISCARD_SRC", "value": 78},
5439 {"name": "SX_PERF_SEL_DB1_MRT0_SINGLE_QUADS", "value": 79},
5440 {"name": "SX_PERF_SEL_DB1_MRT0_DOUBLE_QUADS", "value": 80},
5441 {"name": "SX_PERF_SEL_DB1_MRT1_BLEND_BYPASS", "value": 81},
5442 {"name": "SX_PERF_SEL_DB1_MRT1_DONT_RD_DEST", "value": 82},
5443 {"name": "SX_PERF_SEL_DB1_MRT1_DISCARD_SRC", "value": 83},
5444 {"name": "SX_PERF_SEL_DB1_MRT1_SINGLE_QUADS", "value": 84},
5445 {"name": "SX_PERF_SEL_DB1_MRT1_DOUBLE_QUADS", "value": 85},
5446 {"name": "SX_PERF_SEL_DB1_MRT2_BLEND_BYPASS", "value": 86},
5447 {"name": "SX_PERF_SEL_DB1_MRT2_DONT_RD_DEST", "value": 87},
5448 {"name": "SX_PERF_SEL_DB1_MRT2_DISCARD_SRC", "value": 88},
5449 {"name": "SX_PERF_SEL_DB1_MRT2_SINGLE_QUADS", "value": 89},
5450 {"name": "SX_PERF_SEL_DB1_MRT2_DOUBLE_QUADS", "value": 90},
5451 {"name": "SX_PERF_SEL_DB1_MRT3_BLEND_BYPASS", "value": 91},
5452 {"name": "SX_PERF_SEL_DB1_MRT3_DONT_RD_DEST", "value": 92},
5453 {"name": "SX_PERF_SEL_DB1_MRT3_DISCARD_SRC", "value": 93},
5454 {"name": "SX_PERF_SEL_DB1_MRT3_SINGLE_QUADS", "value": 94},
5455 {"name": "SX_PERF_SEL_DB1_MRT3_DOUBLE_QUADS", "value": 95},
5456 {"name": "SX_PERF_SEL_DB1_MRT4_BLEND_BYPASS", "value": 96},
5457 {"name": "SX_PERF_SEL_DB1_MRT4_DONT_RD_DEST", "value": 97},
5458 {"name": "SX_PERF_SEL_DB1_MRT4_DISCARD_SRC", "value": 98},
5459 {"name": "SX_PERF_SEL_DB1_MRT4_SINGLE_QUADS", "value": 99},
5460 {"name": "SX_PERF_SEL_DB1_MRT4_DOUBLE_QUADS", "value": 100},
5461 {"name": "SX_PERF_SEL_DB1_MRT5_BLEND_BYPASS", "value": 101},
5462 {"name": "SX_PERF_SEL_DB1_MRT5_DONT_RD_DEST", "value": 102},
5463 {"name": "SX_PERF_SEL_DB1_MRT5_DISCARD_SRC", "value": 103},
5464 {"name": "SX_PERF_SEL_DB1_MRT5_SINGLE_QUADS", "value": 104},
5465 {"name": "SX_PERF_SEL_DB1_MRT5_DOUBLE_QUADS", "value": 105},
5466 {"name": "SX_PERF_SEL_DB1_MRT6_BLEND_BYPASS", "value": 106},
5467 {"name": "SX_PERF_SEL_DB1_MRT6_DONT_RD_DEST", "value": 107},
5468 {"name": "SX_PERF_SEL_DB1_MRT6_DISCARD_SRC", "value": 108},
5469 {"name": "SX_PERF_SEL_DB1_MRT6_SINGLE_QUADS", "value": 109},
5470 {"name": "SX_PERF_SEL_DB1_MRT6_DOUBLE_QUADS", "value": 110},
5471 {"name": "SX_PERF_SEL_DB1_MRT7_BLEND_BYPASS", "value": 111},
5472 {"name": "SX_PERF_SEL_DB1_MRT7_DONT_RD_DEST", "value": 112},
5473 {"name": "SX_PERF_SEL_DB1_MRT7_DISCARD_SRC", "value": 113},
5474 {"name": "SX_PERF_SEL_DB1_MRT7_SINGLE_QUADS", "value": 114},
5475 {"name": "SX_PERF_SEL_DB1_MRT7_DOUBLE_QUADS", "value": 115},
5476 {"name": "SX_PERF_SEL_DB2_A2M_DISCARD_QUADS", "value": 116},
5477 {"name": "SX_PERF_SEL_DB2_MRT0_BLEND_BYPASS", "value": 117},
5478 {"name": "SX_PERF_SEL_DB2_MRT0_DONT_RD_DEST", "value": 118},
5479 {"name": "SX_PERF_SEL_DB2_MRT0_DISCARD_SRC", "value": 119},
5480 {"name": "SX_PERF_SEL_DB2_MRT0_SINGLE_QUADS", "value": 120},
5481 {"name": "SX_PERF_SEL_DB2_MRT0_DOUBLE_QUADS", "value": 121},
5482 {"name": "SX_PERF_SEL_DB2_MRT1_BLEND_BYPASS", "value": 122},
5483 {"name": "SX_PERF_SEL_DB2_MRT1_DONT_RD_DEST", "value": 123},
5484 {"name": "SX_PERF_SEL_DB2_MRT1_DISCARD_SRC", "value": 124},
5485 {"name": "SX_PERF_SEL_DB2_MRT1_SINGLE_QUADS", "value": 125},
5486 {"name": "SX_PERF_SEL_DB2_MRT1_DOUBLE_QUADS", "value": 126},
5487 {"name": "SX_PERF_SEL_DB2_MRT2_BLEND_BYPASS", "value": 127},
5488 {"name": "SX_PERF_SEL_DB2_MRT2_DONT_RD_DEST", "value": 128},
5489 {"name": "SX_PERF_SEL_DB2_MRT2_DISCARD_SRC", "value": 129},
5490 {"name": "SX_PERF_SEL_DB2_MRT2_SINGLE_QUADS", "value": 130},
5491 {"name": "SX_PERF_SEL_DB2_MRT2_DOUBLE_QUADS", "value": 131},
5492 {"name": "SX_PERF_SEL_DB2_MRT3_BLEND_BYPASS", "value": 132},
5493 {"name": "SX_PERF_SEL_DB2_MRT3_DONT_RD_DEST", "value": 133},
5494 {"name": "SX_PERF_SEL_DB2_MRT3_DISCARD_SRC", "value": 134},
5495 {"name": "SX_PERF_SEL_DB2_MRT3_SINGLE_QUADS", "value": 135},
5496 {"name": "SX_PERF_SEL_DB2_MRT3_DOUBLE_QUADS", "value": 136},
5497 {"name": "SX_PERF_SEL_DB2_MRT4_BLEND_BYPASS", "value": 137},
5498 {"name": "SX_PERF_SEL_DB2_MRT4_DONT_RD_DEST", "value": 138},
5499 {"name": "SX_PERF_SEL_DB2_MRT4_DISCARD_SRC", "value": 139},
5500 {"name": "SX_PERF_SEL_DB2_MRT4_SINGLE_QUADS", "value": 140},
5501 {"name": "SX_PERF_SEL_DB2_MRT4_DOUBLE_QUADS", "value": 141},
5502 {"name": "SX_PERF_SEL_DB2_MRT5_BLEND_BYPASS", "value": 142},
5503 {"name": "SX_PERF_SEL_DB2_MRT5_DONT_RD_DEST", "value": 143},
5504 {"name": "SX_PERF_SEL_DB2_MRT5_DISCARD_SRC", "value": 144},
5505 {"name": "SX_PERF_SEL_DB2_MRT5_SINGLE_QUADS", "value": 145},
5506 {"name": "SX_PERF_SEL_DB2_MRT5_DOUBLE_QUADS", "value": 146},
5507 {"name": "SX_PERF_SEL_DB2_MRT6_BLEND_BYPASS", "value": 147},
5508 {"name": "SX_PERF_SEL_DB2_MRT6_DONT_RD_DEST", "value": 148},
5509 {"name": "SX_PERF_SEL_DB2_MRT6_DISCARD_SRC", "value": 149},
5510 {"name": "SX_PERF_SEL_DB2_MRT6_SINGLE_QUADS", "value": 150},
5511 {"name": "SX_PERF_SEL_DB2_MRT6_DOUBLE_QUADS", "value": 151},
5512 {"name": "SX_PERF_SEL_DB2_MRT7_BLEND_BYPASS", "value": 152},
5513 {"name": "SX_PERF_SEL_DB2_MRT7_DONT_RD_DEST", "value": 153},
5514 {"name": "SX_PERF_SEL_DB2_MRT7_DISCARD_SRC", "value": 154},
5515 {"name": "SX_PERF_SEL_DB2_MRT7_SINGLE_QUADS", "value": 155},
5516 {"name": "SX_PERF_SEL_DB2_MRT7_DOUBLE_QUADS", "value": 156},
5517 {"name": "SX_PERF_SEL_DB3_A2M_DISCARD_QUADS", "value": 157},
5518 {"name": "SX_PERF_SEL_DB3_MRT0_BLEND_BYPASS", "value": 158},
5519 {"name": "SX_PERF_SEL_DB3_MRT0_DONT_RD_DEST", "value": 159},
5520 {"name": "SX_PERF_SEL_DB3_MRT0_DISCARD_SRC", "value": 160},
5521 {"name": "SX_PERF_SEL_DB3_MRT0_SINGLE_QUADS", "value": 161},
5522 {"name": "SX_PERF_SEL_DB3_MRT0_DOUBLE_QUADS", "value": 162},
5523 {"name": "SX_PERF_SEL_DB3_MRT1_BLEND_BYPASS", "value": 163},
5524 {"name": "SX_PERF_SEL_DB3_MRT1_DONT_RD_DEST", "value": 164},
5525 {"name": "SX_PERF_SEL_DB3_MRT1_DISCARD_SRC", "value": 165},
5526 {"name": "SX_PERF_SEL_DB3_MRT1_SINGLE_QUADS", "value": 166},
5527 {"name": "SX_PERF_SEL_DB3_MRT1_DOUBLE_QUADS", "value": 167},
5528 {"name": "SX_PERF_SEL_DB3_MRT2_BLEND_BYPASS", "value": 168},
5529 {"name": "SX_PERF_SEL_DB3_MRT2_DONT_RD_DEST", "value": 169},
5530 {"name": "SX_PERF_SEL_DB3_MRT2_DISCARD_SRC", "value": 170},
5531 {"name": "SX_PERF_SEL_DB3_MRT2_SINGLE_QUADS", "value": 171},
5532 {"name": "SX_PERF_SEL_DB3_MRT2_DOUBLE_QUADS", "value": 172},
5533 {"name": "SX_PERF_SEL_DB3_MRT3_BLEND_BYPASS", "value": 173},
5534 {"name": "SX_PERF_SEL_DB3_MRT3_DONT_RD_DEST", "value": 174},
5535 {"name": "SX_PERF_SEL_DB3_MRT3_DISCARD_SRC", "value": 175},
5536 {"name": "SX_PERF_SEL_DB3_MRT3_SINGLE_QUADS", "value": 176},
5537 {"name": "SX_PERF_SEL_DB3_MRT3_DOUBLE_QUADS", "value": 177},
5538 {"name": "SX_PERF_SEL_DB3_MRT4_BLEND_BYPASS", "value": 178},
5539 {"name": "SX_PERF_SEL_DB3_MRT4_DONT_RD_DEST", "value": 179},
5540 {"name": "SX_PERF_SEL_DB3_MRT4_DISCARD_SRC", "value": 180},
5541 {"name": "SX_PERF_SEL_DB3_MRT4_SINGLE_QUADS", "value": 181},
5542 {"name": "SX_PERF_SEL_DB3_MRT4_DOUBLE_QUADS", "value": 182},
5543 {"name": "SX_PERF_SEL_DB3_MRT5_BLEND_BYPASS", "value": 183},
5544 {"name": "SX_PERF_SEL_DB3_MRT5_DONT_RD_DEST", "value": 184},
5545 {"name": "SX_PERF_SEL_DB3_MRT5_DISCARD_SRC", "value": 185},
5546 {"name": "SX_PERF_SEL_DB3_MRT5_SINGLE_QUADS", "value": 186},
5547 {"name": "SX_PERF_SEL_DB3_MRT5_DOUBLE_QUADS", "value": 187},
5548 {"name": "SX_PERF_SEL_DB3_MRT6_BLEND_BYPASS", "value": 188},
5549 {"name": "SX_PERF_SEL_DB3_MRT6_DONT_RD_DEST", "value": 189},
5550 {"name": "SX_PERF_SEL_DB3_MRT6_DISCARD_SRC", "value": 190},
5551 {"name": "SX_PERF_SEL_DB3_MRT6_SINGLE_QUADS", "value": 191},
5552 {"name": "SX_PERF_SEL_DB3_MRT6_DOUBLE_QUADS", "value": 192},
5553 {"name": "SX_PERF_SEL_DB3_MRT7_BLEND_BYPASS", "value": 193},
5554 {"name": "SX_PERF_SEL_DB3_MRT7_DONT_RD_DEST", "value": 194},
5555 {"name": "SX_PERF_SEL_DB3_MRT7_DISCARD_SRC", "value": 195},
5556 {"name": "SX_PERF_SEL_DB3_MRT7_SINGLE_QUADS", "value": 196},
5557 {"name": "SX_PERF_SEL_DB3_MRT7_DOUBLE_QUADS", "value": 197},
5558 {"name": "SX_PERF_SEL_PA_REQ_LATENCY", "value": 198},
5559 {"name": "SX_PERF_SEL_POS_SCBD_STALL", "value": 199},
5560 {"name": "SX_PERF_SEL_COL_SCBD_STALL", "value": 200},
5561 {"name": "SX_PERF_SEL_CLOCK_DROP_STALL", "value": 201},
5562 {"name": "SX_PERF_SEL_GATE_EN5", "value": 202},
5563 {"name": "SX_PERF_SEL_GATE_EN6", "value": 203},
5564 {"name": "SX_PERF_SEL_DB0_SIZE", "value": 204},
5565 {"name": "SX_PERF_SEL_DB1_SIZE", "value": 205},
5566 {"name": "SX_PERF_SEL_DB2_SIZE", "value": 206},
5567 {"name": "SX_PERF_SEL_DB3_SIZE", "value": 207},
5568 {"name": "SX_PERF_SEL_SPLITMODE", "value": 208},
5569 {"name": "SX_PERF_SEL_COL_SCBD0_STALL", "value": 209},
5570 {"name": "SX_PERF_SEL_COL_SCBD1_STALL", "value": 210},
5571 {"name": "SX_PERF_SEL_IDX_STALL_CYCLES", "value": 211},
5572 {"name": "SX_PERF_SEL_IDX_IDLE_CYCLES", "value": 212},
5573 {"name": "SX_PERF_SEL_IDX_REQ", "value": 213},
5574 {"name": "SX_PERF_SEL_IDX_RET", "value": 214},
5575 {"name": "SX_PERF_SEL_IDX_REQ_LATENCY", "value": 215},
5576 {"name": "SX_PERF_SEL_IDX_SCBD_STALL", "value": 216},
5577 {"name": "SX_PERF_SEL_GATE_EN7", "value": 217},
5578 {"name": "SX_PERF_SEL_GATE_EN8", "value": 218},
5579 {"name": "SX_PERF_SEL_SH_IDX_STARVE", "value": 219},
5580 {"name": "SX_PERF_SEL_IDX_BUSY", "value": 220}
5581 ]
5582 },
5583 "ScMap": {
5584 "entries": [
5585 {"name": "RASTER_CONFIG_SC_MAP_0", "value": 0},
5586 {"name": "RASTER_CONFIG_SC_MAP_1", "value": 1},
5587 {"name": "RASTER_CONFIG_SC_MAP_2", "value": 2},
5588 {"name": "RASTER_CONFIG_SC_MAP_3", "value": 3}
5589 ]
5590 },
5591 "ScUncertaintyRegionMode": {
5592 "entries": [
5593 {"name": "SC_HALF_LSB", "value": 0},
5594 {"name": "SC_LSB_ONE_SIDED", "value": 1},
5595 {"name": "SC_LSB_TWO_SIDED", "value": 2}
5596 ]
5597 },
5598 "ScUncertaintyRegionMult": {
5599 "entries": [
5600 {"name": "SC_UR_1X", "value": 0},
5601 {"name": "SC_UR_2X", "value": 1},
5602 {"name": "SC_UR_4X", "value": 2},
5603 {"name": "SC_UR_8X", "value": 3}
5604 ]
5605 },
5606 "ScXsel": {
5607 "entries": [
5608 {"name": "RASTER_CONFIG_SC_XSEL_8_WIDE_TILE", "value": 0},
5609 {"name": "RASTER_CONFIG_SC_XSEL_16_WIDE_TILE", "value": 1},
5610 {"name": "RASTER_CONFIG_SC_XSEL_32_WIDE_TILE", "value": 2},
5611 {"name": "RASTER_CONFIG_SC_XSEL_64_WIDE_TILE", "value": 3}
5612 ]
5613 },
5614 "ScYsel": {
5615 "entries": [
5616 {"name": "RASTER_CONFIG_SC_YSEL_8_WIDE_TILE", "value": 0},
5617 {"name": "RASTER_CONFIG_SC_YSEL_16_WIDE_TILE", "value": 1},
5618 {"name": "RASTER_CONFIG_SC_YSEL_32_WIDE_TILE", "value": 2},
5619 {"name": "RASTER_CONFIG_SC_YSEL_64_WIDE_TILE", "value": 3}
5620 ]
5621 },
5622 "SeMap": {
5623 "entries": [
5624 {"name": "RASTER_CONFIG_SE_MAP_0", "value": 0},
5625 {"name": "RASTER_CONFIG_SE_MAP_1", "value": 1},
5626 {"name": "RASTER_CONFIG_SE_MAP_2", "value": 2},
5627 {"name": "RASTER_CONFIG_SE_MAP_3", "value": 3}
5628 ]
5629 },
5630 "SePairMap": {
5631 "entries": [
5632 {"name": "RASTER_CONFIG_SE_PAIR_MAP_0", "value": 0},
5633 {"name": "RASTER_CONFIG_SE_PAIR_MAP_1", "value": 1},
5634 {"name": "RASTER_CONFIG_SE_PAIR_MAP_2", "value": 2},
5635 {"name": "RASTER_CONFIG_SE_PAIR_MAP_3", "value": 3}
5636 ]
5637 },
5638 "SePairXsel": {
5639 "entries": [
5640 {"name": "RASTER_CONFIG_SE_PAIR_XSEL_8_WIDE_TILE", "value": 0},
5641 {"name": "RASTER_CONFIG_SE_PAIR_XSEL_16_WIDE_TILE", "value": 1},
5642 {"name": "RASTER_CONFIG_SE_PAIR_XSEL_32_WIDE_TILE", "value": 2},
5643 {"name": "RASTER_CONFIG_SE_PAIR_XSEL_64_WIDE_TILE", "value": 3}
5644 ]
5645 },
5646 "SePairYsel": {
5647 "entries": [
5648 {"name": "RASTER_CONFIG_SE_PAIR_YSEL_8_WIDE_TILE", "value": 0},
5649 {"name": "RASTER_CONFIG_SE_PAIR_YSEL_16_WIDE_TILE", "value": 1},
5650 {"name": "RASTER_CONFIG_SE_PAIR_YSEL_32_WIDE_TILE", "value": 2},
5651 {"name": "RASTER_CONFIG_SE_PAIR_YSEL_64_WIDE_TILE", "value": 3}
5652 ]
5653 },
5654 "SeXsel": {
5655 "entries": [
5656 {"name": "RASTER_CONFIG_SE_XSEL_8_WIDE_TILE", "value": 0},
5657 {"name": "RASTER_CONFIG_SE_XSEL_16_WIDE_TILE", "value": 1},
5658 {"name": "RASTER_CONFIG_SE_XSEL_32_WIDE_TILE", "value": 2},
5659 {"name": "RASTER_CONFIG_SE_XSEL_64_WIDE_TILE", "value": 3}
5660 ]
5661 },
5662 "SeYsel": {
5663 "entries": [
5664 {"name": "RASTER_CONFIG_SE_YSEL_8_WIDE_TILE", "value": 0},
5665 {"name": "RASTER_CONFIG_SE_YSEL_16_WIDE_TILE", "value": 1},
5666 {"name": "RASTER_CONFIG_SE_YSEL_32_WIDE_TILE", "value": 2},
5667 {"name": "RASTER_CONFIG_SE_YSEL_64_WIDE_TILE", "value": 3}
5668 ]
5669 },
5670 "StencilOp": {
5671 "entries": [
5672 {"name": "STENCIL_KEEP", "value": 0},
5673 {"name": "STENCIL_ZERO", "value": 1},
5674 {"name": "STENCIL_ONES", "value": 2},
5675 {"name": "STENCIL_REPLACE_TEST", "value": 3},
5676 {"name": "STENCIL_REPLACE_OP", "value": 4},
5677 {"name": "STENCIL_ADD_CLAMP", "value": 5},
5678 {"name": "STENCIL_SUB_CLAMP", "value": 6},
5679 {"name": "STENCIL_INVERT", "value": 7},
5680 {"name": "STENCIL_ADD_WRAP", "value": 8},
5681 {"name": "STENCIL_SUB_WRAP", "value": 9},
5682 {"name": "STENCIL_AND", "value": 10},
5683 {"name": "STENCIL_OR", "value": 11},
5684 {"name": "STENCIL_XOR", "value": 12},
5685 {"name": "STENCIL_NAND", "value": 13},
5686 {"name": "STENCIL_NOR", "value": 14},
5687 {"name": "STENCIL_XNOR", "value": 15}
5688 ]
5689 },
5690 "TA_PERFCOUNT_SEL": {
5691 "entries": [
5692 {"name": "TA_PERF_SEL_NULL", "value": 0},
5693 {"name": "TA_PERF_SEL_RESERVED_1", "value": 1},
5694 {"name": "TA_PERF_SEL_RESERVED_2", "value": 2},
5695 {"name": "TA_PERF_SEL_RESERVED_3", "value": 3},
5696 {"name": "TA_PERF_SEL_RESERVED_4", "value": 4},
5697 {"name": "TA_PERF_SEL_RESERVED_5", "value": 5},
5698 {"name": "TA_PERF_SEL_RESERVED_6", "value": 6},
5699 {"name": "TA_PERF_SEL_gradient_busy", "value": 7},
5700 {"name": "TA_PERF_SEL_gradient_fifo_busy", "value": 8},
5701 {"name": "TA_PERF_SEL_lod_busy", "value": 9},
5702 {"name": "TA_PERF_SEL_lod_fifo_busy", "value": 10},
5703 {"name": "TA_PERF_SEL_addresser_busy", "value": 11},
5704 {"name": "TA_PERF_SEL_addresser_fifo_busy", "value": 12},
5705 {"name": "TA_PERF_SEL_aligner_busy", "value": 13},
5706 {"name": "TA_PERF_SEL_write_path_busy", "value": 14},
5707 {"name": "TA_PERF_SEL_ta_busy", "value": 15},
5708 {"name": "TA_PERF_SEL_RESERVED_16", "value": 16},
5709 {"name": "TA_PERF_SEL_RESERVED_17", "value": 17},
5710 {"name": "TA_PERF_SEL_RESERVED_18", "value": 18},
5711 {"name": "TA_PERF_SEL_RESERVED_19", "value": 19},
5712 {"name": "TA_PERF_SEL_RESERVED_20", "value": 20},
5713 {"name": "TA_PERF_SEL_RESERVED_21", "value": 21},
5714 {"name": "TA_PERF_SEL_RESERVED_22", "value": 22},
5715 {"name": "TA_PERF_SEL_RESERVED_23", "value": 23},
5716 {"name": "TA_PERF_SEL_RESERVED_24", "value": 24},
5717 {"name": "TA_PERF_SEL_RESERVED_25", "value": 25},
5718 {"name": "TA_PERF_SEL_RESERVED_26", "value": 26},
5719 {"name": "TA_PERF_SEL_RESERVED_27", "value": 27},
5720 {"name": "TA_PERF_SEL_RESERVED_28", "value": 28},
5721 {"name": "TA_PERF_SEL_RESERVED_29", "value": 29},
5722 {"name": "TA_PERF_SEL_RESERVED_30", "value": 30},
5723 {"name": "TA_PERF_SEL_RESERVED_31", "value": 31},
5724 {"name": "TA_PERF_SEL_total_wavefronts", "value": 32},
5725 {"name": "TA_PERF_SEL_gradient_cycles", "value": 33},
5726 {"name": "TA_PERF_SEL_walker_cycles", "value": 34},
5727 {"name": "TA_PERF_SEL_aligner_cycles", "value": 35},
5728 {"name": "TA_PERF_SEL_image_wavefronts", "value": 36},
5729 {"name": "TA_PERF_SEL_image_read_wavefronts", "value": 37},
5730 {"name": "TA_PERF_SEL_image_write_wavefronts", "value": 38},
5731 {"name": "TA_PERF_SEL_image_atomic_wavefronts", "value": 39},
5732 {"name": "TA_PERF_SEL_image_sampler_total_cycles", "value": 40},
5733 {"name": "TA_PERF_SEL_image_nosampler_total_cycles", "value": 41},
5734 {"name": "TA_PERF_SEL_flat_total_cycles", "value": 42},
5735 {"name": "TA_PERF_SEL_RESERVED_43", "value": 43},
5736 {"name": "TA_PERF_SEL_buffer_wavefronts", "value": 44},
5737 {"name": "TA_PERF_SEL_buffer_read_wavefronts", "value": 45},
5738 {"name": "TA_PERF_SEL_buffer_write_wavefronts", "value": 46},
5739 {"name": "TA_PERF_SEL_buffer_atomic_wavefronts", "value": 47},
5740 {"name": "TA_PERF_SEL_RESERVED_48", "value": 48},
5741 {"name": "TA_PERF_SEL_buffer_total_cycles", "value": 49},
5742 {"name": "TA_PERF_SEL_RESERVED_50", "value": 50},
5743 {"name": "TA_PERF_SEL_RESERVED_51", "value": 51},
5744 {"name": "TA_PERF_SEL_RESERVED_52", "value": 52},
5745 {"name": "TA_PERF_SEL_RESERVED_53", "value": 53},
5746 {"name": "TA_PERF_SEL_addr_stalled_by_tc_cycles", "value": 54},
5747 {"name": "TA_PERF_SEL_addr_stalled_by_td_cycles", "value": 55},
5748 {"name": "TA_PERF_SEL_RESERVED_56", "value": 56},
5749 {"name": "TA_PERF_SEL_addresser_stalled_by_aligner_only_cycles", "value": 57},
5750 {"name": "TA_PERF_SEL_addresser_stalled_cycles", "value": 58},
5751 {"name": "TA_PERF_SEL_aniso_stalled_by_addresser_only_cycles", "value": 59},
5752 {"name": "TA_PERF_SEL_aniso_stalled_cycles", "value": 60},
5753 {"name": "TA_PERF_SEL_deriv_stalled_by_aniso_only_cycles", "value": 61},
5754 {"name": "TA_PERF_SEL_deriv_stalled_cycles", "value": 62},
5755 {"name": "TA_PERF_SEL_aniso_gt1_cycle_quads", "value": 63},
5756 {"name": "TA_PERF_SEL_color_1_cycle_pixels", "value": 64},
5757 {"name": "TA_PERF_SEL_color_2_cycle_pixels", "value": 65},
5758 {"name": "TA_PERF_SEL_color_3_cycle_pixels", "value": 66},
5759 {"name": "TA_PERF_SEL_RESERVED_67", "value": 67},
5760 {"name": "TA_PERF_SEL_mip_1_cycle_pixels", "value": 68},
5761 {"name": "TA_PERF_SEL_mip_2_cycle_pixels", "value": 69},
5762 {"name": "TA_PERF_SEL_vol_1_cycle_pixels", "value": 70},
5763 {"name": "TA_PERF_SEL_vol_2_cycle_pixels", "value": 71},
5764 {"name": "TA_PERF_SEL_bilin_point_1_cycle_pixels", "value": 72},
5765 {"name": "TA_PERF_SEL_mipmap_lod_0_samples", "value": 73},
5766 {"name": "TA_PERF_SEL_mipmap_lod_1_samples", "value": 74},
5767 {"name": "TA_PERF_SEL_mipmap_lod_2_samples", "value": 75},
5768 {"name": "TA_PERF_SEL_mipmap_lod_3_samples", "value": 76},
5769 {"name": "TA_PERF_SEL_mipmap_lod_4_samples", "value": 77},
5770 {"name": "TA_PERF_SEL_mipmap_lod_5_samples", "value": 78},
5771 {"name": "TA_PERF_SEL_mipmap_lod_6_samples", "value": 79},
5772 {"name": "TA_PERF_SEL_mipmap_lod_7_samples", "value": 80},
5773 {"name": "TA_PERF_SEL_mipmap_lod_8_samples", "value": 81},
5774 {"name": "TA_PERF_SEL_mipmap_lod_9_samples", "value": 82},
5775 {"name": "TA_PERF_SEL_mipmap_lod_10_samples", "value": 83},
5776 {"name": "TA_PERF_SEL_mipmap_lod_11_samples", "value": 84},
5777 {"name": "TA_PERF_SEL_mipmap_lod_12_samples", "value": 85},
5778 {"name": "TA_PERF_SEL_mipmap_lod_13_samples", "value": 86},
5779 {"name": "TA_PERF_SEL_mipmap_lod_14_samples", "value": 87},
5780 {"name": "TA_PERF_SEL_mipmap_invalid_samples", "value": 88},
5781 {"name": "TA_PERF_SEL_aniso_1_cycle_quads", "value": 89},
5782 {"name": "TA_PERF_SEL_aniso_2_cycle_quads", "value": 90},
5783 {"name": "TA_PERF_SEL_aniso_4_cycle_quads", "value": 91},
5784 {"name": "TA_PERF_SEL_aniso_6_cycle_quads", "value": 92},
5785 {"name": "TA_PERF_SEL_aniso_8_cycle_quads", "value": 93},
5786 {"name": "TA_PERF_SEL_aniso_10_cycle_quads", "value": 94},
5787 {"name": "TA_PERF_SEL_aniso_12_cycle_quads", "value": 95},
5788 {"name": "TA_PERF_SEL_aniso_14_cycle_quads", "value": 96},
5789 {"name": "TA_PERF_SEL_aniso_16_cycle_quads", "value": 97},
5790 {"name": "TA_PERF_SEL_write_path_input_cycles", "value": 98},
5791 {"name": "TA_PERF_SEL_write_path_output_cycles", "value": 99},
5792 {"name": "TA_PERF_SEL_flat_wavefronts", "value": 100},
5793 {"name": "TA_PERF_SEL_flat_read_wavefronts", "value": 101},
5794 {"name": "TA_PERF_SEL_flat_write_wavefronts", "value": 102},
5795 {"name": "TA_PERF_SEL_flat_atomic_wavefronts", "value": 103},
5796 {"name": "TA_PERF_SEL_RESERVED_104", "value": 104},
5797 {"name": "TA_PERF_SEL_reg_sclk_vld", "value": 105},
5798 {"name": "TA_PERF_SEL_local_cg_dyn_sclk_grp0_en", "value": 106},
5799 {"name": "TA_PERF_SEL_local_cg_dyn_sclk_grp1_en", "value": 107},
5800 {"name": "TA_PERF_SEL_local_cg_dyn_sclk_grp1_mems_en", "value": 108},
5801 {"name": "TA_PERF_SEL_local_cg_dyn_sclk_grp4_en", "value": 109},
5802 {"name": "TA_PERF_SEL_local_cg_dyn_sclk_grp5_en", "value": 110},
5803 {"name": "TA_PERF_SEL_xnack", "value": 111},
5804 {"name": "TA_PERF_SEL_RESERVED_112", "value": 112},
5805 {"name": "TA_PERF_SEL_RESERVED_113", "value": 113},
5806 {"name": "TA_PERF_SEL_RESERVED_114", "value": 114},
5807 {"name": "TA_PERF_SEL_first_xnack", "value": 115},
5808 {"name": "TA_PERF_SEL_RESERVED_116", "value": 116},
5809 {"name": "TA_PERF_SEL_RESERVED_117", "value": 117},
5810 {"name": "TA_PERF_SEL_RESERVED_118", "value": 118},
5811 {"name": "TA_PERF_SEL_RESERVED_119", "value": 119},
5812 {"name": "TA_PERF_SEL_RESERVED_120", "value": 120},
5813 {"name": "TA_PERF_SEL_RESERVED_121", "value": 121},
5814 {"name": "TA_PERF_SEL_RESERVED_122", "value": 122},
5815 {"name": "TA_PERF_SEL_RESERVED_123", "value": 123},
5816 {"name": "TA_PERF_SEL_RESERVED_124", "value": 124},
5817 {"name": "TA_PERF_SEL_RESERVED_125", "value": 125},
5818 {"name": "TA_PERF_SEL_RESERVED_126", "value": 126},
5819 {"name": "TA_PERF_SEL_RESERVED_127", "value": 127},
5820 {"name": "TA_PERF_SEL_in_busy", "value": 128},
5821 {"name": "TA_PERF_SEL_in_fifos_busy", "value": 129},
5822 {"name": "TA_PERF_SEL_in_cfifo_busy", "value": 130},
5823 {"name": "TA_PERF_SEL_in_qfifo_busy", "value": 131},
5824 {"name": "TA_PERF_SEL_in_wfifo_busy", "value": 132},
5825 {"name": "TA_PERF_SEL_in_rfifo_busy", "value": 133},
5826 {"name": "TA_PERF_SEL_bf_busy", "value": 134},
5827 {"name": "TA_PERF_SEL_ns_busy", "value": 135},
5828 {"name": "TA_PERF_SEL_smp_busy_ns_idle", "value": 136},
5829 {"name": "TA_PERF_SEL_smp_idle_ns_busy", "value": 137},
5830 {"name": "TA_PERF_SEL_RESERVED_138", "value": 138},
5831 {"name": "TA_PERF_SEL_RESERVED_139", "value": 139},
5832 {"name": "TA_PERF_SEL_RESERVED_140", "value": 140},
5833 {"name": "TA_PERF_SEL_RESERVED_141", "value": 141},
5834 {"name": "TA_PERF_SEL_RESERVED_142", "value": 142},
5835 {"name": "TA_PERF_SEL_RESERVED_143", "value": 143},
5836 {"name": "TA_PERF_SEL_vmemcmd_cycles", "value": 144},
5837 {"name": "TA_PERF_SEL_vmemreq_cycles", "value": 145},
5838 {"name": "TA_PERF_SEL_in_waiting_on_req_cycles", "value": 146},
5839 {"name": "TA_PERF_SEL_in_waiting_on_cmd_cycles", "value": 147},
5840 {"name": "TA_PERF_SEL_in_waiting_on_any_cycles", "value": 148},
5841 {"name": "TA_PERF_SEL_RESERVED_149", "value": 149},
5842 {"name": "TA_PERF_SEL_in_addr_cycles", "value": 150},
5843 {"name": "TA_PERF_SEL_in_data_cycles", "value": 151},
5844 {"name": "TA_PERF_SEL_addr_stalled_by_xnack_cycles", "value": 152},
5845 {"name": "TA_PERF_SEL_RESERVED_153", "value": 153},
5846 {"name": "TA_PERF_SEL_RESERVED_154", "value": 154},
5847 {"name": "TA_PERF_SEL_RESERVED_155", "value": 155},
5848 {"name": "TA_PERF_SEL_RESERVED_156", "value": 156},
5849 {"name": "TA_PERF_SEL_RESERVED_157", "value": 157},
5850 {"name": "TA_PERF_SEL_RESERVED_158", "value": 158},
5851 {"name": "TA_PERF_SEL_RESERVED_159", "value": 159},
5852 {"name": "TA_PERF_SEL_point_sampled_quads", "value": 160},
5853 {"name": "TA_PERF_SEL_RESERVED_161", "value": 161},
5854 {"name": "TA_PERF_SEL_RESERVED_162", "value": 162},
5855 {"name": "TA_PERF_SEL_RESERVED_163", "value": 163},
5856 {"name": "TA_PERF_SEL_RESERVED_164", "value": 164},
5857 {"name": "TA_PERF_SEL_RESERVED_165", "value": 165},
5858 {"name": "TA_PERF_SEL_RESERVED_166", "value": 166},
5859 {"name": "TA_PERF_SEL_RESERVED_167", "value": 167},
5860 {"name": "TA_PERF_SEL_RESERVED_168", "value": 168},
5861 {"name": "TA_PERF_SEL_RESERVED_169", "value": 169},
5862 {"name": "TA_PERF_SEL_RESERVED_170", "value": 170},
5863 {"name": "TA_PERF_SEL_RESERVED_171", "value": 171},
5864 {"name": "TA_PERF_SEL_RESERVED_172", "value": 172},
5865 {"name": "TA_PERF_SEL_RESERVED_173", "value": 173},
5866 {"name": "TA_PERF_SEL_RESERVED_174", "value": 174},
5867 {"name": "TA_PERF_SEL_RESERVED_175", "value": 175},
5868 {"name": "TA_PERF_SEL_RESERVED_176", "value": 176},
5869 {"name": "TA_PERF_SEL_RESERVED_177", "value": 177},
5870 {"name": "TA_PERF_SEL_RESERVED_178", "value": 178},
5871 {"name": "TA_PERF_SEL_RESERVED_179", "value": 179},
5872 {"name": "TA_PERF_SEL_RESERVED_180", "value": 180},
5873 {"name": "TA_PERF_SEL_RESERVED_181", "value": 181},
5874 {"name": "TA_PERF_SEL_RESERVED_182", "value": 182},
5875 {"name": "TA_PERF_SEL_RESERVED_183", "value": 183},
5876 {"name": "TA_PERF_SEL_RESERVED_184", "value": 184},
5877 {"name": "TA_PERF_SEL_RESERVED_185", "value": 185},
5878 {"name": "TA_PERF_SEL_RESERVED_186", "value": 186},
5879 {"name": "TA_PERF_SEL_RESERVED_187", "value": 187},
5880 {"name": "TA_PERF_SEL_RESERVED_188", "value": 188},
5881 {"name": "TA_PERF_SEL_RESERVED_189", "value": 189},
5882 {"name": "TA_PERF_SEL_RESERVED_190", "value": 190},
5883 {"name": "TA_PERF_SEL_RESERVED_191", "value": 191},
5884 {"name": "TA_PERF_SEL_RESERVED_192", "value": 192},
5885 {"name": "TA_PERF_SEL_RESERVED_193", "value": 193},
5886 {"name": "TA_PERF_SEL_RESERVED_194", "value": 194},
5887 {"name": "TA_PERF_SEL_RESERVED_195", "value": 195},
5888 {"name": "TA_PERF_SEL_RESERVED_196", "value": 196},
5889 {"name": "TA_PERF_SEL_RESERVED_197", "value": 197},
5890 {"name": "TA_PERF_SEL_RESERVED_198", "value": 198},
5891 {"name": "TA_PERF_SEL_RESERVED_199", "value": 199},
5892 {"name": "TA_PERF_SEL_RESERVED_200", "value": 200},
5893 {"name": "TA_PERF_SEL_RESERVED_201", "value": 201},
5894 {"name": "TA_PERF_SEL_RESERVED_202", "value": 202},
5895 {"name": "TA_PERF_SEL_RESERVED_203", "value": 203},
5896 {"name": "TA_PERF_SEL_RESERVED_204", "value": 204},
5897 {"name": "TA_PERF_SEL_RESERVED_205", "value": 205},
5898 {"name": "TA_PERF_SEL_RESERVED_206", "value": 206},
5899 {"name": "TA_PERF_SEL_RESERVED_207", "value": 207},
5900 {"name": "TA_PERF_SEL_RESERVED_208", "value": 208},
5901 {"name": "TA_PERF_SEL_RESERVED_209", "value": 209},
5902 {"name": "TA_PERF_SEL_RESERVED_210", "value": 210},
5903 {"name": "TA_PERF_SEL_RESERVED_211", "value": 211},
5904 {"name": "TA_PERF_SEL_RESERVED_212", "value": 212},
5905 {"name": "TA_PERF_SEL_RESERVED_213", "value": 213},
5906 {"name": "TA_PERF_SEL_RESERVED_214", "value": 214},
5907 {"name": "TA_PERF_SEL_RESERVED_215", "value": 215},
5908 {"name": "TA_PERF_SEL_RESERVED_216", "value": 216},
5909 {"name": "TA_PERF_SEL_RESERVED_217", "value": 217},
5910 {"name": "TA_PERF_SEL_RESERVED_218", "value": 218},
5911 {"name": "TA_PERF_SEL_RESERVED_219", "value": 219},
5912 {"name": "TA_PERF_SEL_RESERVED_220", "value": 220},
5913 {"name": "TA_PERF_SEL_RESERVED_221", "value": 221},
5914 {"name": "TA_PERF_SEL_RESERVED_222", "value": 222},
5915 {"name": "TA_PERF_SEL_RESERVED_223", "value": 223},
5916 {"name": "TA_PERF_SEL_sample_path_cycles", "value": 224},
5917 {"name": "TA_PERF_SEL_nosample_path_cycles", "value": 225}
5918 ]
5919 },
5920 "TCP_PERFCOUNT_SELECT": {
5921 "entries": [
5922 {"name": "TCP_PERF_SEL_GATE_EN1", "value": 0},
5923 {"name": "TCP_PERF_SEL_GATE_EN2", "value": 1},
5924 {"name": "TCP_PERF_SEL_TA_REQ", "value": 2},
5925 {"name": "TCP_PERF_SEL_TA_REQ_STATE_READ", "value": 3},
5926 {"name": "TCP_PERF_SEL_TA_REQ_READ", "value": 4},
5927 {"name": "TCP_PERF_SEL_TA_REQ_WRITE", "value": 5},
5928 {"name": "TCP_PERF_SEL_TA_REQ_ATOMIC_WITH_RET", "value": 6},
5929 {"name": "TCP_PERF_SEL_TA_REQ_ATOMIC_WITHOUT_RET", "value": 7},
5930 {"name": "TCP_PERF_SEL_TA_REQ_GL0_INV", "value": 8},
5931 {"name": "TCP_PERF_SEL_REQ", "value": 9},
5932 {"name": "TCP_PERF_SEL_REQ_READ", "value": 10},
5933 {"name": "TCP_PERF_SEL_REQ_READ_HIT_EVICT", "value": 11},
5934 {"name": "TCP_PERF_SEL_REQ_READ_HIT_LRU", "value": 12},
5935 {"name": "TCP_PERF_SEL_REQ_READ_MISS_EVICT", "value": 13},
5936 {"name": "TCP_PERF_SEL_REQ_WRITE", "value": 14},
5937 {"name": "TCP_PERF_SEL_REQ_WRITE_MISS_EVICT", "value": 15},
5938 {"name": "TCP_PERF_SEL_REQ_WRITE_MISS_LRU", "value": 16},
5939 {"name": "TCP_PERF_SEL_REQ_NON_READ", "value": 17},
5940 {"name": "TCP_PERF_SEL_REQ_MISS", "value": 18},
5941 {"name": "TCP_PERF_SEL_REQ_TAGBANK0", "value": 19},
5942 {"name": "TCP_PERF_SEL_REQ_TAGBANK1", "value": 20},
5943 {"name": "TCP_PERF_SEL_REQ_TAGBANK2", "value": 21},
5944 {"name": "TCP_PERF_SEL_REQ_TAGBANK3", "value": 22},
5945 {"name": "TCP_PERF_SEL_REQ_MISS_TAGBANK0", "value": 23},
5946 {"name": "TCP_PERF_SEL_REQ_MISS_TAGBANK1", "value": 24},
5947 {"name": "TCP_PERF_SEL_REQ_MISS_TAGBANK2", "value": 25},
5948 {"name": "TCP_PERF_SEL_REQ_MISS_TAGBANK3", "value": 26},
5949 {"name": "TCP_PERF_SEL_GL1_REQ_READ", "value": 27},
5950 {"name": "TCP_PERF_SEL_GL1_REQ_READ_128B", "value": 28},
5951 {"name": "TCP_PERF_SEL_GL1_REQ_READ_64B", "value": 29},
5952 {"name": "TCP_PERF_SEL_GL1_REQ_WRITE", "value": 30},
5953 {"name": "TCP_PERF_SEL_GL1_REQ_ATOMIC_WITH_RET", "value": 31},
5954 {"name": "TCP_PERF_SEL_GL1_REQ_ATOMIC_WITHOUT_RET", "value": 32},
5955 {"name": "TCP_PERF_SEL_GL1_READ_LATENCY", "value": 33},
5956 {"name": "TCP_PERF_SEL_GL1_WRITE_LATENCY", "value": 34},
5957 {"name": "TCP_PERF_SEL_TCP_LATENCY", "value": 35},
5958 {"name": "TCP_PERF_SEL_TCP_TA_REQ_STALL", "value": 36},
5959 {"name": "TCP_PERF_SEL_TA_TCP_REQ_STARVE", "value": 37},
5960 {"name": "TCP_PERF_SEL_TA_TCP_XNACK_STALL", "value": 38},
5961 {"name": "TCP_PERF_SEL_DATA_FIFO_STALL", "value": 39},
5962 {"name": "TCP_PERF_SEL_LOD_STALL", "value": 40},
5963 {"name": "TCP_PERF_SEL_POWER_STALL", "value": 41},
5964 {"name": "TCP_PERF_SEL_ALLOC_STALL", "value": 42},
5965 {"name": "TCP_PERF_SEL_UNORDERED_MTYPE_STALL", "value": 43},
5966 {"name": "TCP_PERF_SEL_READ_TAGCONFLICT_STALL", "value": 44},
5967 {"name": "TCP_PERF_SEL_WRITE_TAGCONFLICT_STALL", "value": 45},
5968 {"name": "TCP_PERF_SEL_ATOMIC_TAGCONFLICT_STALL", "value": 46},
5969 {"name": "TCP_PERF_SEL_LFIFO_STALL", "value": 47},
5970 {"name": "TCP_PERF_SEL_MEM_REQ_FIFO_STALL", "value": 48},
5971 {"name": "TCP_PERF_SEL_GL1_TCP_STALL", "value": 49},
5972 {"name": "TCP_PERF_SEL_TCP_GL1_STARVE", "value": 50},
5973 {"name": "TCP_PERF_SEL_GL1_TCP_RDRET_STALL", "value": 51},
5974 {"name": "TCP_PERF_SEL_GL1_GRANT_READ_STALL", "value": 52},
5975 {"name": "TCP_PERF_SEL_GL1_PENDING_STALL", "value": 53},
5976 {"name": "TCP_PERF_SEL_OFIFO_INCOMPLETE_STALL", "value": 54},
5977 {"name": "TCP_PERF_SEL_OFIFO_AGE_ORDER_STALL", "value": 55},
5978 {"name": "TCP_PERF_SEL_TD_DATA_CYCLE_STALL", "value": 56},
5979 {"name": "TCP_PERF_SEL_COMP_TEX_LOAD_STALL", "value": 57},
5980 {"name": "TCP_PERF_SEL_READ_DATACONFLICT_STALL", "value": 58},
5981 {"name": "TCP_PERF_SEL_WRITE_DATACONFLICT_STALL", "value": 59},
5982 {"name": "TCP_PERF_SEL_TD_TCP_STALL", "value": 60},
5983 {"name": "TCP_PERF_SEL_UTCL0_REQUEST", "value": 61},
5984 {"name": "TCP_PERF_SEL_UTCL0_TRANSLATION_MISS", "value": 62},
5985 {"name": "TCP_PERF_SEL_UTCL0_TRANSLATION_HIT", "value": 63},
5986 {"name": "TCP_PERF_SEL_UTCL0_PERMISSION_MISS", "value": 64},
5987 {"name": "TCP_PERF_SEL_UTCL0_SERIALIZATION_STALL", "value": 65},
5988 {"name": "TCP_PERF_SEL_UTCL0_STALL_INFLIGHT_MAX", "value": 66},
5989 {"name": "TCP_PERF_SEL_UTCL0_STALL_LRU_INFLIGHT", "value": 67},
5990 {"name": "TCP_PERF_SEL_UTCL0_STALL_MULTI_MISS", "value": 68},
5991 {"name": "TCP_PERF_SEL_UTCL0_STALL_LFIFO_FULL", "value": 69},
5992 {"name": "TCP_PERF_SEL_UTCL0_STALL_MISSFIFO_FULL", "value": 70},
5993 {"name": "TCP_PERF_SEL_UTCL0_STALL_LFIFO_NOT_RES", "value": 71},
5994 {"name": "TCP_PERF_SEL_UTCL0_STALL_UTCL1_REQ_OUT_OF_CREDITS", "value": 72},
5995 {"name": "TCP_PERF_SEL_CLIENT_UTCL0_INFLIGHT", "value": 73},
5996 {"name": "TCP_PERF_SEL_UTCL0_UTCL1_INFLIGHT", "value": 74},
5997 {"name": "TCP_PERF_SEL_UTCL0_UTCL1_PERM_FAULT", "value": 75}
5998 ]
5999 },
6000 "TD_PERFCOUNT_SEL": {
6001 "entries": [
6002 {"name": "TD_PERF_SEL_none", "value": 0},
6003 {"name": "TD_PERF_SEL_td_busy", "value": 1},
6004 {"name": "TD_PERF_SEL_input_busy", "value": 2},
6005 {"name": "TD_PERF_SEL_sampler_lerp_busy", "value": 3},
6006 {"name": "TD_PERF_SEL_sampler_out_busy", "value": 4},
6007 {"name": "TD_PERF_SEL_nofilter_busy", "value": 5},
6008 {"name": "TD_PERF_SEL_sampler_sclk_on_nofilter_sclk_off", "value": 6},
6009 {"name": "TD_PERF_SEL_nofilter_sclk_on_sampler_sclk_off", "value": 7},
6010 {"name": "TD_PERF_SEL_core_state_ram_max_cnt", "value": 8},
6011 {"name": "TD_PERF_SEL_core_state_rams_read", "value": 9},
6012 {"name": "TD_PERF_SEL_weight_data_rams_read", "value": 10},
6013 {"name": "TD_PERF_SEL_reference_data_rams_read", "value": 11},
6014 {"name": "TD_PERF_SEL_tc_td_ram_fifo_full", "value": 12},
6015 {"name": "TD_PERF_SEL_tc_td_ram_fifo_max_cnt", "value": 13},
6016 {"name": "TD_PERF_SEL_tc_td_data_fifo_full", "value": 14},
6017 {"name": "TD_PERF_SEL_input_state_fifo_full", "value": 15},
6018 {"name": "TD_PERF_SEL_ta_data_stall", "value": 16},
6019 {"name": "TD_PERF_SEL_tc_data_stall", "value": 17},
6020 {"name": "TD_PERF_SEL_tc_ram_stall", "value": 18},
6021 {"name": "TD_PERF_SEL_lds_stall", "value": 19},
6022 {"name": "TD_PERF_SEL_sampler_pkr_full", "value": 20},
6023 {"name": "TD_PERF_SEL_nofilter_pkr_full", "value": 21},
6024 {"name": "TD_PERF_SEL_gather4_wavefront", "value": 22},
6025 {"name": "TD_PERF_SEL_gather4h_wavefront", "value": 23},
6026 {"name": "TD_PERF_SEL_gather4h_packed_wavefront", "value": 24},
6027 {"name": "TD_PERF_SEL_gather8h_packed_wavefront", "value": 25},
6028 {"name": "TD_PERF_SEL_sample_c_wavefront", "value": 26},
6029 {"name": "TD_PERF_SEL_load_wavefront", "value": 27},
6030 {"name": "TD_PERF_SEL_ldfptr_wavefront", "value": 28},
6031 {"name": "TD_PERF_SEL_RESERVED_29", "value": 29},
6032 {"name": "TD_PERF_SEL_write_ack_wavefront", "value": 30},
6033 {"name": "TD_PERF_SEL_d16_en_wavefront", "value": 31},
6034 {"name": "TD_PERF_SEL_bypassLerp_wavefront", "value": 32},
6035 {"name": "TD_PERF_SEL_min_max_filter_wavefront", "value": 33},
6036 {"name": "TD_PERF_SEL_one_comp_wavefront", "value": 34},
6037 {"name": "TD_PERF_SEL_two_comp_wavefront", "value": 35},
6038 {"name": "TD_PERF_SEL_three_comp_wavefront", "value": 36},
6039 {"name": "TD_PERF_SEL_four_comp_wavefront", "value": 37},
6040 {"name": "TD_PERF_SEL_user_defined_border", "value": 38},
6041 {"name": "TD_PERF_SEL_white_border", "value": 39},
6042 {"name": "TD_PERF_SEL_opaque_black_border", "value": 40},
6043 {"name": "TD_PERF_SEL_lod_warn_from_ta", "value": 41},
6044 {"name": "TD_PERF_SEL_wavefront_dest_is_lds", "value": 42},
6045 {"name": "TD_PERF_SEL_td_cycling_of_nofilter_instr", "value": 43},
6046 {"name": "TD_PERF_SEL_tc_cycling_of_nofilter_instr", "value": 44},
6047 {"name": "TD_PERF_SEL_out_of_order_instr", "value": 45},
6048 {"name": "TD_PERF_SEL_total_num_instr", "value": 46},
6049 {"name": "TD_PERF_SEL_mixmode_instruction", "value": 47},
6050 {"name": "TD_PERF_SEL_mixmode_resource", "value": 48},
6051 {"name": "TD_PERF_SEL_status_packet", "value": 49},
6052 {"name": "TD_PERF_SEL_address_cmd_poison", "value": 50},
6053 {"name": "TD_PERF_SEL_data_poison", "value": 51},
6054 {"name": "TD_PERF_SEL_done_scoreboard_max_stored_cnt", "value": 52},
6055 {"name": "TD_PERF_SEL_done_scoreboard_max_waiting_cnt", "value": 53},
6056 {"name": "TD_PERF_SEL_done_scoreboard_not_empty", "value": 54},
6057 {"name": "TD_PERF_SEL_done_scoreboard_is_full", "value": 55},
6058 {"name": "TD_PERF_SEL_done_scoreboard_bp_due_to_ooo", "value": 56},
6059 {"name": "TD_PERF_SEL_done_scoreboard_bp_due_to_lds", "value": 57},
6060 {"name": "TD_PERF_SEL_nofilter_formatters_turned_on", "value": 58},
6061 {"name": "TD_PERF_SEL_nofilter_popcount_dmask_gt_num_comp_of_fmt", "value": 59},
6062 {"name": "TD_PERF_SEL_nofilter_popcount_dmask_lt_num_comp_of_fmt", "value": 60}
6063 ]
6064 },
6065 "UTCL1PerfSel": {
6066 "entries": [
6067 {"name": "UTCL1_PERF_SEL_NONE", "value": 0},
6068 {"name": "UTCL1_PERF_SEL_REQS", "value": 1},
6069 {"name": "UTCL1_PERF_SEL_HITS", "value": 2},
6070 {"name": "UTCL1_PERF_SEL_MISSES", "value": 3},
6071 {"name": "UTCL1_PERF_SEL_BYPASS_REQS", "value": 4},
6072 {"name": "UTCL1_PERF_SEL_HIT_INV_FILTER_REQS", "value": 5},
6073 {"name": "UTCL1_PERF_SEL_NUM_SMALLK_PAGES", "value": 6},
6074 {"name": "UTCL1_PERF_SEL_NUM_BIGK_PAGES", "value": 7},
6075 {"name": "UTCL1_PERF_SEL_TOTAL_UTCL2_REQS", "value": 8},
6076 {"name": "UTCL1_PERF_SEL_OUTSTANDING_UTCL2_REQS_ACCUM", "value": 9},
6077 {"name": "UTCL1_PERF_SEL_STALL_ON_UTCL2_CREDITS", "value": 10},
6078 {"name": "UTCL1_PERF_SEL_STALL_MH_OFIFO_FULL", "value": 11},
6079 {"name": "UTCL1_PERF_SEL_STALL_MH_CAM_FULL", "value": 12},
6080 {"name": "UTCL1_PERF_SEL_NONRANGE_INV_REQS", "value": 13},
6081 {"name": "UTCL1_PERF_SEL_RANGE_INV_REQS", "value": 14}
6082 ]
6083 },
6084 "VGT_DETECT_ONE": {
6085 "entries": [
6086 {"name": "ENABLE_TF1_OPT", "value": 0},
6087 {"name": "DISABLE_TF1_OPT", "value": 1}
6088 ]
6089 },
6090 "VGT_DETECT_ZERO": {
6091 "entries": [
6092 {"name": "ENABLE_TF0_OPT", "value": 0},
6093 {"name": "DISABLE_TF0_OPT", "value": 1}
6094 ]
6095 },
6096 "VGT_DIST_MODE": {
6097 "entries": [
6098 {"name": "NO_DIST", "value": 0},
6099 {"name": "PATCHES", "value": 1},
6100 {"name": "DONUTS", "value": 2},
6101 {"name": "TRAPEZOIDS", "value": 3}
6102 ]
6103 },
6104 "VGT_DI_INDEX_SIZE": {
6105 "entries": [
6106 {"name": "DI_INDEX_SIZE_16_BIT", "value": 0},
6107 {"name": "DI_INDEX_SIZE_32_BIT", "value": 1},
6108 {"name": "DI_INDEX_SIZE_8_BIT", "value": 2}
6109 ]
6110 },
6111 "VGT_DI_MAJOR_MODE_SELECT": {
6112 "entries": [
6113 {"name": "DI_MAJOR_MODE_0", "value": 0},
6114 {"name": "DI_MAJOR_MODE_1", "value": 1}
6115 ]
6116 },
6117 "VGT_DI_PRIM_TYPE": {
6118 "entries": [
6119 {"name": "DI_PT_NONE", "value": 0},
6120 {"name": "DI_PT_POINTLIST", "value": 1},
6121 {"name": "DI_PT_LINELIST", "value": 2},
6122 {"name": "DI_PT_LINESTRIP", "value": 3},
6123 {"name": "DI_PT_TRILIST", "value": 4},
6124 {"name": "DI_PT_TRIFAN", "value": 5},
6125 {"name": "DI_PT_TRISTRIP", "value": 6},
6126 {"name": "DI_PT_2D_RECTANGLE", "value": 7},
6127 {"name": "DI_PT_UNUSED_1", "value": 8},
6128 {"name": "DI_PT_PATCH", "value": 9},
6129 {"name": "DI_PT_LINELIST_ADJ", "value": 10},
6130 {"name": "DI_PT_LINESTRIP_ADJ", "value": 11},
6131 {"name": "DI_PT_TRILIST_ADJ", "value": 12},
6132 {"name": "DI_PT_TRISTRIP_ADJ", "value": 13},
6133 {"name": "DI_PT_UNUSED_3", "value": 14},
6134 {"name": "DI_PT_UNUSED_4", "value": 15},
6135 {"name": "DI_PT_UNUSED_5", "value": 16},
6136 {"name": "DI_PT_RECTLIST", "value": 17},
6137 {"name": "DI_PT_LINELOOP", "value": 18},
6138 {"name": "DI_PT_QUADLIST", "value": 19},
6139 {"name": "DI_PT_QUADSTRIP", "value": 20},
6140 {"name": "DI_PT_POLYGON", "value": 21}
6141 ]
6142 },
6143 "VGT_DI_SOURCE_SELECT": {
6144 "entries": [
6145 {"name": "DI_SRC_SEL_DMA", "value": 0},
6146 {"name": "DI_SRC_SEL_IMMEDIATE", "value": 1},
6147 {"name": "DI_SRC_SEL_AUTO_INDEX", "value": 2},
6148 {"name": "DI_SRC_SEL_RESERVED", "value": 3}
6149 ]
6150 },
6151 "VGT_DMA_BUF_TYPE": {
6152 "entries": [
6153 {"name": "VGT_DMA_BUF_MEM", "value": 0},
6154 {"name": "VGT_DMA_BUF_RING", "value": 1},
6155 {"name": "VGT_DMA_BUF_SETUP", "value": 2},
6156 {"name": "VGT_DMA_PTR_UPDATE", "value": 3}
6157 ]
6158 },
6159 "VGT_DMA_SWAP_MODE": {
6160 "entries": [
6161 {"name": "VGT_DMA_SWAP_NONE", "value": 0},
6162 {"name": "VGT_DMA_SWAP_16_BIT", "value": 1},
6163 {"name": "VGT_DMA_SWAP_32_BIT", "value": 2},
6164 {"name": "VGT_DMA_SWAP_WORD", "value": 3}
6165 ]
6166 },
6167 "VGT_EVENT_TYPE": {
6168 "entries": [
6169 {"name": "Reserved_0x00", "value": 0},
6170 {"name": "SAMPLE_STREAMOUTSTATS1", "value": 1},
6171 {"name": "SAMPLE_STREAMOUTSTATS2", "value": 2},
6172 {"name": "SAMPLE_STREAMOUTSTATS3", "value": 3},
6173 {"name": "CACHE_FLUSH_TS", "value": 4},
6174 {"name": "CONTEXT_DONE", "value": 5},
6175 {"name": "CACHE_FLUSH", "value": 6},
6176 {"name": "CS_PARTIAL_FLUSH", "value": 7},
6177 {"name": "VGT_STREAMOUT_SYNC", "value": 8},
6178 {"name": "Reserved_0x09", "value": 9},
6179 {"name": "VGT_STREAMOUT_RESET", "value": 10},
6180 {"name": "END_OF_PIPE_INCR_DE", "value": 11},
6181 {"name": "END_OF_PIPE_IB_END", "value": 12},
6182 {"name": "RST_PIX_CNT", "value": 13},
6183 {"name": "BREAK_BATCH", "value": 14},
6184 {"name": "VS_PARTIAL_FLUSH", "value": 15},
6185 {"name": "PS_PARTIAL_FLUSH", "value": 16},
6186 {"name": "FLUSH_HS_OUTPUT", "value": 17},
6187 {"name": "FLUSH_DFSM", "value": 18},
6188 {"name": "RESET_TO_LOWEST_VGT", "value": 19},
6189 {"name": "CACHE_FLUSH_AND_INV_TS_EVENT", "value": 20},
6190 {"name": "ZPASS_DONE", "value": 21},
6191 {"name": "CACHE_FLUSH_AND_INV_EVENT", "value": 22},
6192 {"name": "PERFCOUNTER_START", "value": 23},
6193 {"name": "PERFCOUNTER_STOP", "value": 24},
6194 {"name": "PIPELINESTAT_START", "value": 25},
6195 {"name": "PIPELINESTAT_STOP", "value": 26},
6196 {"name": "PERFCOUNTER_SAMPLE", "value": 27},
6197 {"name": "FLUSH_ES_OUTPUT", "value": 28},
6198 {"name": "BIN_CONF_OVERRIDE_CHECK", "value": 29},
6199 {"name": "SAMPLE_PIPELINESTAT", "value": 30},
6200 {"name": "SO_VGTSTREAMOUT_FLUSH", "value": 31},
6201 {"name": "SAMPLE_STREAMOUTSTATS", "value": 32},
6202 {"name": "RESET_VTX_CNT", "value": 33},
6203 {"name": "BLOCK_CONTEXT_DONE", "value": 34},
6204 {"name": "CS_CONTEXT_DONE", "value": 35},
6205 {"name": "VGT_FLUSH", "value": 36},
6206 {"name": "TGID_ROLLOVER", "value": 37},
6207 {"name": "SQ_NON_EVENT", "value": 38},
6208 {"name": "SC_SEND_DB_VPZ", "value": 39},
6209 {"name": "BOTTOM_OF_PIPE_TS", "value": 40},
6210 {"name": "FLUSH_SX_TS", "value": 41},
6211 {"name": "DB_CACHE_FLUSH_AND_INV", "value": 42},
6212 {"name": "FLUSH_AND_INV_DB_DATA_TS", "value": 43},
6213 {"name": "FLUSH_AND_INV_DB_META", "value": 44},
6214 {"name": "FLUSH_AND_INV_CB_DATA_TS", "value": 45},
6215 {"name": "FLUSH_AND_INV_CB_META", "value": 46},
6216 {"name": "CS_DONE", "value": 47},
6217 {"name": "PS_DONE", "value": 48},
6218 {"name": "FLUSH_AND_INV_CB_PIXEL_DATA", "value": 49},
6219 {"name": "SX_CB_RAT_ACK_REQUEST", "value": 50},
6220 {"name": "THREAD_TRACE_START", "value": 51},
6221 {"name": "THREAD_TRACE_STOP", "value": 52},
6222 {"name": "THREAD_TRACE_MARKER", "value": 53},
6223 {"name": "THREAD_TRACE_DRAW", "value": 54},
6224 {"name": "THREAD_TRACE_FINISH", "value": 55},
6225 {"name": "PIXEL_PIPE_STAT_CONTROL", "value": 56},
6226 {"name": "PIXEL_PIPE_STAT_DUMP", "value": 57},
6227 {"name": "PIXEL_PIPE_STAT_RESET", "value": 58},
6228 {"name": "CONTEXT_SUSPEND", "value": 59},
6229 {"name": "OFFCHIP_HS_DEALLOC", "value": 60},
6230 {"name": "ENABLE_NGG_PIPELINE", "value": 61},
6231 {"name": "ENABLE_LEGACY_PIPELINE", "value": 62},
6232 {"name": "DRAW_DONE", "value": 63}
6233 ]
6234 },
6235 "VGT_GS_CUT_MODE": {
6236 "entries": [
6237 {"name": "GS_CUT_1024", "value": 0},
6238 {"name": "GS_CUT_512", "value": 1},
6239 {"name": "GS_CUT_256", "value": 2},
6240 {"name": "GS_CUT_128", "value": 3}
6241 ]
6242 },
6243 "VGT_GS_MODE_TYPE": {
6244 "entries": [
6245 {"name": "GS_OFF", "value": 0},
6246 {"name": "GS_SCENARIO_A", "value": 1},
6247 {"name": "GS_SCENARIO_B", "value": 2},
6248 {"name": "GS_SCENARIO_G", "value": 3},
6249 {"name": "GS_SCENARIO_C", "value": 4},
6250 {"name": "SPRITE_EN", "value": 5}
6251 ]
6252 },
6253 "VGT_GS_OUT_PRIM_TYPE__OUTPRIM_TYPE": {
6254 "entries": [
6255 {"name": "OUTPRIM_TYPE_POINTLIST", "value": 0},
6256 {"name": "OUTPRIM_TYPE_LINESTRIP", "value": 1},
6257 {"name": "OUTPRIM_TYPE_TRISTRIP", "value": 2},
6258 {"name": "VGT_OUT_RECT_V0", "value": 3}
6259 ]
6260 },
6261 "VGT_INDEX_TYPE_MODE": {
6262 "entries": [
6263 {"name": "VGT_INDEX_16", "value": 0},
6264 {"name": "VGT_INDEX_32", "value": 1},
6265 {"name": "VGT_INDEX_8", "value": 2}
6266 ]
6267 },
6268 "VGT_RDREQ_POLICY": {
6269 "entries": [
6270 {"name": "VGT_POLICY_LRU", "value": 0},
6271 {"name": "VGT_POLICY_STREAM", "value": 1},
6272 {"name": "VGT_POLICY_BYPASS", "value": 2}
6273 ]
6274 },
6275 "VGT_STAGES_ES_EN": {
6276 "entries": [
6277 {"name": "ES_STAGE_OFF", "value": 0},
6278 {"name": "ES_STAGE_DS", "value": 1},
6279 {"name": "ES_STAGE_REAL", "value": 2},
6280 {"name": "RESERVED_ES", "value": 3}
6281 ]
6282 },
6283 "VGT_STAGES_GS_EN": {
6284 "entries": [
6285 {"name": "GS_STAGE_OFF", "value": 0},
6286 {"name": "GS_STAGE_ON", "value": 1}
6287 ]
6288 },
6289 "VGT_STAGES_HS_EN": {
6290 "entries": [
6291 {"name": "HS_STAGE_OFF", "value": 0},
6292 {"name": "HS_STAGE_ON", "value": 1}
6293 ]
6294 },
6295 "VGT_STAGES_LS_EN": {
6296 "entries": [
6297 {"name": "LS_STAGE_OFF", "value": 0},
6298 {"name": "LS_STAGE_ON", "value": 1},
6299 {"name": "CS_STAGE_ON", "value": 2},
6300 {"name": "RESERVED_LS", "value": 3}
6301 ]
6302 },
6303 "VGT_STAGES_VS_EN": {
6304 "entries": [
6305 {"name": "VS_STAGE_REAL", "value": 0},
6306 {"name": "VS_STAGE_DS", "value": 1},
6307 {"name": "VS_STAGE_COPY_SHADER", "value": 2},
6308 {"name": "RESERVED_VS", "value": 3}
6309 ]
6310 },
6311 "VGT_TESS_PARTITION": {
6312 "entries": [
6313 {"name": "PART_INTEGER", "value": 0},
6314 {"name": "PART_POW2", "value": 1},
6315 {"name": "PART_FRAC_ODD", "value": 2},
6316 {"name": "PART_FRAC_EVEN", "value": 3}
6317 ]
6318 },
6319 "VGT_TESS_TOPOLOGY": {
6320 "entries": [
6321 {"name": "OUTPUT_POINT", "value": 0},
6322 {"name": "OUTPUT_LINE", "value": 1},
6323 {"name": "OUTPUT_TRIANGLE_CW", "value": 2},
6324 {"name": "OUTPUT_TRIANGLE_CCW", "value": 3}
6325 ]
6326 },
6327 "VGT_TESS_TYPE": {
6328 "entries": [
6329 {"name": "TESS_ISOLINE", "value": 0},
6330 {"name": "TESS_TRIANGLE", "value": 1},
6331 {"name": "TESS_QUAD", "value": 2}
6332 ]
6333 },
6334 "ZLimitSumm": {
6335 "entries": [
6336 {"name": "FORCE_SUMM_OFF", "value": 0},
6337 {"name": "FORCE_SUMM_MINZ", "value": 1},
6338 {"name": "FORCE_SUMM_MAXZ", "value": 2},
6339 {"name": "FORCE_SUMM_BOTH", "value": 3}
6340 ]
6341 },
6342 "ZOrder": {
6343 "entries": [
6344 {"name": "LATE_Z", "value": 0},
6345 {"name": "EARLY_Z_THEN_LATE_Z", "value": 1},
6346 {"name": "RE_Z", "value": 2},
6347 {"name": "EARLY_Z_THEN_RE_Z", "value": 3}
6348 ]
6349 },
6350 "ThreadTraceRegInclude": {
6351 "entries": [
6352 {"name": "REG_INCLUDE_SQDEC", "value": 1},
6353 {"name": "REG_INCLUDE_SHDEC", "value": 2},
6354 {"name": "REG_INCLUDE_GFXUDEC", "value": 4},
6355 {"name": "REG_INCLUDE_COMP", "value": 8},
6356 {"name": "REG_INCLUDE_CONTEXT", "value": 16},
6357 {"name": "REG_INCLUDE_CONFIG", "value": 32},
6358 {"name": "REG_INCLUDE_OTHER", "value": 64},
6359 {"name": "REG_INCLUDE_READS", "value": 128}
6360 ]
6361 },
6362 "ThreadTraceTokenExclude": {
6363 "entries": [
6364 {"name": "TOKEN_EXCLUDE_VMEMEXEC", "value": 1},
6365 {"name": "TOKEN_EXCLUDE_ALUEXEC", "value": 2},
6366 {"name": "TOKEN_EXCLUDE_VALUINST", "value": 4},
6367 {"name": "TOKEN_EXCLUDE_WAVERDY", "value": 8},
6368 {"name": "TOKEN_EXCLUDE_IMMED1", "value": 16},
6369 {"name": "TOKEN_EXCLUDE_IMMEDIATE", "value": 32},
6370 {"name": "TOKEN_EXCLUDE_REG", "value": 64},
6371 {"name": "TOKEN_EXCLUDE_EVENT", "value": 128},
6372 {"name": "TOKEN_EXCLUDE_INST", "value": 256},
6373 {"name": "TOKEN_EXCLUDE_UTILCTR", "value": 512},
6374 {"name": "TOKEN_EXCLUDE_WAVEALLOC", "value": 1024},
6375 {"name": "TOKEN_EXCLUDE_PERF", "value": 2048}
6376 ]
6377 }
6378 },
6379 "register_mappings": [
6380 {
6381 "chips": ["gfx10"],
6382 "map": {"at": 36096, "to": "mm"},
6383 "name": "SQ_THREAD_TRACE_BUF0_BASE",
6384 "type_ref": "SQ_THREAD_TRACE_BUF0_BASE"
6385 },
6386 {
6387 "chips": ["gfx10"],
6388 "map": {"at": 36100, "to": "mm"},
6389 "name": "SQ_THREAD_TRACE_BUF0_SIZE",
6390 "type_ref": "SQ_THREAD_TRACE_BUF0_SIZE"
6391 },
6392 {
6393 "chips": ["gfx10"],
6394 "map": {"at": 36112, "to": "mm"},
6395 "name": "SQ_THREAD_TRACE_WPTR",
6396 "type_ref": "SQ_THREAD_TRACE_WPTR"
6397 },
6398 {
6399 "chips": ["gfx10"],
6400 "map": {"at": 36116, "to": "mm"},
6401 "name": "SQ_THREAD_TRACE_MASK",
6402 "type_ref": "SQ_THREAD_TRACE_MASK"
6403 },
6404 {
6405 "chips": ["gfx10"],
6406 "map": {"at": 36120, "to": "mm"},
6407 "name": "SQ_THREAD_TRACE_TOKEN_MASK",
6408 "type_ref": "SQ_THREAD_TRACE_TOKEN_MASK"
6409 },
6410 {
6411 "chips": ["gfx10"],
6412 "map": {"at": 36124, "to": "mm"},
6413 "name": "SQ_THREAD_TRACE_CTRL",
6414 "type_ref": "SQ_THREAD_TRACE_CTRL"
6415 },
6416 {
6417 "chips": ["gfx10"],
6418 "map": {"at": 36128, "to": "mm"},
6419 "name": "SQ_THREAD_TRACE_STATUS",
6420 "type_ref": "SQ_THREAD_TRACE_STATUS"
6421 },
6422 {
6423 "chips": ["gfx10"],
6424 "map": {"at": 36132, "to": "mm"},
6425 "name": "SQ_THREAD_TRACE_DROPPED_CNTR",
6426 "type_ref": "SQ_THREAD_TRACE_DROPPED_CNTR"
6427 },
6428 {
6429 "chips": ["gfx10"],
6430 "map": {"at": 37804, "to": "mm"},
6431 "name": "BCI_DEBUG_READ",
6432 "type_ref": "BCI_DEBUG_READ"
6433 },
6434 {
6435 "chips": ["gfx10"],
6436 "map": {"at": 165760, "to": "mm"},
6437 "name": "CB_BLEND0_CONTROL",
6438 "type_ref": "CB_BLEND0_CONTROL"
6439 },
6440 {
6441 "chips": ["gfx10"],
6442 "map": {"at": 165764, "to": "mm"},
6443 "name": "CB_BLEND1_CONTROL",
6444 "type_ref": "CB_BLEND0_CONTROL"
6445 },
6446 {
6447 "chips": ["gfx10"],
6448 "map": {"at": 165768, "to": "mm"},
6449 "name": "CB_BLEND2_CONTROL",
6450 "type_ref": "CB_BLEND0_CONTROL"
6451 },
6452 {
6453 "chips": ["gfx10"],
6454 "map": {"at": 165772, "to": "mm"},
6455 "name": "CB_BLEND3_CONTROL",
6456 "type_ref": "CB_BLEND0_CONTROL"
6457 },
6458 {
6459 "chips": ["gfx10"],
6460 "map": {"at": 165776, "to": "mm"},
6461 "name": "CB_BLEND4_CONTROL",
6462 "type_ref": "CB_BLEND0_CONTROL"
6463 },
6464 {
6465 "chips": ["gfx10"],
6466 "map": {"at": 165780, "to": "mm"},
6467 "name": "CB_BLEND5_CONTROL",
6468 "type_ref": "CB_BLEND0_CONTROL"
6469 },
6470 {
6471 "chips": ["gfx10"],
6472 "map": {"at": 165784, "to": "mm"},
6473 "name": "CB_BLEND6_CONTROL",
6474 "type_ref": "CB_BLEND0_CONTROL"
6475 },
6476 {
6477 "chips": ["gfx10"],
6478 "map": {"at": 165788, "to": "mm"},
6479 "name": "CB_BLEND7_CONTROL",
6480 "type_ref": "CB_BLEND0_CONTROL"
6481 },
6482 {
6483 "chips": ["gfx10"],
6484 "map": {"at": 164896, "to": "mm"},
6485 "name": "CB_BLEND_ALPHA",
6486 "type_ref": "CB_BLEND_ALPHA"
6487 },
6488 {
6489 "chips": ["gfx10"],
6490 "map": {"at": 164892, "to": "mm"},
6491 "name": "CB_BLEND_BLUE",
6492 "type_ref": "CB_BLEND_BLUE"
6493 },
6494 {
6495 "chips": ["gfx10"],
6496 "map": {"at": 164888, "to": "mm"},
6497 "name": "CB_BLEND_GREEN",
6498 "type_ref": "CB_BLEND_GREEN"
6499 },
6500 {
6501 "chips": ["gfx10"],
6502 "map": {"at": 164884, "to": "mm"},
6503 "name": "CB_BLEND_RED",
6504 "type_ref": "CB_BLEND_RED"
6505 },
6506 {
6507 "chips": ["gfx10"],
6508 "map": {"at": 167028, "to": "mm"},
6509 "name": "CB_COLOR0_ATTRIB",
6510 "type_ref": "CB_COLOR0_ATTRIB"
6511 },
6512 {
6513 "chips": ["gfx10"],
6514 "map": {"at": 167616, "to": "mm"},
6515 "name": "CB_COLOR0_ATTRIB2",
6516 "type_ref": "CB_COLOR0_ATTRIB2"
6517 },
6518 {
6519 "chips": ["gfx10"],
6520 "map": {"at": 167648, "to": "mm"},
6521 "name": "CB_COLOR0_ATTRIB3",
6522 "type_ref": "CB_COLOR0_ATTRIB3"
6523 },
6524 {
6525 "chips": ["gfx10"],
6526 "map": {"at": 167008, "to": "mm"},
6527 "name": "CB_COLOR0_BASE",
6528 "type_ref": "CB_COLOR0_BASE"
6529 },
6530 {
6531 "chips": ["gfx10"],
6532 "map": {"at": 167488, "to": "mm"},
6533 "name": "CB_COLOR0_BASE_EXT",
6534 "type_ref": "CB_COLOR0_BASE_EXT"
6535 },
6536 {
6537 "chips": ["gfx10"],
6538 "map": {"at": 167052, "to": "mm"},
6539 "name": "CB_COLOR0_CLEAR_WORD0",
6540 "type_ref": "CB_COLOR0_CLEAR_WORD0"
6541 },
6542 {
6543 "chips": ["gfx10"],
6544 "map": {"at": 167056, "to": "mm"},
6545 "name": "CB_COLOR0_CLEAR_WORD1",
6546 "type_ref": "CB_COLOR0_CLEAR_WORD1"
6547 },
6548 {
6549 "chips": ["gfx10"],
6550 "map": {"at": 167036, "to": "mm"},
6551 "name": "CB_COLOR0_CMASK",
6552 "type_ref": "CB_COLOR0_BASE"
6553 },
6554 {
6555 "chips": ["gfx10"],
6556 "map": {"at": 167520, "to": "mm"},
6557 "name": "CB_COLOR0_CMASK_BASE_EXT",
6558 "type_ref": "CB_COLOR0_BASE_EXT"
6559 },
6560 {
6561 "chips": ["gfx10"],
6562 "map": {"at": 167060, "to": "mm"},
6563 "name": "CB_COLOR0_DCC_BASE",
6564 "type_ref": "CB_COLOR0_BASE"
6565 },
6566 {
6567 "chips": ["gfx10"],
6568 "map": {"at": 167584, "to": "mm"},
6569 "name": "CB_COLOR0_DCC_BASE_EXT",
6570 "type_ref": "CB_COLOR0_BASE_EXT"
6571 },
6572 {
6573 "chips": ["gfx10"],
6574 "map": {"at": 167032, "to": "mm"},
6575 "name": "CB_COLOR0_DCC_CONTROL",
6576 "type_ref": "CB_COLOR0_DCC_CONTROL"
6577 },
6578 {
6579 "chips": ["gfx10"],
6580 "map": {"at": 167044, "to": "mm"},
6581 "name": "CB_COLOR0_FMASK",
6582 "type_ref": "CB_COLOR0_BASE"
6583 },
6584 {
6585 "chips": ["gfx10"],
6586 "map": {"at": 167552, "to": "mm"},
6587 "name": "CB_COLOR0_FMASK_BASE_EXT",
6588 "type_ref": "CB_COLOR0_BASE_EXT"
6589 },
6590 {
6591 "chips": ["gfx10"],
6592 "map": {"at": 167024, "to": "mm"},
6593 "name": "CB_COLOR0_INFO",
6594 "type_ref": "CB_COLOR0_INFO"
6595 },
6596 {
6597 "chips": ["gfx10"],
6598 "map": {"at": 167020, "to": "mm"},
6599 "name": "CB_COLOR0_VIEW",
6600 "type_ref": "CB_COLOR0_VIEW"
6601 },
6602 {
6603 "chips": ["gfx10"],
6604 "map": {"at": 167088, "to": "mm"},
6605 "name": "CB_COLOR1_ATTRIB",
6606 "type_ref": "CB_COLOR0_ATTRIB"
6607 },
6608 {
6609 "chips": ["gfx10"],
6610 "map": {"at": 167620, "to": "mm"},
6611 "name": "CB_COLOR1_ATTRIB2",
6612 "type_ref": "CB_COLOR0_ATTRIB2"
6613 },
6614 {
6615 "chips": ["gfx10"],
6616 "map": {"at": 167652, "to": "mm"},
6617 "name": "CB_COLOR1_ATTRIB3",
6618 "type_ref": "CB_COLOR0_ATTRIB3"
6619 },
6620 {
6621 "chips": ["gfx10"],
6622 "map": {"at": 167068, "to": "mm"},
6623 "name": "CB_COLOR1_BASE",
6624 "type_ref": "CB_COLOR0_BASE"
6625 },
6626 {
6627 "chips": ["gfx10"],
6628 "map": {"at": 167492, "to": "mm"},
6629 "name": "CB_COLOR1_BASE_EXT",
6630 "type_ref": "CB_COLOR0_BASE_EXT"
6631 },
6632 {
6633 "chips": ["gfx10"],
6634 "map": {"at": 167112, "to": "mm"},
6635 "name": "CB_COLOR1_CLEAR_WORD0",
6636 "type_ref": "CB_COLOR0_CLEAR_WORD0"
6637 },
6638 {
6639 "chips": ["gfx10"],
6640 "map": {"at": 167116, "to": "mm"},
6641 "name": "CB_COLOR1_CLEAR_WORD1",
6642 "type_ref": "CB_COLOR0_CLEAR_WORD1"
6643 },
6644 {
6645 "chips": ["gfx10"],
6646 "map": {"at": 167096, "to": "mm"},
6647 "name": "CB_COLOR1_CMASK",
6648 "type_ref": "CB_COLOR0_BASE"
6649 },
6650 {
6651 "chips": ["gfx10"],
6652 "map": {"at": 167524, "to": "mm"},
6653 "name": "CB_COLOR1_CMASK_BASE_EXT",
6654 "type_ref": "CB_COLOR0_BASE_EXT"
6655 },
6656 {
6657 "chips": ["gfx10"],
6658 "map": {"at": 167120, "to": "mm"},
6659 "name": "CB_COLOR1_DCC_BASE",
6660 "type_ref": "CB_COLOR0_BASE"
6661 },
6662 {
6663 "chips": ["gfx10"],
6664 "map": {"at": 167588, "to": "mm"},
6665 "name": "CB_COLOR1_DCC_BASE_EXT",
6666 "type_ref": "CB_COLOR0_BASE_EXT"
6667 },
6668 {
6669 "chips": ["gfx10"],
6670 "map": {"at": 167092, "to": "mm"},
6671 "name": "CB_COLOR1_DCC_CONTROL",
6672 "type_ref": "CB_COLOR0_DCC_CONTROL"
6673 },
6674 {
6675 "chips": ["gfx10"],
6676 "map": {"at": 167104, "to": "mm"},
6677 "name": "CB_COLOR1_FMASK",
6678 "type_ref": "CB_COLOR0_BASE"
6679 },
6680 {
6681 "chips": ["gfx10"],
6682 "map": {"at": 167556, "to": "mm"},
6683 "name": "CB_COLOR1_FMASK_BASE_EXT",
6684 "type_ref": "CB_COLOR0_BASE_EXT"
6685 },
6686 {
6687 "chips": ["gfx10"],
6688 "map": {"at": 167084, "to": "mm"},
6689 "name": "CB_COLOR1_INFO",
6690 "type_ref": "CB_COLOR0_INFO"
6691 },
6692 {
6693 "chips": ["gfx10"],
6694 "map": {"at": 167080, "to": "mm"},
6695 "name": "CB_COLOR1_VIEW",
6696 "type_ref": "CB_COLOR0_VIEW"
6697 },
6698 {
6699 "chips": ["gfx10"],
6700 "map": {"at": 167148, "to": "mm"},
6701 "name": "CB_COLOR2_ATTRIB",
6702 "type_ref": "CB_COLOR0_ATTRIB"
6703 },
6704 {
6705 "chips": ["gfx10"],
6706 "map": {"at": 167624, "to": "mm"},
6707 "name": "CB_COLOR2_ATTRIB2",
6708 "type_ref": "CB_COLOR0_ATTRIB2"
6709 },
6710 {
6711 "chips": ["gfx10"],
6712 "map": {"at": 167656, "to": "mm"},
6713 "name": "CB_COLOR2_ATTRIB3",
6714 "type_ref": "CB_COLOR0_ATTRIB3"
6715 },
6716 {
6717 "chips": ["gfx10"],
6718 "map": {"at": 167128, "to": "mm"},
6719 "name": "CB_COLOR2_BASE",
6720 "type_ref": "CB_COLOR0_BASE"
6721 },
6722 {
6723 "chips": ["gfx10"],
6724 "map": {"at": 167496, "to": "mm"},
6725 "name": "CB_COLOR2_BASE_EXT",
6726 "type_ref": "CB_COLOR0_BASE_EXT"
6727 },
6728 {
6729 "chips": ["gfx10"],
6730 "map": {"at": 167172, "to": "mm"},
6731 "name": "CB_COLOR2_CLEAR_WORD0",
6732 "type_ref": "CB_COLOR0_CLEAR_WORD0"
6733 },
6734 {
6735 "chips": ["gfx10"],
6736 "map": {"at": 167176, "to": "mm"},
6737 "name": "CB_COLOR2_CLEAR_WORD1",
6738 "type_ref": "CB_COLOR0_CLEAR_WORD1"
6739 },
6740 {
6741 "chips": ["gfx10"],
6742 "map": {"at": 167156, "to": "mm"},
6743 "name": "CB_COLOR2_CMASK",
6744 "type_ref": "CB_COLOR0_BASE"
6745 },
6746 {
6747 "chips": ["gfx10"],
6748 "map": {"at": 167528, "to": "mm"},
6749 "name": "CB_COLOR2_CMASK_BASE_EXT",
6750 "type_ref": "CB_COLOR0_BASE_EXT"
6751 },
6752 {
6753 "chips": ["gfx10"],
6754 "map": {"at": 167180, "to": "mm"},
6755 "name": "CB_COLOR2_DCC_BASE",
6756 "type_ref": "CB_COLOR0_BASE"
6757 },
6758 {
6759 "chips": ["gfx10"],
6760 "map": {"at": 167592, "to": "mm"},
6761 "name": "CB_COLOR2_DCC_BASE_EXT",
6762 "type_ref": "CB_COLOR0_BASE_EXT"
6763 },
6764 {
6765 "chips": ["gfx10"],
6766 "map": {"at": 167152, "to": "mm"},
6767 "name": "CB_COLOR2_DCC_CONTROL",
6768 "type_ref": "CB_COLOR0_DCC_CONTROL"
6769 },
6770 {
6771 "chips": ["gfx10"],
6772 "map": {"at": 167164, "to": "mm"},
6773 "name": "CB_COLOR2_FMASK",
6774 "type_ref": "CB_COLOR0_BASE"
6775 },
6776 {
6777 "chips": ["gfx10"],
6778 "map": {"at": 167560, "to": "mm"},
6779 "name": "CB_COLOR2_FMASK_BASE_EXT",
6780 "type_ref": "CB_COLOR0_BASE_EXT"
6781 },
6782 {
6783 "chips": ["gfx10"],
6784 "map": {"at": 167144, "to": "mm"},
6785 "name": "CB_COLOR2_INFO",
6786 "type_ref": "CB_COLOR0_INFO"
6787 },
6788 {
6789 "chips": ["gfx10"],
6790 "map": {"at": 167140, "to": "mm"},
6791 "name": "CB_COLOR2_VIEW",
6792 "type_ref": "CB_COLOR0_VIEW"
6793 },
6794 {
6795 "chips": ["gfx10"],
6796 "map": {"at": 167208, "to": "mm"},
6797 "name": "CB_COLOR3_ATTRIB",
6798 "type_ref": "CB_COLOR0_ATTRIB"
6799 },
6800 {
6801 "chips": ["gfx10"],
6802 "map": {"at": 167628, "to": "mm"},
6803 "name": "CB_COLOR3_ATTRIB2",
6804 "type_ref": "CB_COLOR0_ATTRIB2"
6805 },
6806 {
6807 "chips": ["gfx10"],
6808 "map": {"at": 167660, "to": "mm"},
6809 "name": "CB_COLOR3_ATTRIB3",
6810 "type_ref": "CB_COLOR0_ATTRIB3"
6811 },
6812 {
6813 "chips": ["gfx10"],
6814 "map": {"at": 167188, "to": "mm"},
6815 "name": "CB_COLOR3_BASE",
6816 "type_ref": "CB_COLOR0_BASE"
6817 },
6818 {
6819 "chips": ["gfx10"],
6820 "map": {"at": 167500, "to": "mm"},
6821 "name": "CB_COLOR3_BASE_EXT",
6822 "type_ref": "CB_COLOR0_BASE_EXT"
6823 },
6824 {
6825 "chips": ["gfx10"],
6826 "map": {"at": 167232, "to": "mm"},
6827 "name": "CB_COLOR3_CLEAR_WORD0",
6828 "type_ref": "CB_COLOR0_CLEAR_WORD0"
6829 },
6830 {
6831 "chips": ["gfx10"],
6832 "map": {"at": 167236, "to": "mm"},
6833 "name": "CB_COLOR3_CLEAR_WORD1",
6834 "type_ref": "CB_COLOR0_CLEAR_WORD1"
6835 },
6836 {
6837 "chips": ["gfx10"],
6838 "map": {"at": 167216, "to": "mm"},
6839 "name": "CB_COLOR3_CMASK",
6840 "type_ref": "CB_COLOR0_BASE"
6841 },
6842 {
6843 "chips": ["gfx10"],
6844 "map": {"at": 167532, "to": "mm"},
6845 "name": "CB_COLOR3_CMASK_BASE_EXT",
6846 "type_ref": "CB_COLOR0_BASE_EXT"
6847 },
6848 {
6849 "chips": ["gfx10"],
6850 "map": {"at": 167240, "to": "mm"},
6851 "name": "CB_COLOR3_DCC_BASE",
6852 "type_ref": "CB_COLOR0_BASE"
6853 },
6854 {
6855 "chips": ["gfx10"],
6856 "map": {"at": 167596, "to": "mm"},
6857 "name": "CB_COLOR3_DCC_BASE_EXT",
6858 "type_ref": "CB_COLOR0_BASE_EXT"
6859 },
6860 {
6861 "chips": ["gfx10"],
6862 "map": {"at": 167212, "to": "mm"},
6863 "name": "CB_COLOR3_DCC_CONTROL",
6864 "type_ref": "CB_COLOR0_DCC_CONTROL"
6865 },
6866 {
6867 "chips": ["gfx10"],
6868 "map": {"at": 167224, "to": "mm"},
6869 "name": "CB_COLOR3_FMASK",
6870 "type_ref": "CB_COLOR0_BASE"
6871 },
6872 {
6873 "chips": ["gfx10"],
6874 "map": {"at": 167564, "to": "mm"},
6875 "name": "CB_COLOR3_FMASK_BASE_EXT",
6876 "type_ref": "CB_COLOR0_BASE_EXT"
6877 },
6878 {
6879 "chips": ["gfx10"],
6880 "map": {"at": 167204, "to": "mm"},
6881 "name": "CB_COLOR3_INFO",
6882 "type_ref": "CB_COLOR0_INFO"
6883 },
6884 {
6885 "chips": ["gfx10"],
6886 "map": {"at": 167200, "to": "mm"},
6887 "name": "CB_COLOR3_VIEW",
6888 "type_ref": "CB_COLOR0_VIEW"
6889 },
6890 {
6891 "chips": ["gfx10"],
6892 "map": {"at": 167268, "to": "mm"},
6893 "name": "CB_COLOR4_ATTRIB",
6894 "type_ref": "CB_COLOR0_ATTRIB"
6895 },
6896 {
6897 "chips": ["gfx10"],
6898 "map": {"at": 167632, "to": "mm"},
6899 "name": "CB_COLOR4_ATTRIB2",
6900 "type_ref": "CB_COLOR0_ATTRIB2"
6901 },
6902 {
6903 "chips": ["gfx10"],
6904 "map": {"at": 167664, "to": "mm"},
6905 "name": "CB_COLOR4_ATTRIB3",
6906 "type_ref": "CB_COLOR0_ATTRIB3"
6907 },
6908 {
6909 "chips": ["gfx10"],
6910 "map": {"at": 167248, "to": "mm"},
6911 "name": "CB_COLOR4_BASE",
6912 "type_ref": "CB_COLOR0_BASE"
6913 },
6914 {
6915 "chips": ["gfx10"],
6916 "map": {"at": 167504, "to": "mm"},
6917 "name": "CB_COLOR4_BASE_EXT",
6918 "type_ref": "CB_COLOR0_BASE_EXT"
6919 },
6920 {
6921 "chips": ["gfx10"],
6922 "map": {"at": 167292, "to": "mm"},
6923 "name": "CB_COLOR4_CLEAR_WORD0",
6924 "type_ref": "CB_COLOR0_CLEAR_WORD0"
6925 },
6926 {
6927 "chips": ["gfx10"],
6928 "map": {"at": 167296, "to": "mm"},
6929 "name": "CB_COLOR4_CLEAR_WORD1",
6930 "type_ref": "CB_COLOR0_CLEAR_WORD1"
6931 },
6932 {
6933 "chips": ["gfx10"],
6934 "map": {"at": 167276, "to": "mm"},
6935 "name": "CB_COLOR4_CMASK",
6936 "type_ref": "CB_COLOR0_BASE"
6937 },
6938 {
6939 "chips": ["gfx10"],
6940 "map": {"at": 167536, "to": "mm"},
6941 "name": "CB_COLOR4_CMASK_BASE_EXT",
6942 "type_ref": "CB_COLOR0_BASE_EXT"
6943 },
6944 {
6945 "chips": ["gfx10"],
6946 "map": {"at": 167300, "to": "mm"},
6947 "name": "CB_COLOR4_DCC_BASE",
6948 "type_ref": "CB_COLOR0_BASE"
6949 },
6950 {
6951 "chips": ["gfx10"],
6952 "map": {"at": 167600, "to": "mm"},
6953 "name": "CB_COLOR4_DCC_BASE_EXT",
6954 "type_ref": "CB_COLOR0_BASE_EXT"
6955 },
6956 {
6957 "chips": ["gfx10"],
6958 "map": {"at": 167272, "to": "mm"},
6959 "name": "CB_COLOR4_DCC_CONTROL",
6960 "type_ref": "CB_COLOR0_DCC_CONTROL"
6961 },
6962 {
6963 "chips": ["gfx10"],
6964 "map": {"at": 167284, "to": "mm"},
6965 "name": "CB_COLOR4_FMASK",
6966 "type_ref": "CB_COLOR0_BASE"
6967 },
6968 {
6969 "chips": ["gfx10"],
6970 "map": {"at": 167568, "to": "mm"},
6971 "name": "CB_COLOR4_FMASK_BASE_EXT",
6972 "type_ref": "CB_COLOR0_BASE_EXT"
6973 },
6974 {
6975 "chips": ["gfx10"],
6976 "map": {"at": 167264, "to": "mm"},
6977 "name": "CB_COLOR4_INFO",
6978 "type_ref": "CB_COLOR0_INFO"
6979 },
6980 {
6981 "chips": ["gfx10"],
6982 "map": {"at": 167260, "to": "mm"},
6983 "name": "CB_COLOR4_VIEW",
6984 "type_ref": "CB_COLOR0_VIEW"
6985 },
6986 {
6987 "chips": ["gfx10"],
6988 "map": {"at": 167328, "to": "mm"},
6989 "name": "CB_COLOR5_ATTRIB",
6990 "type_ref": "CB_COLOR0_ATTRIB"
6991 },
6992 {
6993 "chips": ["gfx10"],
6994 "map": {"at": 167636, "to": "mm"},
6995 "name": "CB_COLOR5_ATTRIB2",
6996 "type_ref": "CB_COLOR0_ATTRIB2"
6997 },
6998 {
6999 "chips": ["gfx10"],
7000 "map": {"at": 167668, "to": "mm"},
7001 "name": "CB_COLOR5_ATTRIB3",
7002 "type_ref": "CB_COLOR0_ATTRIB3"
7003 },
7004 {
7005 "chips": ["gfx10"],
7006 "map": {"at": 167308, "to": "mm"},
7007 "name": "CB_COLOR5_BASE",
7008 "type_ref": "CB_COLOR0_BASE"
7009 },
7010 {
7011 "chips": ["gfx10"],
7012 "map": {"at": 167508, "to": "mm"},
7013 "name": "CB_COLOR5_BASE_EXT",
7014 "type_ref": "CB_COLOR0_BASE_EXT"
7015 },
7016 {
7017 "chips": ["gfx10"],
7018 "map": {"at": 167352, "to": "mm"},
7019 "name": "CB_COLOR5_CLEAR_WORD0",
7020 "type_ref": "CB_COLOR0_CLEAR_WORD0"
7021 },
7022 {
7023 "chips": ["gfx10"],
7024 "map": {"at": 167356, "to": "mm"},
7025 "name": "CB_COLOR5_CLEAR_WORD1",
7026 "type_ref": "CB_COLOR0_CLEAR_WORD1"
7027 },
7028 {
7029 "chips": ["gfx10"],
7030 "map": {"at": 167336, "to": "mm"},
7031 "name": "CB_COLOR5_CMASK",
7032 "type_ref": "CB_COLOR0_BASE"
7033 },
7034 {
7035 "chips": ["gfx10"],
7036 "map": {"at": 167540, "to": "mm"},
7037 "name": "CB_COLOR5_CMASK_BASE_EXT",
7038 "type_ref": "CB_COLOR0_BASE_EXT"
7039 },
7040 {
7041 "chips": ["gfx10"],
7042 "map": {"at": 167360, "to": "mm"},
7043 "name": "CB_COLOR5_DCC_BASE",
7044 "type_ref": "CB_COLOR0_BASE"
7045 },
7046 {
7047 "chips": ["gfx10"],
7048 "map": {"at": 167604, "to": "mm"},
7049 "name": "CB_COLOR5_DCC_BASE_EXT",
7050 "type_ref": "CB_COLOR0_BASE_EXT"
7051 },
7052 {
7053 "chips": ["gfx10"],
7054 "map": {"at": 167332, "to": "mm"},
7055 "name": "CB_COLOR5_DCC_CONTROL",
7056 "type_ref": "CB_COLOR0_DCC_CONTROL"
7057 },
7058 {
7059 "chips": ["gfx10"],
7060 "map": {"at": 167344, "to": "mm"},
7061 "name": "CB_COLOR5_FMASK",
7062 "type_ref": "CB_COLOR0_BASE"
7063 },
7064 {
7065 "chips": ["gfx10"],
7066 "map": {"at": 167572, "to": "mm"},
7067 "name": "CB_COLOR5_FMASK_BASE_EXT",
7068 "type_ref": "CB_COLOR0_BASE_EXT"
7069 },
7070 {
7071 "chips": ["gfx10"],
7072 "map": {"at": 167324, "to": "mm"},
7073 "name": "CB_COLOR5_INFO",
7074 "type_ref": "CB_COLOR0_INFO"
7075 },
7076 {
7077 "chips": ["gfx10"],
7078 "map": {"at": 167320, "to": "mm"},
7079 "name": "CB_COLOR5_VIEW",
7080 "type_ref": "CB_COLOR0_VIEW"
7081 },
7082 {
7083 "chips": ["gfx10"],
7084 "map": {"at": 167388, "to": "mm"},
7085 "name": "CB_COLOR6_ATTRIB",
7086 "type_ref": "CB_COLOR0_ATTRIB"
7087 },
7088 {
7089 "chips": ["gfx10"],
7090 "map": {"at": 167640, "to": "mm"},
7091 "name": "CB_COLOR6_ATTRIB2",
7092 "type_ref": "CB_COLOR0_ATTRIB2"
7093 },
7094 {
7095 "chips": ["gfx10"],
7096 "map": {"at": 167672, "to": "mm"},
7097 "name": "CB_COLOR6_ATTRIB3",
7098 "type_ref": "CB_COLOR0_ATTRIB3"
7099 },
7100 {
7101 "chips": ["gfx10"],
7102 "map": {"at": 167368, "to": "mm"},
7103 "name": "CB_COLOR6_BASE",
7104 "type_ref": "CB_COLOR0_BASE"
7105 },
7106 {
7107 "chips": ["gfx10"],
7108 "map": {"at": 167512, "to": "mm"},
7109 "name": "CB_COLOR6_BASE_EXT",
7110 "type_ref": "CB_COLOR0_BASE_EXT"
7111 },
7112 {
7113 "chips": ["gfx10"],
7114 "map": {"at": 167412, "to": "mm"},
7115 "name": "CB_COLOR6_CLEAR_WORD0",
7116 "type_ref": "CB_COLOR0_CLEAR_WORD0"
7117 },
7118 {
7119 "chips": ["gfx10"],
7120 "map": {"at": 167416, "to": "mm"},
7121 "name": "CB_COLOR6_CLEAR_WORD1",
7122 "type_ref": "CB_COLOR0_CLEAR_WORD1"
7123 },
7124 {
7125 "chips": ["gfx10"],
7126 "map": {"at": 167396, "to": "mm"},
7127 "name": "CB_COLOR6_CMASK",
7128 "type_ref": "CB_COLOR0_BASE"
7129 },
7130 {
7131 "chips": ["gfx10"],
7132 "map": {"at": 167544, "to": "mm"},
7133 "name": "CB_COLOR6_CMASK_BASE_EXT",
7134 "type_ref": "CB_COLOR0_BASE_EXT"
7135 },
7136 {
7137 "chips": ["gfx10"],
7138 "map": {"at": 167420, "to": "mm"},
7139 "name": "CB_COLOR6_DCC_BASE",
7140 "type_ref": "CB_COLOR0_BASE"
7141 },
7142 {
7143 "chips": ["gfx10"],
7144 "map": {"at": 167608, "to": "mm"},
7145 "name": "CB_COLOR6_DCC_BASE_EXT",
7146 "type_ref": "CB_COLOR0_BASE_EXT"
7147 },
7148 {
7149 "chips": ["gfx10"],
7150 "map": {"at": 167392, "to": "mm"},
7151 "name": "CB_COLOR6_DCC_CONTROL",
7152 "type_ref": "CB_COLOR0_DCC_CONTROL"
7153 },
7154 {
7155 "chips": ["gfx10"],
7156 "map": {"at": 167404, "to": "mm"},
7157 "name": "CB_COLOR6_FMASK",
7158 "type_ref": "CB_COLOR0_BASE"
7159 },
7160 {
7161 "chips": ["gfx10"],
7162 "map": {"at": 167576, "to": "mm"},
7163 "name": "CB_COLOR6_FMASK_BASE_EXT",
7164 "type_ref": "CB_COLOR0_BASE_EXT"
7165 },
7166 {
7167 "chips": ["gfx10"],
7168 "map": {"at": 167384, "to": "mm"},
7169 "name": "CB_COLOR6_INFO",
7170 "type_ref": "CB_COLOR0_INFO"
7171 },
7172 {
7173 "chips": ["gfx10"],
7174 "map": {"at": 167380, "to": "mm"},
7175 "name": "CB_COLOR6_VIEW",
7176 "type_ref": "CB_COLOR0_VIEW"
7177 },
7178 {
7179 "chips": ["gfx10"],
7180 "map": {"at": 167448, "to": "mm"},
7181 "name": "CB_COLOR7_ATTRIB",
7182 "type_ref": "CB_COLOR0_ATTRIB"
7183 },
7184 {
7185 "chips": ["gfx10"],
7186 "map": {"at": 167644, "to": "mm"},
7187 "name": "CB_COLOR7_ATTRIB2",
7188 "type_ref": "CB_COLOR0_ATTRIB2"
7189 },
7190 {
7191 "chips": ["gfx10"],
7192 "map": {"at": 167676, "to": "mm"},
7193 "name": "CB_COLOR7_ATTRIB3",
7194 "type_ref": "CB_COLOR0_ATTRIB3"
7195 },
7196 {
7197 "chips": ["gfx10"],
7198 "map": {"at": 167428, "to": "mm"},
7199 "name": "CB_COLOR7_BASE",
7200 "type_ref": "CB_COLOR0_BASE"
7201 },
7202 {
7203 "chips": ["gfx10"],
7204 "map": {"at": 167516, "to": "mm"},
7205 "name": "CB_COLOR7_BASE_EXT",
7206 "type_ref": "CB_COLOR0_BASE_EXT"
7207 },
7208 {
7209 "chips": ["gfx10"],
7210 "map": {"at": 167472, "to": "mm"},
7211 "name": "CB_COLOR7_CLEAR_WORD0",
7212 "type_ref": "CB_COLOR0_CLEAR_WORD0"
7213 },
7214 {
7215 "chips": ["gfx10"],
7216 "map": {"at": 167476, "to": "mm"},
7217 "name": "CB_COLOR7_CLEAR_WORD1",
7218 "type_ref": "CB_COLOR0_CLEAR_WORD1"
7219 },
7220 {
7221 "chips": ["gfx10"],
7222 "map": {"at": 167456, "to": "mm"},
7223 "name": "CB_COLOR7_CMASK",
7224 "type_ref": "CB_COLOR0_BASE"
7225 },
7226 {
7227 "chips": ["gfx10"],
7228 "map": {"at": 167548, "to": "mm"},
7229 "name": "CB_COLOR7_CMASK_BASE_EXT",
7230 "type_ref": "CB_COLOR0_BASE_EXT"
7231 },
7232 {
7233 "chips": ["gfx10"],
7234 "map": {"at": 167480, "to": "mm"},
7235 "name": "CB_COLOR7_DCC_BASE",
7236 "type_ref": "CB_COLOR0_BASE"
7237 },
7238 {
7239 "chips": ["gfx10"],
7240 "map": {"at": 167612, "to": "mm"},
7241 "name": "CB_COLOR7_DCC_BASE_EXT",
7242 "type_ref": "CB_COLOR0_BASE_EXT"
7243 },
7244 {
7245 "chips": ["gfx10"],
7246 "map": {"at": 167452, "to": "mm"},
7247 "name": "CB_COLOR7_DCC_CONTROL",
7248 "type_ref": "CB_COLOR0_DCC_CONTROL"
7249 },
7250 {
7251 "chips": ["gfx10"],
7252 "map": {"at": 167464, "to": "mm"},
7253 "name": "CB_COLOR7_FMASK",
7254 "type_ref": "CB_COLOR0_BASE"
7255 },
7256 {
7257 "chips": ["gfx10"],
7258 "map": {"at": 167580, "to": "mm"},
7259 "name": "CB_COLOR7_FMASK_BASE_EXT",
7260 "type_ref": "CB_COLOR0_BASE_EXT"
7261 },
7262 {
7263 "chips": ["gfx10"],
7264 "map": {"at": 167444, "to": "mm"},
7265 "name": "CB_COLOR7_INFO",
7266 "type_ref": "CB_COLOR0_INFO"
7267 },
7268 {
7269 "chips": ["gfx10"],
7270 "map": {"at": 167440, "to": "mm"},
7271 "name": "CB_COLOR7_VIEW",
7272 "type_ref": "CB_COLOR0_VIEW"
7273 },
7274 {
7275 "chips": ["gfx10"],
7276 "map": {"at": 165896, "to": "mm"},
7277 "name": "CB_COLOR_CONTROL",
7278 "type_ref": "CB_COLOR_CONTROL"
7279 },
7280 {
7281 "chips": ["gfx10"],
7282 "map": {"at": 164904, "to": "mm"},
7283 "name": "CB_COVERAGE_OUT_CONTROL",
7284 "type_ref": "CB_COVERAGE_OUT_CONTROL"
7285 },
7286 {
7287 "chips": ["gfx10"],
7288 "map": {"at": 164900, "to": "mm"},
7289 "name": "CB_DCC_CONTROL",
7290 "type_ref": "CB_DCC_CONTROL"
7291 },
7292 {
7293 "chips": ["gfx10"],
7294 "map": {"at": 217116, "to": "mm"},
7295 "name": "CB_PERFCOUNTER0_HI",
7296 "type_ref": "CB_PERFCOUNTER0_HI"
7297 },
7298 {
7299 "chips": ["gfx10"],
7300 "map": {"at": 217112, "to": "mm"},
7301 "name": "CB_PERFCOUNTER0_LO",
7302 "type_ref": "CB_PERFCOUNTER0_LO"
7303 },
7304 {
7305 "chips": ["gfx10"],
7306 "map": {"at": 225284, "to": "mm"},
7307 "name": "CB_PERFCOUNTER0_SELECT",
7308 "type_ref": "CB_PERFCOUNTER0_SELECT"
7309 },
7310 {
7311 "chips": ["gfx10"],
7312 "map": {"at": 225288, "to": "mm"},
7313 "name": "CB_PERFCOUNTER0_SELECT1",
7314 "type_ref": "CB_PERFCOUNTER0_SELECT1"
7315 },
7316 {
7317 "chips": ["gfx10"],
7318 "map": {"at": 217124, "to": "mm"},
7319 "name": "CB_PERFCOUNTER1_HI",
7320 "type_ref": "CB_PERFCOUNTER0_HI"
7321 },
7322 {
7323 "chips": ["gfx10"],
7324 "map": {"at": 217120, "to": "mm"},
7325 "name": "CB_PERFCOUNTER1_LO",
7326 "type_ref": "CB_PERFCOUNTER0_LO"
7327 },
7328 {
7329 "chips": ["gfx10"],
7330 "map": {"at": 225292, "to": "mm"},
7331 "name": "CB_PERFCOUNTER1_SELECT",
7332 "type_ref": "CB_PERFCOUNTER1_SELECT"
7333 },
7334 {
7335 "chips": ["gfx10"],
7336 "map": {"at": 217132, "to": "mm"},
7337 "name": "CB_PERFCOUNTER2_HI",
7338 "type_ref": "CB_PERFCOUNTER0_HI"
7339 },
7340 {
7341 "chips": ["gfx10"],
7342 "map": {"at": 217128, "to": "mm"},
7343 "name": "CB_PERFCOUNTER2_LO",
7344 "type_ref": "CB_PERFCOUNTER0_LO"
7345 },
7346 {
7347 "chips": ["gfx10"],
7348 "map": {"at": 225296, "to": "mm"},
7349 "name": "CB_PERFCOUNTER2_SELECT",
7350 "type_ref": "CB_PERFCOUNTER1_SELECT"
7351 },
7352 {
7353 "chips": ["gfx10"],
7354 "map": {"at": 217140, "to": "mm"},
7355 "name": "CB_PERFCOUNTER3_HI",
7356 "type_ref": "CB_PERFCOUNTER0_HI"
7357 },
7358 {
7359 "chips": ["gfx10"],
7360 "map": {"at": 217136, "to": "mm"},
7361 "name": "CB_PERFCOUNTER3_LO",
7362 "type_ref": "CB_PERFCOUNTER0_LO"
7363 },
7364 {
7365 "chips": ["gfx10"],
7366 "map": {"at": 225300, "to": "mm"},
7367 "name": "CB_PERFCOUNTER3_SELECT",
7368 "type_ref": "CB_PERFCOUNTER1_SELECT"
7369 },
7370 {
7371 "chips": ["gfx10"],
7372 "map": {"at": 225280, "to": "mm"},
7373 "name": "CB_PERFCOUNTER_FILTER",
7374 "type_ref": "CB_PERFCOUNTER_FILTER"
7375 },
7376 {
7377 "chips": ["gfx10"],
7378 "map": {"at": 164880, "to": "mm"},
7379 "name": "CB_RMI_GL2_CACHE_CONTROL",
7380 "type_ref": "CB_RMI_GL2_CACHE_CONTROL"
7381 },
7382 {
7383 "chips": ["gfx10"],
7384 "map": {"at": 164412, "to": "mm"},
7385 "name": "CB_SHADER_MASK",
7386 "type_ref": "CB_SHADER_MASK"
7387 },
7388 {
7389 "chips": ["gfx10"],
7390 "map": {"at": 164408, "to": "mm"},
7391 "name": "CB_TARGET_MASK",
7392 "type_ref": "CB_TARGET_MASK"
7393 },
7394 {
7395 "chips": ["gfx10"],
7396 "map": {"at": 219140, "to": "mm"},
7397 "name": "CHA_PERFCOUNTER0_HI",
7398 "type_ref": "CB_PERFCOUNTER0_HI"
7399 },
7400 {
7401 "chips": ["gfx10"],
7402 "map": {"at": 219136, "to": "mm"},
7403 "name": "CHA_PERFCOUNTER0_LO",
7404 "type_ref": "CB_PERFCOUNTER0_LO"
7405 },
7406 {
7407 "chips": ["gfx10"],
7408 "map": {"at": 227200, "to": "mm"},
7409 "name": "CHA_PERFCOUNTER0_SELECT",
7410 "type_ref": "CHA_PERFCOUNTER0_SELECT"
7411 },
7412 {
7413 "chips": ["gfx10"],
7414 "map": {"at": 227204, "to": "mm"},
7415 "name": "CHA_PERFCOUNTER0_SELECT1",
7416 "type_ref": "CHA_PERFCOUNTER0_SELECT1"
7417 },
7418 {
7419 "chips": ["gfx10"],
7420 "map": {"at": 219148, "to": "mm"},
7421 "name": "CHA_PERFCOUNTER1_HI",
7422 "type_ref": "CB_PERFCOUNTER0_HI"
7423 },
7424 {
7425 "chips": ["gfx10"],
7426 "map": {"at": 219144, "to": "mm"},
7427 "name": "CHA_PERFCOUNTER1_LO",
7428 "type_ref": "CB_PERFCOUNTER0_LO"
7429 },
7430 {
7431 "chips": ["gfx10"],
7432 "map": {"at": 227208, "to": "mm"},
7433 "name": "CHA_PERFCOUNTER1_SELECT",
7434 "type_ref": "CHA_PERFCOUNTER1_SELECT"
7435 },
7436 {
7437 "chips": ["gfx10"],
7438 "map": {"at": 219156, "to": "mm"},
7439 "name": "CHA_PERFCOUNTER2_HI",
7440 "type_ref": "CB_PERFCOUNTER0_HI"
7441 },
7442 {
7443 "chips": ["gfx10"],
7444 "map": {"at": 219152, "to": "mm"},
7445 "name": "CHA_PERFCOUNTER2_LO",
7446 "type_ref": "CB_PERFCOUNTER0_LO"
7447 },
7448 {
7449 "chips": ["gfx10"],
7450 "map": {"at": 227212, "to": "mm"},
7451 "name": "CHA_PERFCOUNTER2_SELECT",
7452 "type_ref": "CHA_PERFCOUNTER1_SELECT"
7453 },
7454 {
7455 "chips": ["gfx10"],
7456 "map": {"at": 219164, "to": "mm"},
7457 "name": "CHA_PERFCOUNTER3_HI",
7458 "type_ref": "CB_PERFCOUNTER0_HI"
7459 },
7460 {
7461 "chips": ["gfx10"],
7462 "map": {"at": 219160, "to": "mm"},
7463 "name": "CHA_PERFCOUNTER3_LO",
7464 "type_ref": "CB_PERFCOUNTER0_LO"
7465 },
7466 {
7467 "chips": ["gfx10"],
7468 "map": {"at": 227216, "to": "mm"},
7469 "name": "CHA_PERFCOUNTER3_SELECT",
7470 "type_ref": "CHA_PERFCOUNTER1_SELECT"
7471 },
7472 {
7473 "chips": ["gfx10"],
7474 "map": {"at": 216868, "to": "mm"},
7475 "name": "CHCG_PERFCOUNTER0_HI",
7476 "type_ref": "CB_PERFCOUNTER0_HI"
7477 },
7478 {
7479 "chips": ["gfx10"],
7480 "map": {"at": 216864, "to": "mm"},
7481 "name": "CHCG_PERFCOUNTER0_LO",
7482 "type_ref": "CB_PERFCOUNTER0_LO"
7483 },
7484 {
7485 "chips": ["gfx10"],
7486 "map": {"at": 225048, "to": "mm"},
7487 "name": "CHCG_PERFCOUNTER0_SELECT",
7488 "type_ref": "CHCG_PERFCOUNTER0_SELECT"
7489 },
7490 {
7491 "chips": ["gfx10"],
7492 "map": {"at": 225052, "to": "mm"},
7493 "name": "CHCG_PERFCOUNTER0_SELECT1",
7494 "type_ref": "CHCG_PERFCOUNTER0_SELECT1"
7495 },
7496 {
7497 "chips": ["gfx10"],
7498 "map": {"at": 216876, "to": "mm"},
7499 "name": "CHCG_PERFCOUNTER1_HI",
7500 "type_ref": "CB_PERFCOUNTER0_HI"
7501 },
7502 {
7503 "chips": ["gfx10"],
7504 "map": {"at": 216872, "to": "mm"},
7505 "name": "CHCG_PERFCOUNTER1_LO",
7506 "type_ref": "CB_PERFCOUNTER0_LO"
7507 },
7508 {
7509 "chips": ["gfx10"],
7510 "map": {"at": 225056, "to": "mm"},
7511 "name": "CHCG_PERFCOUNTER1_SELECT",
7512 "type_ref": "CHCG_PERFCOUNTER1_SELECT"
7513 },
7514 {
7515 "chips": ["gfx10"],
7516 "map": {"at": 216884, "to": "mm"},
7517 "name": "CHCG_PERFCOUNTER2_HI",
7518 "type_ref": "CB_PERFCOUNTER0_HI"
7519 },
7520 {
7521 "chips": ["gfx10"],
7522 "map": {"at": 216880, "to": "mm"},
7523 "name": "CHCG_PERFCOUNTER2_LO",
7524 "type_ref": "CB_PERFCOUNTER0_LO"
7525 },
7526 {
7527 "chips": ["gfx10"],
7528 "map": {"at": 225060, "to": "mm"},
7529 "name": "CHCG_PERFCOUNTER2_SELECT",
7530 "type_ref": "CHCG_PERFCOUNTER1_SELECT"
7531 },
7532 {
7533 "chips": ["gfx10"],
7534 "map": {"at": 216892, "to": "mm"},
7535 "name": "CHCG_PERFCOUNTER3_HI",
7536 "type_ref": "CB_PERFCOUNTER0_HI"
7537 },
7538 {
7539 "chips": ["gfx10"],
7540 "map": {"at": 216888, "to": "mm"},
7541 "name": "CHCG_PERFCOUNTER3_LO",
7542 "type_ref": "CB_PERFCOUNTER0_LO"
7543 },
7544 {
7545 "chips": ["gfx10"],
7546 "map": {"at": 225064, "to": "mm"},
7547 "name": "CHCG_PERFCOUNTER3_SELECT",
7548 "type_ref": "CHCG_PERFCOUNTER1_SELECT"
7549 },
7550 {
7551 "chips": ["gfx10"],
7552 "map": {"at": 216836, "to": "mm"},
7553 "name": "CHC_PERFCOUNTER0_HI",
7554 "type_ref": "CB_PERFCOUNTER0_HI"
7555 },
7556 {
7557 "chips": ["gfx10"],
7558 "map": {"at": 216832, "to": "mm"},
7559 "name": "CHC_PERFCOUNTER0_LO",
7560 "type_ref": "CB_PERFCOUNTER0_LO"
7561 },
7562 {
7563 "chips": ["gfx10"],
7564 "map": {"at": 225024, "to": "mm"},
7565 "name": "CHC_PERFCOUNTER0_SELECT",
7566 "type_ref": "CHC_PERFCOUNTER0_SELECT"
7567 },
7568 {
7569 "chips": ["gfx10"],
7570 "map": {"at": 225028, "to": "mm"},
7571 "name": "CHC_PERFCOUNTER0_SELECT1",
7572 "type_ref": "CHC_PERFCOUNTER0_SELECT1"
7573 },
7574 {
7575 "chips": ["gfx10"],
7576 "map": {"at": 216844, "to": "mm"},
7577 "name": "CHC_PERFCOUNTER1_HI",
7578 "type_ref": "CB_PERFCOUNTER0_HI"
7579 },
7580 {
7581 "chips": ["gfx10"],
7582 "map": {"at": 216840, "to": "mm"},
7583 "name": "CHC_PERFCOUNTER1_LO",
7584 "type_ref": "CB_PERFCOUNTER0_LO"
7585 },
7586 {
7587 "chips": ["gfx10"],
7588 "map": {"at": 225032, "to": "mm"},
7589 "name": "CHC_PERFCOUNTER1_SELECT",
7590 "type_ref": "CHC_PERFCOUNTER1_SELECT"
7591 },
7592 {
7593 "chips": ["gfx10"],
7594 "map": {"at": 216852, "to": "mm"},
7595 "name": "CHC_PERFCOUNTER2_HI",
7596 "type_ref": "CB_PERFCOUNTER0_HI"
7597 },
7598 {
7599 "chips": ["gfx10"],
7600 "map": {"at": 216848, "to": "mm"},
7601 "name": "CHC_PERFCOUNTER2_LO",
7602 "type_ref": "CB_PERFCOUNTER0_LO"
7603 },
7604 {
7605 "chips": ["gfx10"],
7606 "map": {"at": 225036, "to": "mm"},
7607 "name": "CHC_PERFCOUNTER2_SELECT",
7608 "type_ref": "CHC_PERFCOUNTER1_SELECT"
7609 },
7610 {
7611 "chips": ["gfx10"],
7612 "map": {"at": 216860, "to": "mm"},
7613 "name": "CHC_PERFCOUNTER3_HI",
7614 "type_ref": "CB_PERFCOUNTER0_HI"
7615 },
7616 {
7617 "chips": ["gfx10"],
7618 "map": {"at": 216856, "to": "mm"},
7619 "name": "CHC_PERFCOUNTER3_LO",
7620 "type_ref": "CB_PERFCOUNTER0_LO"
7621 },
7622 {
7623 "chips": ["gfx10"],
7624 "map": {"at": 225040, "to": "mm"},
7625 "name": "CHC_PERFCOUNTER3_SELECT",
7626 "type_ref": "CHC_PERFCOUNTER1_SELECT"
7627 },
7628 {
7629 "chips": ["gfx10"],
7630 "map": {"at": 164424, "to": "mm"},
7631 "name": "COHER_DEST_BASE_0",
7632 "type_ref": "COHER_DEST_BASE_0"
7633 },
7634 {
7635 "chips": ["gfx10"],
7636 "map": {"at": 164428, "to": "mm"},
7637 "name": "COHER_DEST_BASE_1",
7638 "type_ref": "COHER_DEST_BASE_0"
7639 },
7640 {
7641 "chips": ["gfx10"],
7642 "map": {"at": 164344, "to": "mm"},
7643 "name": "COHER_DEST_BASE_2",
7644 "type_ref": "COHER_DEST_BASE_0"
7645 },
7646 {
7647 "chips": ["gfx10"],
7648 "map": {"at": 164348, "to": "mm"},
7649 "name": "COHER_DEST_BASE_3",
7650 "type_ref": "COHER_DEST_BASE_0"
7651 },
7652 {
7653 "chips": ["gfx10"],
7654 "map": {"at": 164328, "to": "mm"},
7655 "name": "COHER_DEST_BASE_HI_0",
7656 "type_ref": "COHER_DEST_BASE_HI_0"
7657 },
7658 {
7659 "chips": ["gfx10"],
7660 "map": {"at": 164332, "to": "mm"},
7661 "name": "COHER_DEST_BASE_HI_1",
7662 "type_ref": "COHER_DEST_BASE_HI_0"
7663 },
7664 {
7665 "chips": ["gfx10"],
7666 "map": {"at": 164336, "to": "mm"},
7667 "name": "COHER_DEST_BASE_HI_2",
7668 "type_ref": "COHER_DEST_BASE_HI_0"
7669 },
7670 {
7671 "chips": ["gfx10"],
7672 "map": {"at": 164340, "to": "mm"},
7673 "name": "COHER_DEST_BASE_HI_3",
7674 "type_ref": "COHER_DEST_BASE_HI_0"
7675 },
7676 {
7677 "chips": ["gfx10"],
7678 "map": {"at": 47268, "to": "mm"},
7679 "name": "COMPUTE_DDID_INDEX",
7680 "type_ref": "COMPUTE_DDID_INDEX"
7681 },
7682 {
7683 "chips": ["gfx10"],
7684 "map": {"at": 47192, "overlap": true, "to": "mm"},
7685 "name": "COMPUTE_DESTINATION_EN_SE0",
7686 "type_ref": "COMPUTE_DESTINATION_EN_SE0"
7687 },
7688 {
7689 "chips": ["gfx10"],
7690 "map": {"at": 47196, "overlap": true, "to": "mm"},
7691 "name": "COMPUTE_DESTINATION_EN_SE1",
7692 "type_ref": "COMPUTE_DESTINATION_EN_SE0"
7693 },
7694 {
7695 "chips": ["gfx10"],
7696 "map": {"at": 47204, "overlap": true, "to": "mm"},
7697 "name": "COMPUTE_DESTINATION_EN_SE2",
7698 "type_ref": "COMPUTE_DESTINATION_EN_SE0"
7699 },
7700 {
7701 "chips": ["gfx10"],
7702 "map": {"at": 47208, "overlap": true, "to": "mm"},
7703 "name": "COMPUTE_DESTINATION_EN_SE3",
7704 "type_ref": "COMPUTE_DESTINATION_EN_SE0"
7705 },
7706 {
7707 "chips": ["gfx10"],
7708 "map": {"at": 47108, "to": "mm"},
7709 "name": "COMPUTE_DIM_X",
7710 "type_ref": "COMPUTE_DIM_X"
7711 },
7712 {
7713 "chips": ["gfx10"],
7714 "map": {"at": 47112, "to": "mm"},
7715 "name": "COMPUTE_DIM_Y",
7716 "type_ref": "COMPUTE_DIM_X"
7717 },
7718 {
7719 "chips": ["gfx10"],
7720 "map": {"at": 47116, "to": "mm"},
7721 "name": "COMPUTE_DIM_Z",
7722 "type_ref": "COMPUTE_DIM_X"
7723 },
7724 {
7725 "chips": ["gfx10"],
7726 "map": {"at": 47608, "to": "mm"},
7727 "name": "COMPUTE_DISPATCH_END",
7728 "type_ref": "COMPUTE_PGM_LO"
7729 },
7730 {
7731 "chips": ["gfx10"],
7732 "map": {"at": 47232, "to": "mm"},
7733 "name": "COMPUTE_DISPATCH_ID",
7734 "type_ref": "COMPUTE_DISPATCH_ID"
7735 },
7736 {
7737 "chips": ["gfx10"],
7738 "map": {"at": 47104, "to": "mm"},
7739 "name": "COMPUTE_DISPATCH_INITIATOR",
7740 "type_ref": "COMPUTE_DISPATCH_INITIATOR"
7741 },
7742 {
7743 "chips": ["gfx10"],
7744 "map": {"at": 47164, "to": "mm"},
7745 "name": "COMPUTE_DISPATCH_PKT_ADDR_HI",
7746 "type_ref": "COMPUTE_PGM_HI"
7747 },
7748 {
7749 "chips": ["gfx10"],
7750 "map": {"at": 47160, "to": "mm"},
7751 "name": "COMPUTE_DISPATCH_PKT_ADDR_LO",
7752 "type_ref": "COMPUTE_PGM_LO"
7753 },
7754 {
7755 "chips": ["gfx10"],
7756 "map": {"at": 47172, "to": "mm"},
7757 "name": "COMPUTE_DISPATCH_SCRATCH_BASE_HI",
7758 "type_ref": "COMPUTE_PGM_HI"
7759 },
7760 {
7761 "chips": ["gfx10"],
7762 "map": {"at": 47168, "to": "mm"},
7763 "name": "COMPUTE_DISPATCH_SCRATCH_BASE_LO",
7764 "type_ref": "COMPUTE_PGM_LO"
7765 },
7766 {
7767 "chips": ["gfx10"],
7768 "map": {"at": 47604, "to": "mm"},
7769 "name": "COMPUTE_DISPATCH_TUNNEL",
7770 "type_ref": "COMPUTE_DISPATCH_TUNNEL"
7771 },
7772 {
7773 "chips": ["gfx10"],
7774 "map": {"at": 47228, "to": "mm"},
7775 "name": "COMPUTE_MISC_RESERVED",
7776 "type_ref": "COMPUTE_MISC_RESERVED"
7777 },
7778 {
7779 "chips": ["gfx10"],
7780 "map": {"at": 47612, "to": "mm"},
7781 "name": "COMPUTE_NOWHERE",
7782 "type_ref": "COMPUTE_PGM_LO"
7783 },
7784 {
7785 "chips": ["gfx10"],
7786 "map": {"at": 47132, "to": "mm"},
7787 "name": "COMPUTE_NUM_THREAD_X",
7788 "type_ref": "COMPUTE_NUM_THREAD_X"
7789 },
7790 {
7791 "chips": ["gfx10"],
7792 "map": {"at": 47136, "to": "mm"},
7793 "name": "COMPUTE_NUM_THREAD_Y",
7794 "type_ref": "COMPUTE_NUM_THREAD_X"
7795 },
7796 {
7797 "chips": ["gfx10"],
7798 "map": {"at": 47140, "to": "mm"},
7799 "name": "COMPUTE_NUM_THREAD_Z",
7800 "type_ref": "COMPUTE_NUM_THREAD_X"
7801 },
7802 {
7803 "chips": ["gfx10"],
7804 "map": {"at": 47148, "to": "mm"},
7805 "name": "COMPUTE_PERFCOUNT_ENABLE",
7806 "type_ref": "COMPUTE_PERFCOUNT_ENABLE"
7807 },
7808 {
7809 "chips": ["gfx10"],
7810 "map": {"at": 47156, "to": "mm"},
7811 "name": "COMPUTE_PGM_HI",
7812 "type_ref": "COMPUTE_PGM_HI"
7813 },
7814 {
7815 "chips": ["gfx10"],
7816 "map": {"at": 47152, "to": "mm"},
7817 "name": "COMPUTE_PGM_LO",
7818 "type_ref": "COMPUTE_PGM_LO"
7819 },
7820 {
7821 "chips": ["gfx10"],
7822 "map": {"at": 47176, "to": "mm"},
7823 "name": "COMPUTE_PGM_RSRC1",
7824 "type_ref": "COMPUTE_PGM_RSRC1"
7825 },
7826 {
7827 "chips": ["gfx10"],
7828 "map": {"at": 47180, "to": "mm"},
7829 "name": "COMPUTE_PGM_RSRC2",
7830 "type_ref": "COMPUTE_PGM_RSRC2"
7831 },
7832 {
7833 "chips": ["gfx10"],
7834 "map": {"at": 47264, "to": "mm"},
7835 "name": "COMPUTE_PGM_RSRC3",
7836 "type_ref": "COMPUTE_PGM_RSRC3"
7837 },
7838 {
7839 "chips": ["gfx10"],
7840 "map": {"at": 47144, "to": "mm"},
7841 "name": "COMPUTE_PIPELINESTAT_ENABLE",
7842 "type_ref": "COMPUTE_PIPELINESTAT_ENABLE"
7843 },
7844 {
7845 "chips": ["gfx10"],
7846 "map": {"at": 47248, "to": "mm"},
7847 "name": "COMPUTE_USER_ACCUM_0",
7848 "type_ref": "COMPUTE_USER_ACCUM_0"
7849 },
7850 {
7851 "chips": ["gfx10"],
7852 "map": {"at": 47252, "to": "mm"},
7853 "name": "COMPUTE_USER_ACCUM_1",
7854 "type_ref": "COMPUTE_USER_ACCUM_0"
7855 },
7856 {
7857 "chips": ["gfx10"],
7858 "map": {"at": 47256, "to": "mm"},
7859 "name": "COMPUTE_USER_ACCUM_2",
7860 "type_ref": "COMPUTE_USER_ACCUM_0"
7861 },
7862 {
7863 "chips": ["gfx10"],
7864 "map": {"at": 47260, "to": "mm"},
7865 "name": "COMPUTE_USER_ACCUM_3",
7866 "type_ref": "COMPUTE_USER_ACCUM_0"
7867 },
7868 {
7869 "chips": ["gfx10"],
7870 "map": {"at": 47244, "to": "mm"},
7871 "name": "COMPUTE_PREF_PRI_CNTR_CTRL",
7872 "type_ref": "COMPUTE_PREF_PRI_CNTR_CTRL"
7873 },
7874 {
7875 "chips": ["gfx10"],
7876 "map": {"at": 47276, "to": "mm"},
7877 "name": "COMPUTE_RELAUNCH",
7878 "type_ref": "COMPUTE_RELAUNCH"
7879 },
7880 {
7881 "chips": ["gfx10"],
7882 "map": {"at": 47240, "to": "mm"},
7883 "name": "COMPUTE_REQ_CTRL",
7884 "type_ref": "COMPUTE_REQ_CTRL"
7885 },
7886 {
7887 "chips": ["gfx10"],
7888 "map": {"at": 47188, "to": "mm"},
7889 "name": "COMPUTE_RESOURCE_LIMITS",
7890 "type_ref": "COMPUTE_RESOURCE_LIMITS"
7891 },
7892 {
7893 "chips": ["gfx10"],
7894 "map": {"at": 47212, "to": "mm"},
7895 "name": "COMPUTE_RESTART_X",
7896 "type_ref": "COMPUTE_RESTART_X"
7897 },
7898 {
7899 "chips": ["gfx10"],
7900 "map": {"at": 47216, "to": "mm"},
7901 "name": "COMPUTE_RESTART_Y",
7902 "type_ref": "COMPUTE_RESTART_X"
7903 },
7904 {
7905 "chips": ["gfx10"],
7906 "map": {"at": 47220, "to": "mm"},
7907 "name": "COMPUTE_RESTART_Z",
7908 "type_ref": "COMPUTE_RESTART_X"
7909 },
7910 {
7911 "chips": ["gfx10"],
7912 "map": {"at": 47272, "to": "mm"},
7913 "name": "COMPUTE_SHADER_CHKSUM",
7914 "type_ref": "COMPUTE_SHADER_CHKSUM"
7915 },
7916 {
7917 "chips": ["gfx10"],
7918 "map": {"at": 47120, "to": "mm"},
7919 "name": "COMPUTE_START_X",
7920 "type_ref": "COMPUTE_START_X"
7921 },
7922 {
7923 "chips": ["gfx10"],
7924 "map": {"at": 47124, "to": "mm"},
7925 "name": "COMPUTE_START_Y",
7926 "type_ref": "COMPUTE_START_X"
7927 },
7928 {
7929 "chips": ["gfx10"],
7930 "map": {"at": 47128, "to": "mm"},
7931 "name": "COMPUTE_START_Z",
7932 "type_ref": "COMPUTE_START_X"
7933 },
7934 {
7935 "chips": ["gfx10"],
7936 "map": {"at": 47236, "to": "mm"},
7937 "name": "COMPUTE_THREADGROUP_ID",
7938 "type_ref": "COMPUTE_THREADGROUP_ID"
7939 },
7940 {
7941 "chips": ["gfx10"],
7942 "map": {"at": 47224, "to": "mm"},
7943 "name": "COMPUTE_THREAD_TRACE_ENABLE",
7944 "type_ref": "COMPUTE_THREAD_TRACE_ENABLE"
7945 },
7946 {
7947 "chips": ["gfx10"],
7948 "map": {"at": 47200, "to": "mm"},
7949 "name": "COMPUTE_TMPRING_SIZE",
7950 "type_ref": "COMPUTE_TMPRING_SIZE"
7951 },
7952 {
7953 "chips": ["gfx10"],
7954 "map": {"at": 47360, "to": "mm"},
7955 "name": "COMPUTE_USER_DATA_0",
7956 "type_ref": "COMPUTE_PGM_LO"
7957 },
7958 {
7959 "chips": ["gfx10"],
7960 "map": {"at": 47364, "to": "mm"},
7961 "name": "COMPUTE_USER_DATA_1",
7962 "type_ref": "COMPUTE_PGM_LO"
7963 },
7964 {
7965 "chips": ["gfx10"],
7966 "map": {"at": 47400, "to": "mm"},
7967 "name": "COMPUTE_USER_DATA_10",
7968 "type_ref": "COMPUTE_PGM_LO"
7969 },
7970 {
7971 "chips": ["gfx10"],
7972 "map": {"at": 47404, "to": "mm"},
7973 "name": "COMPUTE_USER_DATA_11",
7974 "type_ref": "COMPUTE_PGM_LO"
7975 },
7976 {
7977 "chips": ["gfx10"],
7978 "map": {"at": 47408, "to": "mm"},
7979 "name": "COMPUTE_USER_DATA_12",
7980 "type_ref": "COMPUTE_PGM_LO"
7981 },
7982 {
7983 "chips": ["gfx10"],
7984 "map": {"at": 47412, "to": "mm"},
7985 "name": "COMPUTE_USER_DATA_13",
7986 "type_ref": "COMPUTE_PGM_LO"
7987 },
7988 {
7989 "chips": ["gfx10"],
7990 "map": {"at": 47416, "to": "mm"},
7991 "name": "COMPUTE_USER_DATA_14",
7992 "type_ref": "COMPUTE_PGM_LO"
7993 },
7994 {
7995 "chips": ["gfx10"],
7996 "map": {"at": 47420, "to": "mm"},
7997 "name": "COMPUTE_USER_DATA_15",
7998 "type_ref": "COMPUTE_PGM_LO"
7999 },
8000 {
8001 "chips": ["gfx10"],
8002 "map": {"at": 47368, "to": "mm"},
8003 "name": "COMPUTE_USER_DATA_2",
8004 "type_ref": "COMPUTE_PGM_LO"
8005 },
8006 {
8007 "chips": ["gfx10"],
8008 "map": {"at": 47372, "to": "mm"},
8009 "name": "COMPUTE_USER_DATA_3",
8010 "type_ref": "COMPUTE_PGM_LO"
8011 },
8012 {
8013 "chips": ["gfx10"],
8014 "map": {"at": 47376, "to": "mm"},
8015 "name": "COMPUTE_USER_DATA_4",
8016 "type_ref": "COMPUTE_PGM_LO"
8017 },
8018 {
8019 "chips": ["gfx10"],
8020 "map": {"at": 47380, "to": "mm"},
8021 "name": "COMPUTE_USER_DATA_5",
8022 "type_ref": "COMPUTE_PGM_LO"
8023 },
8024 {
8025 "chips": ["gfx10"],
8026 "map": {"at": 47384, "to": "mm"},
8027 "name": "COMPUTE_USER_DATA_6",
8028 "type_ref": "COMPUTE_PGM_LO"
8029 },
8030 {
8031 "chips": ["gfx10"],
8032 "map": {"at": 47388, "to": "mm"},
8033 "name": "COMPUTE_USER_DATA_7",
8034 "type_ref": "COMPUTE_PGM_LO"
8035 },
8036 {
8037 "chips": ["gfx10"],
8038 "map": {"at": 47392, "to": "mm"},
8039 "name": "COMPUTE_USER_DATA_8",
8040 "type_ref": "COMPUTE_PGM_LO"
8041 },
8042 {
8043 "chips": ["gfx10"],
8044 "map": {"at": 47396, "to": "mm"},
8045 "name": "COMPUTE_USER_DATA_9",
8046 "type_ref": "COMPUTE_PGM_LO"
8047 },
8048 {
8049 "chips": ["gfx10"],
8050 "map": {"at": 47184, "to": "mm"},
8051 "name": "COMPUTE_VMID",
8052 "type_ref": "COMPUTE_VMID"
8053 },
8054 {
8055 "chips": ["gfx10"],
8056 "map": {"at": 47284, "to": "mm"},
8057 "name": "COMPUTE_WAVE_RESTORE_ADDR_HI",
8058 "type_ref": "COMPUTE_WAVE_RESTORE_ADDR_HI"
8059 },
8060 {
8061 "chips": ["gfx10"],
8062 "map": {"at": 47280, "to": "mm"},
8063 "name": "COMPUTE_WAVE_RESTORE_ADDR_LO",
8064 "type_ref": "COMPUTE_WAVE_RESTORE_ADDR_LO"
8065 },
8066 {
8067 "chips": ["gfx10"],
8068 "map": {"at": 213048, "to": "mm"},
8069 "name": "CPC_LATENCY_STATS_DATA",
8070 "type_ref": "COMPUTE_PGM_LO"
8071 },
8072 {
8073 "chips": ["gfx10"],
8074 "map": {"at": 221240, "to": "mm"},
8075 "name": "CPC_LATENCY_STATS_SELECT",
8076 "type_ref": "CPC_LATENCY_STATS_SELECT"
8077 },
8078 {
8079 "chips": ["gfx10"],
8080 "map": {"at": 213020, "to": "mm"},
8081 "name": "CPC_PERFCOUNTER0_HI",
8082 "type_ref": "CB_PERFCOUNTER0_HI"
8083 },
8084 {
8085 "chips": ["gfx10"],
8086 "map": {"at": 213016, "to": "mm"},
8087 "name": "CPC_PERFCOUNTER0_LO",
8088 "type_ref": "CB_PERFCOUNTER0_LO"
8089 },
8090 {
8091 "chips": ["gfx10"],
8092 "map": {"at": 221220, "to": "mm"},
8093 "name": "CPC_PERFCOUNTER0_SELECT",
8094 "type_ref": "CPC_PERFCOUNTER1_SELECT"
8095 },
8096 {
8097 "chips": ["gfx10"],
8098 "map": {"at": 221200, "to": "mm"},
8099 "name": "CPC_PERFCOUNTER0_SELECT1",
8100 "type_ref": "CPC_PERFCOUNTER0_SELECT1"
8101 },
8102 {
8103 "chips": ["gfx10"],
8104 "map": {"at": 213012, "to": "mm"},
8105 "name": "CPC_PERFCOUNTER1_HI",
8106 "type_ref": "CB_PERFCOUNTER0_HI"
8107 },
8108 {
8109 "chips": ["gfx10"],
8110 "map": {"at": 213008, "to": "mm"},
8111 "name": "CPC_PERFCOUNTER1_LO",
8112 "type_ref": "CB_PERFCOUNTER0_LO"
8113 },
8114 {
8115 "chips": ["gfx10"],
8116 "map": {"at": 221196, "to": "mm"},
8117 "name": "CPC_PERFCOUNTER1_SELECT",
8118 "type_ref": "CPC_PERFCOUNTER1_SELECT"
8119 },
8120 {
8121 "chips": ["gfx10"],
8122 "map": {"at": 213040, "to": "mm"},
8123 "name": "CPF_LATENCY_STATS_DATA",
8124 "type_ref": "COMPUTE_PGM_LO"
8125 },
8126 {
8127 "chips": ["gfx10"],
8128 "map": {"at": 221232, "to": "mm"},
8129 "name": "CPF_LATENCY_STATS_SELECT",
8130 "type_ref": "CPF_LATENCY_STATS_SELECT"
8131 },
8132 {
8133 "chips": ["gfx10"],
8134 "map": {"at": 213036, "to": "mm"},
8135 "name": "CPF_PERFCOUNTER0_HI",
8136 "type_ref": "CB_PERFCOUNTER0_HI"
8137 },
8138 {
8139 "chips": ["gfx10"],
8140 "map": {"at": 213032, "to": "mm"},
8141 "name": "CPF_PERFCOUNTER0_LO",
8142 "type_ref": "CB_PERFCOUNTER0_LO"
8143 },
8144 {
8145 "chips": ["gfx10"],
8146 "map": {"at": 221212, "to": "mm"},
8147 "name": "CPF_PERFCOUNTER0_SELECT",
8148 "type_ref": "CPF_PERFCOUNTER1_SELECT"
8149 },
8150 {
8151 "chips": ["gfx10"],
8152 "map": {"at": 221208, "to": "mm"},
8153 "name": "CPF_PERFCOUNTER0_SELECT1",
8154 "type_ref": "CPF_PERFCOUNTER0_SELECT1"
8155 },
8156 {
8157 "chips": ["gfx10"],
8158 "map": {"at": 213028, "to": "mm"},
8159 "name": "CPF_PERFCOUNTER1_HI",
8160 "type_ref": "CB_PERFCOUNTER0_HI"
8161 },
8162 {
8163 "chips": ["gfx10"],
8164 "map": {"at": 213024, "to": "mm"},
8165 "name": "CPF_PERFCOUNTER1_LO",
8166 "type_ref": "CB_PERFCOUNTER0_LO"
8167 },
8168 {
8169 "chips": ["gfx10"],
8170 "map": {"at": 221204, "to": "mm"},
8171 "name": "CPF_PERFCOUNTER1_SELECT",
8172 "type_ref": "CPF_PERFCOUNTER1_SELECT"
8173 },
8174 {
8175 "chips": ["gfx10"],
8176 "map": {"at": 221224, "to": "mm"},
8177 "name": "CPF_TC_PERF_COUNTER_WINDOW_SELECT",
8178 "type_ref": "CPF_TC_PERF_COUNTER_WINDOW_SELECT"
8179 },
8180 {
8181 "chips": ["gfx10"],
8182 "map": {"at": 213044, "to": "mm"},
8183 "name": "CPG_LATENCY_STATS_DATA",
8184 "type_ref": "COMPUTE_PGM_LO"
8185 },
8186 {
8187 "chips": ["gfx10"],
8188 "map": {"at": 221236, "to": "mm"},
8189 "name": "CPG_LATENCY_STATS_SELECT",
8190 "type_ref": "CPG_LATENCY_STATS_SELECT"
8191 },
8192 {
8193 "chips": ["gfx10"],
8194 "map": {"at": 213004, "to": "mm"},
8195 "name": "CPG_PERFCOUNTER0_HI",
8196 "type_ref": "CB_PERFCOUNTER0_HI"
8197 },
8198 {
8199 "chips": ["gfx10"],
8200 "map": {"at": 213000, "to": "mm"},
8201 "name": "CPG_PERFCOUNTER0_LO",
8202 "type_ref": "CB_PERFCOUNTER0_LO"
8203 },
8204 {
8205 "chips": ["gfx10"],
8206 "map": {"at": 221192, "to": "mm"},
8207 "name": "CPG_PERFCOUNTER0_SELECT",
8208 "type_ref": "CPG_PERFCOUNTER1_SELECT"
8209 },
8210 {
8211 "chips": ["gfx10"],
8212 "map": {"at": 221188, "to": "mm"},
8213 "name": "CPG_PERFCOUNTER0_SELECT1",
8214 "type_ref": "CPG_PERFCOUNTER0_SELECT1"
8215 },
8216 {
8217 "chips": ["gfx10"],
8218 "map": {"at": 212996, "to": "mm"},
8219 "name": "CPG_PERFCOUNTER1_HI",
8220 "type_ref": "CB_PERFCOUNTER0_HI"
8221 },
8222 {
8223 "chips": ["gfx10"],
8224 "map": {"at": 212992, "to": "mm"},
8225 "name": "CPG_PERFCOUNTER1_LO",
8226 "type_ref": "CB_PERFCOUNTER0_LO"
8227 },
8228 {
8229 "chips": ["gfx10"],
8230 "map": {"at": 221184, "to": "mm"},
8231 "name": "CPG_PERFCOUNTER1_SELECT",
8232 "type_ref": "CPG_PERFCOUNTER1_SELECT"
8233 },
8234 {
8235 "chips": ["gfx10"],
8236 "map": {"at": 221228, "to": "mm"},
8237 "name": "CPG_TC_PERF_COUNTER_WINDOW_SELECT",
8238 "type_ref": "CPG_TC_PERF_COUNTER_WINDOW_SELECT"
8239 },
8240 {
8241 "chips": ["gfx10"],
8242 "map": {"at": 196964, "to": "mm"},
8243 "name": "CP_APPEND_ADDR_HI",
8244 "type_ref": "CP_APPEND_ADDR_HI"
8245 },
8246 {
8247 "chips": ["gfx10"],
8248 "map": {"at": 196960, "to": "mm"},
8249 "name": "CP_APPEND_ADDR_LO",
8250 "type_ref": "CP_APPEND_ADDR_LO"
8251 },
8252 {
8253 "chips": ["gfx10"],
8254 "map": {"at": 197252, "to": "mm"},
8255 "name": "CP_APPEND_CMD_ADDR_HI",
8256 "type_ref": "CP_GFX_HPD_OSPRE_FENCE_ADDR_HI"
8257 },
8258 {
8259 "chips": ["gfx10"],
8260 "map": {"at": 197248, "to": "mm"},
8261 "name": "CP_APPEND_CMD_ADDR_LO",
8262 "type_ref": "CP_DMA_ME_CMD_ADDR_LO"
8263 },
8264 {
8265 "chips": ["gfx10"],
8266 "map": {"at": 196912, "to": "mm"},
8267 "name": "CP_APPEND_DATA_HI",
8268 "type_ref": "COMPUTE_PGM_LO"
8269 },
8270 {
8271 "chips": ["gfx10"],
8272 "map": {"at": 196908, "to": "mm"},
8273 "name": "CP_APPEND_DDID_CNT",
8274 "type_ref": "COMPUTE_PGM_HI"
8275 },
8276 {
8277 "chips": ["gfx10"],
8278 "map": {"at": 196916, "to": "mm"},
8279 "name": "CP_APPEND_LAST_CS_FENCE_HI",
8280 "type_ref": "CP_APPEND_LAST_CS_FENCE_HI"
8281 },
8282 {
8283 "chips": ["gfx10"],
8284 "map": {"at": 196920, "to": "mm"},
8285 "name": "CP_APPEND_LAST_PS_FENCE_HI",
8286 "type_ref": "CP_APPEND_LAST_CS_FENCE_HI"
8287 },
8288 {
8289 "chips": ["gfx10"],
8290 "map": {"at": 197556, "to": "mm"},
8291 "name": "CP_CE_COMPLETION_STATUS",
8292 "type_ref": "CP_PFP_COMPLETION_STATUS"
8293 },
8294 {
8295 "chips": ["gfx10"],
8296 "map": {"at": 197224, "to": "mm"},
8297 "name": "CP_CE_COUNTER",
8298 "type_ref": "CP_DE_CE_COUNT"
8299 },
8300 {
8301 "chips": ["gfx10"],
8302 "map": {"at": 197492, "to": "mm"},
8303 "name": "CP_CE_DB_BASE_HI",
8304 "type_ref": "CP_DB_BASE_HI"
8305 },
8306 {
8307 "chips": ["gfx10"],
8308 "map": {"at": 197488, "to": "mm"},
8309 "name": "CP_CE_DB_BASE_LO",
8310 "type_ref": "CP_DB_BASE_LO"
8311 },
8312 {
8313 "chips": ["gfx10"],
8314 "map": {"at": 197496, "to": "mm"},
8315 "name": "CP_CE_DB_BUFSZ",
8316 "type_ref": "CP_DB_BUFSZ"
8317 },
8318 {
8319 "chips": ["gfx10"],
8320 "map": {"at": 197500, "to": "mm"},
8321 "name": "CP_CE_DB_CMD_BUFSZ",
8322 "type_ref": "CP_DB_CMD_BUFSZ"
8323 },
8324 {
8325 "chips": ["gfx10"],
8326 "map": {"at": 197404, "to": "mm"},
8327 "name": "CP_CE_IB1_BASE_HI",
8328 "type_ref": "CP_CE_IB1_BASE_HI"
8329 },
8330 {
8331 "chips": ["gfx10"],
8332 "map": {"at": 197400, "to": "mm"},
8333 "name": "CP_CE_IB1_BASE_LO",
8334 "type_ref": "CP_CE_IB1_BASE_LO"
8335 },
8336 {
8337 "chips": ["gfx10"],
8338 "map": {"at": 197408, "to": "mm"},
8339 "name": "CP_CE_IB1_BUFSZ",
8340 "type_ref": "CP_CE_IB1_BUFSZ"
8341 },
8342 {
8343 "chips": ["gfx10"],
8344 "map": {"at": 197368, "to": "mm"},
8345 "name": "CP_CE_IB1_CMD_BUFSZ",
8346 "type_ref": "CP_CE_IB1_CMD_BUFSZ"
8347 },
8348 {
8349 "chips": ["gfx10"],
8350 "map": {"at": 197216, "to": "mm"},
8351 "name": "CP_CE_IB1_OFFSET",
8352 "type_ref": "CP_IB1_OFFSET"
8353 },
8354 {
8355 "chips": ["gfx10"],
8356 "map": {"at": 197416, "to": "mm"},
8357 "name": "CP_CE_IB2_BASE_HI",
8358 "type_ref": "CP_CE_IB2_BASE_HI"
8359 },
8360 {
8361 "chips": ["gfx10"],
8362 "map": {"at": 197412, "to": "mm"},
8363 "name": "CP_CE_IB2_BASE_LO",
8364 "type_ref": "CP_CE_IB2_BASE_LO"
8365 },
8366 {
8367 "chips": ["gfx10"],
8368 "map": {"at": 197420, "to": "mm"},
8369 "name": "CP_CE_IB2_BUFSZ",
8370 "type_ref": "CP_CE_IB2_BUFSZ"
8371 },
8372 {
8373 "chips": ["gfx10"],
8374 "map": {"at": 197372, "to": "mm"},
8375 "name": "CP_CE_IB2_CMD_BUFSZ",
8376 "type_ref": "CP_CE_IB2_CMD_BUFSZ"
8377 },
8378 {
8379 "chips": ["gfx10"],
8380 "map": {"at": 197220, "to": "mm"},
8381 "name": "CP_CE_IB2_OFFSET",
8382 "type_ref": "CP_IB2_OFFSET"
8383 },
8384 {
8385 "chips": ["gfx10"],
8386 "map": {"at": 197392, "to": "mm"},
8387 "name": "CP_CE_INIT_BASE_HI",
8388 "type_ref": "CP_CE_INIT_BASE_HI"
8389 },
8390 {
8391 "chips": ["gfx10"],
8392 "map": {"at": 197388, "to": "mm"},
8393 "name": "CP_CE_INIT_BASE_LO",
8394 "type_ref": "CP_CE_INIT_BASE_LO"
8395 },
8396 {
8397 "chips": ["gfx10"],
8398 "map": {"at": 197396, "to": "mm"},
8399 "name": "CP_CE_INIT_BUFSZ",
8400 "type_ref": "CP_CE_INIT_BUFSZ"
8401 },
8402 {
8403 "chips": ["gfx10"],
8404 "map": {"at": 197364, "to": "mm"},
8405 "name": "CP_CE_INIT_CMD_BUFSZ",
8406 "type_ref": "CP_CE_INIT_CMD_BUFSZ"
8407 },
8408 {
8409 "chips": ["gfx10"],
8410 "map": {"at": 197576, "to": "mm"},
8411 "name": "CP_CE_METADATA_BASE_ADDR",
8412 "type_ref": "CP_MES_MTVEC_HI"
8413 },
8414 {
8415 "chips": ["gfx10"],
8416 "map": {"at": 197580, "to": "mm"},
8417 "name": "CP_CE_METADATA_BASE_ADDR_HI",
8418 "type_ref": "CPC_SUSPEND_CTX_SAVE_BASE_ADDR_HI"
8419 },
8420 {
8421 "chips": ["gfx10"],
8422 "map": {"at": 197112, "to": "mm"},
8423 "name": "CP_COHER_BASE",
8424 "type_ref": "CP_COHER_BASE"
8425 },
8426 {
8427 "chips": ["gfx10"],
8428 "map": {"at": 197092, "to": "mm"},
8429 "name": "CP_COHER_BASE_HI",
8430 "type_ref": "CP_COHER_BASE_HI"
8431 },
8432 {
8433 "chips": ["gfx10"],
8434 "map": {"at": 197104, "to": "mm"},
8435 "name": "CP_COHER_CNTL",
8436 "type_ref": "CP_COHER_CNTL"
8437 },
8438 {
8439 "chips": ["gfx10"],
8440 "map": {"at": 197108, "to": "mm"},
8441 "name": "CP_COHER_SIZE",
8442 "type_ref": "CP_COHER_SIZE"
8443 },
8444 {
8445 "chips": ["gfx10"],
8446 "map": {"at": 197168, "to": "mm"},
8447 "name": "CP_COHER_SIZE_HI",
8448 "type_ref": "CP_COHER_SIZE_HI"
8449 },
8450 {
8451 "chips": ["gfx10"],
8452 "map": {"at": 197100, "to": "mm"},
8453 "name": "CP_COHER_START_DELAY",
8454 "type_ref": "CP_COHER_START_DELAY"
8455 },
8456 {
8457 "chips": ["gfx10"],
8458 "map": {"at": 197116, "to": "mm"},
8459 "name": "CP_COHER_STATUS",
8460 "type_ref": "CP_COHER_STATUS"
8461 },
8462 {
8463 "chips": ["gfx10"],
8464 "map": {"at": 197476, "to": "mm"},
8465 "name": "CP_DB_BASE_HI",
8466 "type_ref": "CP_DB_BASE_HI"
8467 },
8468 {
8469 "chips": ["gfx10"],
8470 "map": {"at": 197472, "to": "mm"},
8471 "name": "CP_DB_BASE_LO",
8472 "type_ref": "CP_DB_BASE_LO"
8473 },
8474 {
8475 "chips": ["gfx10"],
8476 "map": {"at": 197480, "to": "mm"},
8477 "name": "CP_DB_BUFSZ",
8478 "type_ref": "CP_DB_BUFSZ"
8479 },
8480 {
8481 "chips": ["gfx10"],
8482 "map": {"at": 197484, "to": "mm"},
8483 "name": "CP_DB_CMD_BUFSZ",
8484 "type_ref": "CP_DB_CMD_BUFSZ"
8485 },
8486 {
8487 "chips": ["gfx10"],
8488 "map": {"at": 197592, "to": "mm"},
8489 "name": "CP_DISPATCH_INDR_ADDR",
8490 "type_ref": "CP_MES_MTVEC_HI"
8491 },
8492 {
8493 "chips": ["gfx10"],
8494 "map": {"at": 197596, "to": "mm"},
8495 "name": "CP_DISPATCH_INDR_ADDR_HI",
8496 "type_ref": "CPC_SUSPEND_CTX_SAVE_BASE_ADDR_HI"
8497 },
8498 {
8499 "chips": ["gfx10"],
8500 "map": {"at": 197160, "to": "mm"},
8501 "name": "CP_DMA_CNTL",
8502 "type_ref": "CP_DMA_CNTL"
8503 },
8504 {
8505 "chips": ["gfx10"],
8506 "map": {"at": 197236, "to": "mm"},
8507 "name": "CP_DMA_ME_CMD_ADDR_HI",
8508 "type_ref": "CP_GFX_HPD_OSPRE_FENCE_ADDR_HI"
8509 },
8510 {
8511 "chips": ["gfx10"],
8512 "map": {"at": 197232, "to": "mm"},
8513 "name": "CP_DMA_ME_CMD_ADDR_LO",
8514 "type_ref": "CP_DMA_ME_CMD_ADDR_LO"
8515 },
8516 {
8517 "chips": ["gfx10"],
8518 "map": {"at": 197244, "to": "mm"},
8519 "name": "CP_DMA_PFP_CMD_ADDR_HI",
8520 "type_ref": "CP_GFX_HPD_OSPRE_FENCE_ADDR_HI"
8521 },
8522 {
8523 "chips": ["gfx10"],
8524 "map": {"at": 197240, "to": "mm"},
8525 "name": "CP_DMA_PFP_CMD_ADDR_LO",
8526 "type_ref": "CP_DMA_ME_CMD_ADDR_LO"
8527 },
8528 {
8529 "chips": ["gfx10"],
8530 "map": {"at": 197164, "to": "mm"},
8531 "name": "CP_DMA_READ_TAGS",
8532 "type_ref": "CP_DMA_READ_TAGS"
8533 },
8534 {
8535 "chips": ["gfx10"],
8536 "map": {"at": 197584, "to": "mm"},
8537 "name": "CP_DRAW_INDX_INDR_ADDR",
8538 "type_ref": "CP_MES_MTVEC_HI"
8539 },
8540 {
8541 "chips": ["gfx10"],
8542 "map": {"at": 197588, "to": "mm"},
8543 "name": "CP_DRAW_INDX_INDR_ADDR_HI",
8544 "type_ref": "CPC_SUSPEND_CTX_SAVE_BASE_ADDR_HI"
8545 },
8546 {
8547 "chips": ["gfx10"],
8548 "map": {"at": 221248, "to": "mm"},
8549 "name": "CP_DRAW_OBJECT",
8550 "type_ref": "CP_DRAW_OBJECT"
8551 },
8552 {
8553 "chips": ["gfx10"],
8554 "map": {"at": 221252, "to": "mm"},
8555 "name": "CP_DRAW_OBJECT_COUNTER",
8556 "type_ref": "CP_GFX_DDID_INFLIGHT_COUNT"
8557 },
8558 {
8559 "chips": ["gfx10"],
8560 "map": {"at": 221268, "to": "mm"},
8561 "name": "CP_DRAW_WINDOW_CNTL",
8562 "type_ref": "CP_DRAW_WINDOW_CNTL"
8563 },
8564 {
8565 "chips": ["gfx10"],
8566 "map": {"at": 221260, "to": "mm"},
8567 "name": "CP_DRAW_WINDOW_HI",
8568 "type_ref": "CP_DRAW_WINDOW_HI"
8569 },
8570 {
8571 "chips": ["gfx10"],
8572 "map": {"at": 221264, "to": "mm"},
8573 "name": "CP_DRAW_WINDOW_LO",
8574 "type_ref": "CP_DRAW_WINDOW_LO"
8575 },
8576 {
8577 "chips": ["gfx10"],
8578 "map": {"at": 221256, "to": "mm"},
8579 "name": "CP_DRAW_WINDOW_MASK_HI",
8580 "type_ref": "CP_DRAW_WINDOW_MASK_HI"
8581 },
8582 {
8583 "chips": ["gfx10"],
8584 "map": {"at": 196612, "to": "mm"},
8585 "name": "CP_EOP_DONE_ADDR_HI",
8586 "type_ref": "CPC_SUSPEND_CTX_SAVE_BASE_ADDR_HI"
8587 },
8588 {
8589 "chips": ["gfx10"],
8590 "map": {"at": 196608, "to": "mm"},
8591 "name": "CP_EOP_DONE_ADDR_LO",
8592 "type_ref": "CP_GFX_HPD_OSPRE_FENCE_ADDR_LO"
8593 },
8594 {
8595 "chips": ["gfx10"],
8596 "map": {"at": 197468, "to": "mm"},
8597 "name": "CP_EOP_DONE_CNTX_ID",
8598 "type_ref": "CPC_INT_CNTX_ID"
8599 },
8600 {
8601 "chips": ["gfx10"],
8602 "map": {"at": 197464, "to": "mm"},
8603 "name": "CP_EOP_DONE_DATA_CNTL",
8604 "type_ref": "CP_EOP_DONE_DATA_CNTL"
8605 },
8606 {
8607 "chips": ["gfx10"],
8608 "map": {"at": 196620, "to": "mm"},
8609 "name": "CP_EOP_DONE_DATA_HI",
8610 "type_ref": "CP_GFX_HPD_OSPRE_FENCE_DATA_HI"
8611 },
8612 {
8613 "chips": ["gfx10"],
8614 "map": {"at": 196616, "to": "mm"},
8615 "name": "CP_EOP_DONE_DATA_LO",
8616 "type_ref": "CP_GFX_HPD_OSPRE_FENCE_DATA_LO"
8617 },
8618 {
8619 "chips": ["gfx10"],
8620 "map": {"at": 196808, "to": "mm"},
8621 "name": "CP_EOP_DONE_DOORBELL",
8622 "type_ref": "CP_EOP_DONE_DOORBELL"
8623 },
8624 {
8625 "chips": ["gfx10"],
8626 "map": {"at": 197460, "to": "mm"},
8627 "name": "CP_EOP_DONE_EVENT_CNTL",
8628 "type_ref": "CP_EOP_DONE_EVENT_CNTL"
8629 },
8630 {
8631 "chips": ["gfx10"],
8632 "map": {"at": 196628, "to": "mm"},
8633 "name": "CP_EOP_LAST_FENCE_HI",
8634 "type_ref": "CP_EOP_LAST_FENCE_HI"
8635 },
8636 {
8637 "chips": ["gfx10"],
8638 "map": {"at": 196624, "to": "mm"},
8639 "name": "CP_EOP_LAST_FENCE_LO",
8640 "type_ref": "CP_EOP_LAST_FENCE_LO"
8641 },
8642 {
8643 "chips": ["gfx10"],
8644 "map": {"at": 197612, "to": "mm"},
8645 "name": "CP_GDS_BKUP_ADDR",
8646 "type_ref": "CP_MES_MTVEC_HI"
8647 },
8648 {
8649 "chips": ["gfx10"],
8650 "map": {"at": 197616, "to": "mm"},
8651 "name": "CP_GDS_BKUP_ADDR_HI",
8652 "type_ref": "CPC_SUSPEND_CTX_SAVE_BASE_ADDR_HI"
8653 },
8654 {
8655 "chips": ["gfx10"],
8656 "map": {"at": 197428, "to": "mm"},
8657 "name": "CP_IB1_BASE_HI",
8658 "type_ref": "CP_CE_IB1_BASE_HI"
8659 },
8660 {
8661 "chips": ["gfx10"],
8662 "map": {"at": 197424, "to": "mm"},
8663 "name": "CP_IB1_BASE_LO",
8664 "type_ref": "CP_CE_IB1_BASE_LO"
8665 },
8666 {
8667 "chips": ["gfx10"],
8668 "map": {"at": 197432, "to": "mm"},
8669 "name": "CP_IB1_BUFSZ",
8670 "type_ref": "CP_CE_IB1_BUFSZ"
8671 },
8672 {
8673 "chips": ["gfx10"],
8674 "map": {"at": 197376, "to": "mm"},
8675 "name": "CP_IB1_CMD_BUFSZ",
8676 "type_ref": "CP_CE_IB1_CMD_BUFSZ"
8677 },
8678 {
8679 "chips": ["gfx10"],
8680 "map": {"at": 197192, "to": "mm"},
8681 "name": "CP_IB1_OFFSET",
8682 "type_ref": "CP_IB1_OFFSET"
8683 },
8684 {
8685 "chips": ["gfx10"],
8686 "map": {"at": 197200, "to": "mm"},
8687 "name": "CP_IB1_PREAMBLE_BEGIN",
8688 "type_ref": "CP_IB1_PREAMBLE_BEGIN"
8689 },
8690 {
8691 "chips": ["gfx10"],
8692 "map": {"at": 197204, "to": "mm"},
8693 "name": "CP_IB1_PREAMBLE_END",
8694 "type_ref": "CP_IB1_PREAMBLE_END"
8695 },
8696 {
8697 "chips": ["gfx10"],
8698 "map": {"at": 197440, "to": "mm"},
8699 "name": "CP_IB2_BASE_HI",
8700 "type_ref": "CP_CE_IB2_BASE_HI"
8701 },
8702 {
8703 "chips": ["gfx10"],
8704 "map": {"at": 197436, "to": "mm"},
8705 "name": "CP_IB2_BASE_LO",
8706 "type_ref": "CP_CE_IB2_BASE_LO"
8707 },
8708 {
8709 "chips": ["gfx10"],
8710 "map": {"at": 197444, "to": "mm"},
8711 "name": "CP_IB2_BUFSZ",
8712 "type_ref": "CP_CE_IB2_BUFSZ"
8713 },
8714 {
8715 "chips": ["gfx10"],
8716 "map": {"at": 197380, "to": "mm"},
8717 "name": "CP_IB2_CMD_BUFSZ",
8718 "type_ref": "CP_CE_IB2_CMD_BUFSZ"
8719 },
8720 {
8721 "chips": ["gfx10"],
8722 "map": {"at": 197196, "to": "mm"},
8723 "name": "CP_IB2_OFFSET",
8724 "type_ref": "CP_IB2_OFFSET"
8725 },
8726 {
8727 "chips": ["gfx10"],
8728 "map": {"at": 197208, "to": "mm"},
8729 "name": "CP_IB2_PREAMBLE_BEGIN",
8730 "type_ref": "CP_IB2_PREAMBLE_BEGIN"
8731 },
8732 {
8733 "chips": ["gfx10"],
8734 "map": {"at": 197212, "to": "mm"},
8735 "name": "CP_IB2_PREAMBLE_END",
8736 "type_ref": "CP_IB2_PREAMBLE_END"
8737 },
8738 {
8739 "chips": ["gfx10"],
8740 "map": {"at": 197600, "to": "mm"},
8741 "name": "CP_INDEX_BASE_ADDR",
8742 "type_ref": "CP_MES_MTVEC_HI"
8743 },
8744 {
8745 "chips": ["gfx10"],
8746 "map": {"at": 197604, "to": "mm"},
8747 "name": "CP_INDEX_BASE_ADDR_HI",
8748 "type_ref": "CPC_SUSPEND_CTX_SAVE_BASE_ADDR_HI"
8749 },
8750 {
8751 "chips": ["gfx10"],
8752 "map": {"at": 197608, "to": "mm"},
8753 "name": "CP_INDEX_TYPE",
8754 "type_ref": "CP_INDEX_TYPE"
8755 },
8756 {
8757 "chips": ["gfx10"],
8758 "map": {"at": 197636, "to": "mm"},
8759 "name": "CP_ME_COHER_BASE",
8760 "type_ref": "CP_COHER_BASE"
8761 },
8762 {
8763 "chips": ["gfx10"],
8764 "map": {"at": 197640, "to": "mm"},
8765 "name": "CP_ME_COHER_BASE_HI",
8766 "type_ref": "CP_COHER_BASE_HI"
8767 },
8768 {
8769 "chips": ["gfx10"],
8770 "map": {"at": 197624, "to": "mm"},
8771 "name": "CP_ME_COHER_CNTL",
8772 "type_ref": "CP_ME_COHER_CNTL"
8773 },
8774 {
8775 "chips": ["gfx10"],
8776 "map": {"at": 197628, "to": "mm"},
8777 "name": "CP_ME_COHER_SIZE",
8778 "type_ref": "CP_COHER_SIZE"
8779 },
8780 {
8781 "chips": ["gfx10"],
8782 "map": {"at": 197632, "to": "mm"},
8783 "name": "CP_ME_COHER_SIZE_HI",
8784 "type_ref": "CP_COHER_SIZE_HI"
8785 },
8786 {
8787 "chips": ["gfx10"],
8788 "map": {"at": 197644, "to": "mm"},
8789 "name": "CP_ME_COHER_STATUS",
8790 "type_ref": "CP_ME_COHER_STATUS"
8791 },
8792 {
8793 "chips": ["gfx10"],
8794 "map": {"at": 197048, "to": "mm"},
8795 "name": "CP_ME_MC_RADDR_HI",
8796 "type_ref": "CP_ME_MC_RADDR_HI"
8797 },
8798 {
8799 "chips": ["gfx10"],
8800 "map": {"at": 197044, "to": "mm"},
8801 "name": "CP_ME_MC_RADDR_LO",
8802 "type_ref": "CP_ME_MC_RADDR_LO"
8803 },
8804 {
8805 "chips": ["gfx10"],
8806 "map": {"at": 197032, "to": "mm"},
8807 "name": "CP_ME_MC_WADDR_HI",
8808 "type_ref": "CP_ME_MC_WADDR_HI"
8809 },
8810 {
8811 "chips": ["gfx10"],
8812 "map": {"at": 197028, "to": "mm"},
8813 "name": "CP_ME_MC_WADDR_LO",
8814 "type_ref": "CP_ME_MC_WADDR_LO"
8815 },
8816 {
8817 "chips": ["gfx10"],
8818 "map": {"at": 197040, "to": "mm"},
8819 "name": "CP_ME_MC_WDATA_HI",
8820 "type_ref": "CP_ME_MC_WDATA_HI"
8821 },
8822 {
8823 "chips": ["gfx10"],
8824 "map": {"at": 197036, "to": "mm"},
8825 "name": "CP_ME_MC_WDATA_LO",
8826 "type_ref": "CP_ME_MC_WDATA_LO"
8827 },
8828 {
8829 "chips": ["gfx10"],
8830 "map": {"at": 196652, "to": "mm"},
8831 "name": "CP_NUM_PRIM_NEEDED_COUNT0_HI",
8832 "type_ref": "CP_NUM_PRIM_NEEDED_COUNT0_HI"
8833 },
8834 {
8835 "chips": ["gfx10"],
8836 "map": {"at": 196648, "to": "mm"},
8837 "name": "CP_NUM_PRIM_NEEDED_COUNT0_LO",
8838 "type_ref": "CP_NUM_PRIM_NEEDED_COUNT0_LO"
8839 },
8840 {
8841 "chips": ["gfx10"],
8842 "map": {"at": 196668, "to": "mm"},
8843 "name": "CP_NUM_PRIM_NEEDED_COUNT1_HI",
8844 "type_ref": "CP_NUM_PRIM_NEEDED_COUNT1_HI"
8845 },
8846 {
8847 "chips": ["gfx10"],
8848 "map": {"at": 196664, "to": "mm"},
8849 "name": "CP_NUM_PRIM_NEEDED_COUNT1_LO",
8850 "type_ref": "CP_NUM_PRIM_NEEDED_COUNT1_LO"
8851 },
8852 {
8853 "chips": ["gfx10"],
8854 "map": {"at": 196684, "to": "mm"},
8855 "name": "CP_NUM_PRIM_NEEDED_COUNT2_HI",
8856 "type_ref": "CP_NUM_PRIM_NEEDED_COUNT2_HI"
8857 },
8858 {
8859 "chips": ["gfx10"],
8860 "map": {"at": 196680, "to": "mm"},
8861 "name": "CP_NUM_PRIM_NEEDED_COUNT2_LO",
8862 "type_ref": "CP_NUM_PRIM_NEEDED_COUNT2_LO"
8863 },
8864 {
8865 "chips": ["gfx10"],
8866 "map": {"at": 196700, "to": "mm"},
8867 "name": "CP_NUM_PRIM_NEEDED_COUNT3_HI",
8868 "type_ref": "CP_NUM_PRIM_NEEDED_COUNT3_HI"
8869 },
8870 {
8871 "chips": ["gfx10"],
8872 "map": {"at": 196696, "to": "mm"},
8873 "name": "CP_NUM_PRIM_NEEDED_COUNT3_LO",
8874 "type_ref": "CP_NUM_PRIM_NEEDED_COUNT3_LO"
8875 },
8876 {
8877 "chips": ["gfx10"],
8878 "map": {"at": 196644, "to": "mm"},
8879 "name": "CP_NUM_PRIM_WRITTEN_COUNT0_HI",
8880 "type_ref": "CP_NUM_PRIM_WRITTEN_COUNT0_HI"
8881 },
8882 {
8883 "chips": ["gfx10"],
8884 "map": {"at": 196640, "to": "mm"},
8885 "name": "CP_NUM_PRIM_WRITTEN_COUNT0_LO",
8886 "type_ref": "CP_NUM_PRIM_WRITTEN_COUNT0_LO"
8887 },
8888 {
8889 "chips": ["gfx10"],
8890 "map": {"at": 196660, "to": "mm"},
8891 "name": "CP_NUM_PRIM_WRITTEN_COUNT1_HI",
8892 "type_ref": "CP_NUM_PRIM_WRITTEN_COUNT1_HI"
8893 },
8894 {
8895 "chips": ["gfx10"],
8896 "map": {"at": 196656, "to": "mm"},
8897 "name": "CP_NUM_PRIM_WRITTEN_COUNT1_LO",
8898 "type_ref": "CP_NUM_PRIM_WRITTEN_COUNT1_LO"
8899 },
8900 {
8901 "chips": ["gfx10"],
8902 "map": {"at": 196676, "to": "mm"},
8903 "name": "CP_NUM_PRIM_WRITTEN_COUNT2_HI",
8904 "type_ref": "CP_NUM_PRIM_WRITTEN_COUNT2_HI"
8905 },
8906 {
8907 "chips": ["gfx10"],
8908 "map": {"at": 196672, "to": "mm"},
8909 "name": "CP_NUM_PRIM_WRITTEN_COUNT2_LO",
8910 "type_ref": "CP_NUM_PRIM_WRITTEN_COUNT2_LO"
8911 },
8912 {
8913 "chips": ["gfx10"],
8914 "map": {"at": 196692, "to": "mm"},
8915 "name": "CP_NUM_PRIM_WRITTEN_COUNT3_HI",
8916 "type_ref": "CP_NUM_PRIM_WRITTEN_COUNT3_HI"
8917 },
8918 {
8919 "chips": ["gfx10"],
8920 "map": {"at": 196688, "to": "mm"},
8921 "name": "CP_NUM_PRIM_WRITTEN_COUNT3_LO",
8922 "type_ref": "CP_NUM_PRIM_WRITTEN_COUNT3_LO"
8923 },
8924 {
8925 "chips": ["gfx10"],
8926 "map": {"at": 196772, "to": "mm"},
8927 "name": "CP_PA_CINVOC_COUNT_HI",
8928 "type_ref": "CP_PA_CINVOC_COUNT_HI"
8929 },
8930 {
8931 "chips": ["gfx10"],
8932 "map": {"at": 196768, "to": "mm"},
8933 "name": "CP_PA_CINVOC_COUNT_LO",
8934 "type_ref": "CP_PA_CINVOC_COUNT_LO"
8935 },
8936 {
8937 "chips": ["gfx10"],
8938 "map": {"at": 196780, "to": "mm"},
8939 "name": "CP_PA_CPRIM_COUNT_HI",
8940 "type_ref": "CP_PA_CPRIM_COUNT_HI"
8941 },
8942 {
8943 "chips": ["gfx10"],
8944 "map": {"at": 196776, "to": "mm"},
8945 "name": "CP_PA_CPRIM_COUNT_LO",
8946 "type_ref": "CP_PA_CPRIM_COUNT_LO"
8947 },
8948 {
8949 "chips": ["gfx10"],
8950 "map": {"at": 221216, "to": "mm"},
8951 "name": "CP_PERFMON_CNTL",
8952 "type_ref": "CP_PERFMON_CNTL"
8953 },
8954 {
8955 "chips": ["gfx10"],
8956 "map": {"at": 164704, "to": "mm"},
8957 "name": "CP_PERFMON_CNTX_CNTL",
8958 "type_ref": "CP_PERFMON_CNTX_CNTL"
8959 },
8960 {
8961 "chips": ["gfx10"],
8962 "map": {"at": 196940, "to": "mm"},
8963 "name": "CP_PFP_ATOMIC_PREOP_HI",
8964 "type_ref": "CP_PFP_ATOMIC_PREOP_HI"
8965 },
8966 {
8967 "chips": ["gfx10"],
8968 "map": {"at": 196936, "to": "mm"},
8969 "name": "CP_PFP_ATOMIC_PREOP_LO",
8970 "type_ref": "CP_PFP_ATOMIC_PREOP_LO"
8971 },
8972 {
8973 "chips": ["gfx10"],
8974 "map": {"at": 197552, "to": "mm"},
8975 "name": "CP_PFP_COMPLETION_STATUS",
8976 "type_ref": "CP_PFP_COMPLETION_STATUS"
8977 },
8978 {
8979 "chips": ["gfx10"],
8980 "map": {"at": 196948, "to": "mm"},
8981 "name": "CP_PFP_GDS_ATOMIC0_PREOP_HI",
8982 "type_ref": "CP_PFP_GDS_ATOMIC0_PREOP_HI"
8983 },
8984 {
8985 "chips": ["gfx10"],
8986 "map": {"at": 196944, "to": "mm"},
8987 "name": "CP_PFP_GDS_ATOMIC0_PREOP_LO",
8988 "type_ref": "CP_PFP_GDS_ATOMIC0_PREOP_LO"
8989 },
8990 {
8991 "chips": ["gfx10"],
8992 "map": {"at": 196956, "to": "mm"},
8993 "name": "CP_PFP_GDS_ATOMIC1_PREOP_HI",
8994 "type_ref": "CP_PFP_GDS_ATOMIC1_PREOP_HI"
8995 },
8996 {
8997 "chips": ["gfx10"],
8998 "map": {"at": 196952, "to": "mm"},
8999 "name": "CP_PFP_GDS_ATOMIC1_PREOP_LO",
9000 "type_ref": "CP_PFP_GDS_ATOMIC1_PREOP_LO"
9001 },
9002 {
9003 "chips": ["gfx10"],
9004 "map": {"at": 197172, "to": "mm"},
9005 "name": "CP_PFP_IB_CONTROL",
9006 "type_ref": "CP_PFP_IB_CONTROL"
9007 },
9008 {
9009 "chips": ["gfx10"],
9010 "map": {"at": 197176, "to": "mm"},
9011 "name": "CP_PFP_LOAD_CONTROL",
9012 "type_ref": "CP_PFP_LOAD_CONTROL"
9013 },
9014 {
9015 "chips": ["gfx10"],
9016 "map": {"at": 197568, "to": "mm"},
9017 "name": "CP_PFP_METADATA_BASE_ADDR",
9018 "type_ref": "CP_MES_MTVEC_HI"
9019 },
9020 {
9021 "chips": ["gfx10"],
9022 "map": {"at": 197572, "to": "mm"},
9023 "name": "CP_PFP_METADATA_BASE_ADDR_HI",
9024 "type_ref": "CPC_SUSPEND_CTX_SAVE_BASE_ADDR_HI"
9025 },
9026 {
9027 "chips": ["gfx10"],
9028 "map": {"at": 196708, "to": "mm"},
9029 "name": "CP_PIPE_STATS_ADDR_HI",
9030 "type_ref": "CP_PIPE_STATS_ADDR_HI"
9031 },
9032 {
9033 "chips": ["gfx10"],
9034 "map": {"at": 196704, "to": "mm"},
9035 "name": "CP_PIPE_STATS_ADDR_LO",
9036 "type_ref": "CP_PIPE_STATS_ADDR_LO"
9037 },
9038 {
9039 "chips": ["gfx10"],
9040 "map": {"at": 196852, "to": "mm"},
9041 "name": "CP_PIPE_STATS_CONTROL",
9042 "type_ref": "CP_PIPE_STATS_CONTROL"
9043 },
9044 {
9045 "chips": ["gfx10"],
9046 "map": {"at": 196848, "to": "mm"},
9047 "name": "CP_PIPE_STATS_DOORBELL",
9048 "type_ref": "CP_EOP_DONE_DOORBELL"
9049 },
9050 {
9051 "chips": ["gfx10"],
9052 "map": {"at": 197560, "to": "mm"},
9053 "name": "CP_PRED_NOT_VISIBLE",
9054 "type_ref": "CP_PRED_NOT_VISIBLE"
9055 },
9056 {
9057 "chips": ["gfx10"],
9058 "map": {"at": 197620, "to": "mm"},
9059 "name": "CP_SAMPLE_STATUS",
9060 "type_ref": "CP_SAMPLE_STATUS"
9061 },
9062 {
9063 "chips": ["gfx10"],
9064 "map": {"at": 197184, "to": "mm"},
9065 "name": "CP_SCRATCH_DATA",
9066 "type_ref": "CP_MES_SCRATCH_DATA"
9067 },
9068 {
9069 "chips": ["gfx10"],
9070 "map": {"at": 197180, "to": "mm"},
9071 "name": "CP_SCRATCH_INDEX",
9072 "type_ref": "CP_SCRATCH_INDEX"
9073 },
9074 {
9075 "chips": ["gfx10"],
9076 "map": {"at": 196788, "to": "mm"},
9077 "name": "CP_SC_PSINVOC_COUNT0_HI",
9078 "type_ref": "CP_SC_PSINVOC_COUNT0_HI"
9079 },
9080 {
9081 "chips": ["gfx10"],
9082 "map": {"at": 196784, "to": "mm"},
9083 "name": "CP_SC_PSINVOC_COUNT0_LO",
9084 "type_ref": "CP_SC_PSINVOC_COUNT0_LO"
9085 },
9086 {
9087 "chips": ["gfx10"],
9088 "map": {"at": 196796, "to": "mm"},
9089 "name": "CP_SC_PSINVOC_COUNT1_HI",
9090 "type_ref": "CP_ECC_FIRSTOCCURRENCE_RING0"
9091 },
9092 {
9093 "chips": ["gfx10"],
9094 "map": {"at": 196792, "to": "mm"},
9095 "name": "CP_SC_PSINVOC_COUNT1_LO",
9096 "type_ref": "CP_ECC_FIRSTOCCURRENCE_RING0"
9097 },
9098 {
9099 "chips": ["gfx10"],
9100 "map": {"at": 197052, "to": "mm"},
9101 "name": "CP_SEM_WAIT_TIMER",
9102 "type_ref": "CP_SEM_WAIT_TIMER"
9103 },
9104 {
9105 "chips": ["gfx10"],
9106 "map": {"at": 197060, "to": "mm"},
9107 "name": "CP_SIG_SEM_ADDR_HI",
9108 "type_ref": "CP_SIG_SEM_ADDR_HI"
9109 },
9110 {
9111 "chips": ["gfx10"],
9112 "map": {"at": 197056, "to": "mm"},
9113 "name": "CP_SIG_SEM_ADDR_LO",
9114 "type_ref": "CP_SIG_SEM_ADDR_LO"
9115 },
9116 {
9117 "chips": ["gfx10"],
9118 "map": {"at": 196636, "to": "mm"},
9119 "name": "CP_STREAM_OUT_ADDR_HI",
9120 "type_ref": "CP_STREAM_OUT_ADDR_HI"
9121 },
9122 {
9123 "chips": ["gfx10"],
9124 "map": {"at": 196632, "to": "mm"},
9125 "name": "CP_STREAM_OUT_ADDR_LO",
9126 "type_ref": "CP_STREAM_OUT_ADDR_LO"
9127 },
9128 {
9129 "chips": ["gfx10"],
9130 "map": {"at": 196856, "to": "mm"},
9131 "name": "CP_STREAM_OUT_CONTROL",
9132 "type_ref": "CP_PIPE_STATS_CONTROL"
9133 },
9134 {
9135 "chips": ["gfx10"],
9136 "map": {"at": 196812, "to": "mm"},
9137 "name": "CP_STREAM_OUT_DOORBELL",
9138 "type_ref": "CP_EOP_DONE_DOORBELL"
9139 },
9140 {
9141 "chips": ["gfx10"],
9142 "map": {"at": 196860, "to": "mm"},
9143 "name": "CP_STRMOUT_CNTL",
9144 "type_ref": "CP_STRMOUT_CNTL"
9145 },
9146 {
9147 "chips": ["gfx10"],
9148 "map": {"at": 197452, "to": "mm"},
9149 "name": "CP_ST_BASE_HI",
9150 "type_ref": "CP_ST_BASE_HI"
9151 },
9152 {
9153 "chips": ["gfx10"],
9154 "map": {"at": 197448, "to": "mm"},
9155 "name": "CP_ST_BASE_LO",
9156 "type_ref": "CP_ST_BASE_LO"
9157 },
9158 {
9159 "chips": ["gfx10"],
9160 "map": {"at": 197456, "to": "mm"},
9161 "name": "CP_ST_BUFSZ",
9162 "type_ref": "CP_ST_BUFSZ"
9163 },
9164 {
9165 "chips": ["gfx10"],
9166 "map": {"at": 197384, "to": "mm"},
9167 "name": "CP_ST_CMD_BUFSZ",
9168 "type_ref": "CP_ST_CMD_BUFSZ"
9169 },
9170 {
9171 "chips": ["gfx10"],
9172 "map": {"at": 196804, "to": "mm"},
9173 "name": "CP_VGT_CSINVOC_COUNT_HI",
9174 "type_ref": "CP_VGT_CSINVOC_COUNT_HI"
9175 },
9176 {
9177 "chips": ["gfx10"],
9178 "map": {"at": 196800, "to": "mm"},
9179 "name": "CP_VGT_CSINVOC_COUNT_LO",
9180 "type_ref": "CP_VGT_CSINVOC_COUNT_LO"
9181 },
9182 {
9183 "chips": ["gfx10"],
9184 "map": {"at": 196764, "to": "mm"},
9185 "name": "CP_VGT_DSINVOC_COUNT_HI",
9186 "type_ref": "CP_VGT_DSINVOC_COUNT_HI"
9187 },
9188 {
9189 "chips": ["gfx10"],
9190 "map": {"at": 196760, "to": "mm"},
9191 "name": "CP_VGT_DSINVOC_COUNT_LO",
9192 "type_ref": "CP_VGT_DSINVOC_COUNT_LO"
9193 },
9194 {
9195 "chips": ["gfx10"],
9196 "map": {"at": 196748, "to": "mm"},
9197 "name": "CP_VGT_GSINVOC_COUNT_HI",
9198 "type_ref": "CP_VGT_GSINVOC_COUNT_HI"
9199 },
9200 {
9201 "chips": ["gfx10"],
9202 "map": {"at": 196744, "to": "mm"},
9203 "name": "CP_VGT_GSINVOC_COUNT_LO",
9204 "type_ref": "CP_VGT_GSINVOC_COUNT_LO"
9205 },
9206 {
9207 "chips": ["gfx10"],
9208 "map": {"at": 196732, "to": "mm"},
9209 "name": "CP_VGT_GSPRIM_COUNT_HI",
9210 "type_ref": "CP_VGT_GSPRIM_COUNT_HI"
9211 },
9212 {
9213 "chips": ["gfx10"],
9214 "map": {"at": 196728, "to": "mm"},
9215 "name": "CP_VGT_GSPRIM_COUNT_LO",
9216 "type_ref": "CP_VGT_GSPRIM_COUNT_LO"
9217 },
9218 {
9219 "chips": ["gfx10"],
9220 "map": {"at": 196756, "to": "mm"},
9221 "name": "CP_VGT_HSINVOC_COUNT_HI",
9222 "type_ref": "CP_VGT_HSINVOC_COUNT_HI"
9223 },
9224 {
9225 "chips": ["gfx10"],
9226 "map": {"at": 196752, "to": "mm"},
9227 "name": "CP_VGT_HSINVOC_COUNT_LO",
9228 "type_ref": "CP_VGT_HSINVOC_COUNT_LO"
9229 },
9230 {
9231 "chips": ["gfx10"],
9232 "map": {"at": 196724, "to": "mm"},
9233 "name": "CP_VGT_IAPRIM_COUNT_HI",
9234 "type_ref": "CP_VGT_IAPRIM_COUNT_HI"
9235 },
9236 {
9237 "chips": ["gfx10"],
9238 "map": {"at": 196720, "to": "mm"},
9239 "name": "CP_VGT_IAPRIM_COUNT_LO",
9240 "type_ref": "CP_VGT_IAPRIM_COUNT_LO"
9241 },
9242 {
9243 "chips": ["gfx10"],
9244 "map": {"at": 196716, "to": "mm"},
9245 "name": "CP_VGT_IAVERT_COUNT_HI",
9246 "type_ref": "CP_VGT_IAVERT_COUNT_HI"
9247 },
9248 {
9249 "chips": ["gfx10"],
9250 "map": {"at": 196712, "to": "mm"},
9251 "name": "CP_VGT_IAVERT_COUNT_LO",
9252 "type_ref": "CP_VGT_IAVERT_COUNT_LO"
9253 },
9254 {
9255 "chips": ["gfx10"],
9256 "map": {"at": 196740, "to": "mm"},
9257 "name": "CP_VGT_VSINVOC_COUNT_HI",
9258 "type_ref": "CP_VGT_VSINVOC_COUNT_HI"
9259 },
9260 {
9261 "chips": ["gfx10"],
9262 "map": {"at": 196736, "to": "mm"},
9263 "name": "CP_VGT_VSINVOC_COUNT_LO",
9264 "type_ref": "CP_VGT_VSINVOC_COUNT_LO"
9265 },
9266 {
9267 "chips": ["gfx10"],
9268 "map": {"at": 164712, "to": "mm"},
9269 "name": "CP_VMID",
9270 "type_ref": "CP_ME0_PIPE0_VMID"
9271 },
9272 {
9273 "chips": ["gfx10"],
9274 "map": {"at": 197072, "to": "mm"},
9275 "name": "CP_WAIT_REG_MEM_TIMEOUT",
9276 "type_ref": "CP_WAIT_REG_MEM_TIMEOUT"
9277 },
9278 {
9279 "chips": ["gfx10"],
9280 "map": {"at": 197080, "to": "mm"},
9281 "name": "CP_WAIT_SEM_ADDR_HI",
9282 "type_ref": "CP_SIG_SEM_ADDR_HI"
9283 },
9284 {
9285 "chips": ["gfx10"],
9286 "map": {"at": 197076, "to": "mm"},
9287 "name": "CP_WAIT_SEM_ADDR_LO",
9288 "type_ref": "CP_SIG_SEM_ADDR_LO"
9289 },
9290 {
9291 "chips": ["gfx10"],
9292 "map": {"at": 165836, "to": "mm"},
9293 "name": "CS_COPY_STATE",
9294 "type_ref": "CS_COPY_STATE"
9295 },
9296 {
9297 "chips": ["gfx10"],
9298 "map": {"at": 166768, "to": "mm"},
9299 "name": "DB_ALPHA_TO_MASK",
9300 "type_ref": "DB_ALPHA_TO_MASK"
9301 },
9302 {
9303 "chips": ["gfx10"],
9304 "map": {"at": 163844, "to": "mm"},
9305 "name": "DB_COUNT_CONTROL",
9306 "type_ref": "DB_COUNT_CONTROL"
9307 },
9308 {
9309 "chips": ["gfx10"],
9310 "map": {"at": 163876, "to": "mm"},
9311 "name": "DB_DEPTH_BOUNDS_MAX",
9312 "type_ref": "DB_DEPTH_BOUNDS_MAX"
9313 },
9314 {
9315 "chips": ["gfx10"],
9316 "map": {"at": 163872, "to": "mm"},
9317 "name": "DB_DEPTH_BOUNDS_MIN",
9318 "type_ref": "DB_DEPTH_BOUNDS_MIN"
9319 },
9320 {
9321 "chips": ["gfx10"],
9322 "map": {"at": 163884, "to": "mm"},
9323 "name": "DB_DEPTH_CLEAR",
9324 "type_ref": "DB_DEPTH_CLEAR"
9325 },
9326 {
9327 "chips": ["gfx10"],
9328 "map": {"at": 165888, "to": "mm"},
9329 "name": "DB_DEPTH_CONTROL",
9330 "type_ref": "DB_DEPTH_CONTROL"
9331 },
9332 {
9333 "chips": ["gfx10"],
9334 "map": {"at": 163900, "to": "mm"},
9335 "name": "DB_DEPTH_INFO",
9336 "type_ref": "DB_DEPTH_INFO"
9337 },
9338 {
9339 "chips": ["gfx10"],
9340 "map": {"at": 163868, "to": "mm"},
9341 "name": "DB_DEPTH_SIZE_XY",
9342 "type_ref": "DB_DEPTH_SIZE_XY"
9343 },
9344 {
9345 "chips": ["gfx10"],
9346 "map": {"at": 163848, "to": "mm"},
9347 "name": "DB_DEPTH_VIEW",
9348 "type_ref": "DB_DEPTH_VIEW"
9349 },
9350 {
9351 "chips": ["gfx10"],
9352 "map": {"at": 163896, "to": "mm"},
9353 "name": "DB_DFSM_CONTROL",
9354 "type_ref": "DB_DFSM_CONTROL"
9355 },
9356 {
9357 "chips": ["gfx10"],
9358 "map": {"at": 165892, "to": "mm"},
9359 "name": "DB_EQAA",
9360 "type_ref": "DB_EQAA"
9361 },
9362 {
9363 "chips": ["gfx10"],
9364 "map": {"at": 163860, "to": "mm"},
9365 "name": "DB_HTILE_DATA_BASE",
9366 "type_ref": "CB_COLOR0_BASE"
9367 },
9368 {
9369 "chips": ["gfx10"],
9370 "map": {"at": 163960, "to": "mm"},
9371 "name": "DB_HTILE_DATA_BASE_HI",
9372 "type_ref": "DB_Z_READ_BASE_HI"
9373 },
9374 {
9375 "chips": ["gfx10"],
9376 "map": {"at": 166588, "to": "mm"},
9377 "name": "DB_HTILE_SURFACE",
9378 "type_ref": "DB_HTILE_SURFACE"
9379 },
9380 {
9381 "chips": ["gfx10"],
9382 "map": {"at": 200452, "to": "mm"},
9383 "name": "DB_OCCLUSION_COUNT0_HI",
9384 "type_ref": "DB_ZPASS_COUNT_HI"
9385 },
9386 {
9387 "chips": ["gfx10"],
9388 "map": {"at": 200448, "to": "mm"},
9389 "name": "DB_OCCLUSION_COUNT0_LOW",
9390 "type_ref": "DB_ZPASS_COUNT_LOW"
9391 },
9392 {
9393 "chips": ["gfx10"],
9394 "map": {"at": 200460, "to": "mm"},
9395 "name": "DB_OCCLUSION_COUNT1_HI",
9396 "type_ref": "DB_ZPASS_COUNT_HI"
9397 },
9398 {
9399 "chips": ["gfx10"],
9400 "map": {"at": 200456, "to": "mm"},
9401 "name": "DB_OCCLUSION_COUNT1_LOW",
9402 "type_ref": "DB_ZPASS_COUNT_LOW"
9403 },
9404 {
9405 "chips": ["gfx10"],
9406 "map": {"at": 200468, "to": "mm"},
9407 "name": "DB_OCCLUSION_COUNT2_HI",
9408 "type_ref": "DB_ZPASS_COUNT_HI"
9409 },
9410 {
9411 "chips": ["gfx10"],
9412 "map": {"at": 200464, "to": "mm"},
9413 "name": "DB_OCCLUSION_COUNT2_LOW",
9414 "type_ref": "DB_ZPASS_COUNT_LOW"
9415 },
9416 {
9417 "chips": ["gfx10"],
9418 "map": {"at": 200476, "to": "mm"},
9419 "name": "DB_OCCLUSION_COUNT3_HI",
9420 "type_ref": "DB_ZPASS_COUNT_HI"
9421 },
9422 {
9423 "chips": ["gfx10"],
9424 "map": {"at": 200472, "to": "mm"},
9425 "name": "DB_OCCLUSION_COUNT3_LOW",
9426 "type_ref": "DB_ZPASS_COUNT_LOW"
9427 },
9428 {
9429 "chips": ["gfx10"],
9430 "map": {"at": 217348, "to": "mm"},
9431 "name": "DB_PERFCOUNTER0_HI",
9432 "type_ref": "CB_PERFCOUNTER0_HI"
9433 },
9434 {
9435 "chips": ["gfx10"],
9436 "map": {"at": 217344, "to": "mm"},
9437 "name": "DB_PERFCOUNTER0_LO",
9438 "type_ref": "CB_PERFCOUNTER0_LO"
9439 },
9440 {
9441 "chips": ["gfx10"],
9442 "map": {"at": 225536, "to": "mm"},
9443 "name": "DB_PERFCOUNTER0_SELECT",
9444 "type_ref": "DB_PERFCOUNTER0_SELECT"
9445 },
9446 {
9447 "chips": ["gfx10"],
9448 "map": {"at": 225540, "to": "mm"},
9449 "name": "DB_PERFCOUNTER0_SELECT1",
9450 "type_ref": "DB_PERFCOUNTER0_SELECT1"
9451 },
9452 {
9453 "chips": ["gfx10"],
9454 "map": {"at": 217356, "to": "mm"},
9455 "name": "DB_PERFCOUNTER1_HI",
9456 "type_ref": "CB_PERFCOUNTER0_HI"
9457 },
9458 {
9459 "chips": ["gfx10"],
9460 "map": {"at": 217352, "to": "mm"},
9461 "name": "DB_PERFCOUNTER1_LO",
9462 "type_ref": "CB_PERFCOUNTER0_LO"
9463 },
9464 {
9465 "chips": ["gfx10"],
9466 "map": {"at": 225544, "to": "mm"},
9467 "name": "DB_PERFCOUNTER1_SELECT",
9468 "type_ref": "DB_PERFCOUNTER0_SELECT"
9469 },
9470 {
9471 "chips": ["gfx10"],
9472 "map": {"at": 225548, "to": "mm"},
9473 "name": "DB_PERFCOUNTER1_SELECT1",
9474 "type_ref": "DB_PERFCOUNTER0_SELECT1"
9475 },
9476 {
9477 "chips": ["gfx10"],
9478 "map": {"at": 217364, "to": "mm"},
9479 "name": "DB_PERFCOUNTER2_HI",
9480 "type_ref": "CB_PERFCOUNTER0_HI"
9481 },
9482 {
9483 "chips": ["gfx10"],
9484 "map": {"at": 217360, "to": "mm"},
9485 "name": "DB_PERFCOUNTER2_LO",
9486 "type_ref": "CB_PERFCOUNTER0_LO"
9487 },
9488 {
9489 "chips": ["gfx10"],
9490 "map": {"at": 225552, "to": "mm"},
9491 "name": "DB_PERFCOUNTER2_SELECT",
9492 "type_ref": "DB_PERFCOUNTER0_SELECT"
9493 },
9494 {
9495 "chips": ["gfx10"],
9496 "map": {"at": 217372, "to": "mm"},
9497 "name": "DB_PERFCOUNTER3_HI",
9498 "type_ref": "CB_PERFCOUNTER0_HI"
9499 },
9500 {
9501 "chips": ["gfx10"],
9502 "map": {"at": 217368, "to": "mm"},
9503 "name": "DB_PERFCOUNTER3_LO",
9504 "type_ref": "CB_PERFCOUNTER0_LO"
9505 },
9506 {
9507 "chips": ["gfx10"],
9508 "map": {"at": 225560, "to": "mm"},
9509 "name": "DB_PERFCOUNTER3_SELECT",
9510 "type_ref": "DB_PERFCOUNTER0_SELECT"
9511 },
9512 {
9513 "chips": ["gfx10"],
9514 "map": {"at": 166600, "to": "mm"},
9515 "name": "DB_PRELOAD_CONTROL",
9516 "type_ref": "DB_PRELOAD_CONTROL"
9517 },
9518 {
9519 "chips": ["gfx10"],
9520 "map": {"at": 163840, "to": "mm"},
9521 "name": "DB_RENDER_CONTROL",
9522 "type_ref": "DB_RENDER_CONTROL"
9523 },
9524 {
9525 "chips": ["gfx10"],
9526 "map": {"at": 163852, "to": "mm"},
9527 "name": "DB_RENDER_OVERRIDE",
9528 "type_ref": "DB_RENDER_OVERRIDE"
9529 },
9530 {
9531 "chips": ["gfx10"],
9532 "map": {"at": 163856, "to": "mm"},
9533 "name": "DB_RENDER_OVERRIDE2",
9534 "type_ref": "DB_RENDER_OVERRIDE2"
9535 },
9536 {
9537 "chips": ["gfx10"],
9538 "map": {"at": 163964, "to": "mm"},
9539 "name": "DB_RMI_L2_CACHE_CONTROL",
9540 "type_ref": "DB_RMI_L2_CACHE_CONTROL"
9541 },
9542 {
9543 "chips": ["gfx10"],
9544 "map": {"at": 165900, "to": "mm"},
9545 "name": "DB_SHADER_CONTROL",
9546 "type_ref": "DB_SHADER_CONTROL"
9547 },
9548 {
9549 "chips": ["gfx10"],
9550 "map": {"at": 166592, "to": "mm"},
9551 "name": "DB_SRESULTS_COMPARE_STATE0",
9552 "type_ref": "DB_SRESULTS_COMPARE_STATE0"
9553 },
9554 {
9555 "chips": ["gfx10"],
9556 "map": {"at": 166596, "to": "mm"},
9557 "name": "DB_SRESULTS_COMPARE_STATE1",
9558 "type_ref": "DB_SRESULTS_COMPARE_STATE1"
9559 },
9560 {
9561 "chips": ["gfx10"],
9562 "map": {"at": 164912, "to": "mm"},
9563 "name": "DB_STENCILREFMASK",
9564 "type_ref": "DB_STENCILREFMASK"
9565 },
9566 {
9567 "chips": ["gfx10"],
9568 "map": {"at": 164916, "to": "mm"},
9569 "name": "DB_STENCILREFMASK_BF",
9570 "type_ref": "DB_STENCILREFMASK_BF"
9571 },
9572 {
9573 "chips": ["gfx10"],
9574 "map": {"at": 163880, "to": "mm"},
9575 "name": "DB_STENCIL_CLEAR",
9576 "type_ref": "DB_STENCIL_CLEAR"
9577 },
9578 {
9579 "chips": ["gfx10"],
9580 "map": {"at": 164908, "to": "mm"},
9581 "name": "DB_STENCIL_CONTROL",
9582 "type_ref": "DB_STENCIL_CONTROL"
9583 },
9584 {
9585 "chips": ["gfx10"],
9586 "map": {"at": 163908, "to": "mm"},
9587 "name": "DB_STENCIL_INFO",
9588 "type_ref": "DB_STENCIL_INFO"
9589 },
9590 {
9591 "chips": ["gfx10"],
9592 "map": {"at": 163916, "to": "mm"},
9593 "name": "DB_STENCIL_READ_BASE",
9594 "type_ref": "CB_COLOR0_BASE"
9595 },
9596 {
9597 "chips": ["gfx10"],
9598 "map": {"at": 163948, "to": "mm"},
9599 "name": "DB_STENCIL_READ_BASE_HI",
9600 "type_ref": "DB_Z_READ_BASE_HI"
9601 },
9602 {
9603 "chips": ["gfx10"],
9604 "map": {"at": 163924, "to": "mm"},
9605 "name": "DB_STENCIL_WRITE_BASE",
9606 "type_ref": "CB_COLOR0_BASE"
9607 },
9608 {
9609 "chips": ["gfx10"],
9610 "map": {"at": 163956, "to": "mm"},
9611 "name": "DB_STENCIL_WRITE_BASE_HI",
9612 "type_ref": "DB_Z_READ_BASE_HI"
9613 },
9614 {
9615 "chips": ["gfx10"],
9616 "map": {"at": 200700, "to": "mm"},
9617 "name": "DB_ZPASS_COUNT_HI",
9618 "type_ref": "DB_ZPASS_COUNT_HI"
9619 },
9620 {
9621 "chips": ["gfx10"],
9622 "map": {"at": 200696, "to": "mm"},
9623 "name": "DB_ZPASS_COUNT_LOW",
9624 "type_ref": "DB_ZPASS_COUNT_LOW"
9625 },
9626 {
9627 "chips": ["gfx10"],
9628 "map": {"at": 163904, "to": "mm"},
9629 "name": "DB_Z_INFO",
9630 "type_ref": "DB_Z_INFO"
9631 },
9632 {
9633 "chips": ["gfx10"],
9634 "map": {"at": 163912, "to": "mm"},
9635 "name": "DB_Z_READ_BASE",
9636 "type_ref": "CB_COLOR0_BASE"
9637 },
9638 {
9639 "chips": ["gfx10"],
9640 "map": {"at": 163944, "to": "mm"},
9641 "name": "DB_Z_READ_BASE_HI",
9642 "type_ref": "DB_Z_READ_BASE_HI"
9643 },
9644 {
9645 "chips": ["gfx10"],
9646 "map": {"at": 163920, "to": "mm"},
9647 "name": "DB_Z_WRITE_BASE",
9648 "type_ref": "CB_COLOR0_BASE"
9649 },
9650 {
9651 "chips": ["gfx10"],
9652 "map": {"at": 163952, "to": "mm"},
9653 "name": "DB_Z_WRITE_BASE_HI",
9654 "type_ref": "DB_Z_READ_BASE_HI"
9655 },
9656 {
9657 "chips": ["gfx10"],
9658 "map": {"at": 218244, "to": "mm"},
9659 "name": "GCR_PERFCOUNTER0_HI",
9660 "type_ref": "CB_PERFCOUNTER0_HI"
9661 },
9662 {
9663 "chips": ["gfx10"],
9664 "map": {"at": 218240, "to": "mm"},
9665 "name": "GCR_PERFCOUNTER0_LO",
9666 "type_ref": "CB_PERFCOUNTER0_LO"
9667 },
9668 {
9669 "chips": ["gfx10"],
9670 "map": {"at": 226688, "to": "mm"},
9671 "name": "GCR_PERFCOUNTER0_SELECT",
9672 "type_ref": "GCR_PERFCOUNTER0_SELECT"
9673 },
9674 {
9675 "chips": ["gfx10"],
9676 "map": {"at": 226692, "to": "mm"},
9677 "name": "GCR_PERFCOUNTER0_SELECT1",
9678 "type_ref": "GCR_PERFCOUNTER0_SELECT1"
9679 },
9680 {
9681 "chips": ["gfx10"],
9682 "map": {"at": 218252, "to": "mm"},
9683 "name": "GCR_PERFCOUNTER1_HI",
9684 "type_ref": "CB_PERFCOUNTER0_HI"
9685 },
9686 {
9687 "chips": ["gfx10"],
9688 "map": {"at": 218248, "to": "mm"},
9689 "name": "GCR_PERFCOUNTER1_LO",
9690 "type_ref": "CB_PERFCOUNTER0_LO"
9691 },
9692 {
9693 "chips": ["gfx10"],
9694 "map": {"at": 226696, "to": "mm"},
9695 "name": "GCR_PERFCOUNTER1_SELECT",
9696 "type_ref": "GCR_PERFCOUNTER1_SELECT"
9697 },
9698 {
9699 "chips": ["gfx10"],
9700 "map": {"at": 200752, "to": "mm"},
9701 "name": "GDS_ATOM_BASE",
9702 "type_ref": "GDS_ATOM_BASE"
9703 },
9704 {
9705 "chips": ["gfx10"],
9706 "map": {"at": 200744, "to": "mm"},
9707 "name": "GDS_ATOM_CNTL",
9708 "type_ref": "GDS_ATOM_CNTL"
9709 },
9710 {
9711 "chips": ["gfx10"],
9712 "map": {"at": 200748, "to": "mm"},
9713 "name": "GDS_ATOM_COMPLETE",
9714 "type_ref": "GDS_ATOM_COMPLETE"
9715 },
9716 {
9717 "chips": ["gfx10"],
9718 "map": {"at": 200768, "to": "mm"},
9719 "name": "GDS_ATOM_DST",
9720 "type_ref": "GDS_ATOM_DST"
9721 },
9722 {
9723 "chips": ["gfx10"],
9724 "map": {"at": 200760, "to": "mm"},
9725 "name": "GDS_ATOM_OFFSET0",
9726 "type_ref": "GDS_ATOM_OFFSET0"
9727 },
9728 {
9729 "chips": ["gfx10"],
9730 "map": {"at": 200764, "to": "mm"},
9731 "name": "GDS_ATOM_OFFSET1",
9732 "type_ref": "GDS_ATOM_OFFSET1"
9733 },
9734 {
9735 "chips": ["gfx10"],
9736 "map": {"at": 200772, "to": "mm"},
9737 "name": "GDS_ATOM_OP",
9738 "type_ref": "GDS_ATOM_OP"
9739 },
9740 {
9741 "chips": ["gfx10"],
9742 "map": {"at": 200792, "to": "mm"},
9743 "name": "GDS_ATOM_READ0",
9744 "type_ref": "COMPUTE_PGM_LO"
9745 },
9746 {
9747 "chips": ["gfx10"],
9748 "map": {"at": 200796, "to": "mm"},
9749 "name": "GDS_ATOM_READ0_U",
9750 "type_ref": "COMPUTE_PGM_LO"
9751 },
9752 {
9753 "chips": ["gfx10"],
9754 "map": {"at": 200800, "to": "mm"},
9755 "name": "GDS_ATOM_READ1",
9756 "type_ref": "COMPUTE_PGM_LO"
9757 },
9758 {
9759 "chips": ["gfx10"],
9760 "map": {"at": 200804, "to": "mm"},
9761 "name": "GDS_ATOM_READ1_U",
9762 "type_ref": "COMPUTE_PGM_LO"
9763 },
9764 {
9765 "chips": ["gfx10"],
9766 "map": {"at": 200756, "to": "mm"},
9767 "name": "GDS_ATOM_SIZE",
9768 "type_ref": "GDS_ATOM_SIZE"
9769 },
9770 {
9771 "chips": ["gfx10"],
9772 "map": {"at": 200776, "to": "mm"},
9773 "name": "GDS_ATOM_SRC0",
9774 "type_ref": "COMPUTE_PGM_LO"
9775 },
9776 {
9777 "chips": ["gfx10"],
9778 "map": {"at": 200780, "to": "mm"},
9779 "name": "GDS_ATOM_SRC0_U",
9780 "type_ref": "COMPUTE_PGM_LO"
9781 },
9782 {
9783 "chips": ["gfx10"],
9784 "map": {"at": 200784, "to": "mm"},
9785 "name": "GDS_ATOM_SRC1",
9786 "type_ref": "COMPUTE_PGM_LO"
9787 },
9788 {
9789 "chips": ["gfx10"],
9790 "map": {"at": 200788, "to": "mm"},
9791 "name": "GDS_ATOM_SRC1_U",
9792 "type_ref": "COMPUTE_PGM_LO"
9793 },
9794 {
9795 "chips": ["gfx10"],
9796 "map": {"at": 200812, "to": "mm"},
9797 "name": "GDS_GWS_RESOURCE",
9798 "type_ref": "GDS_GWS_RESOURCE"
9799 },
9800 {
9801 "chips": ["gfx10"],
9802 "map": {"at": 200816, "to": "mm"},
9803 "name": "GDS_GWS_RESOURCE_CNT",
9804 "type_ref": "GDS_GWS_RESOURCE_CNT"
9805 },
9806 {
9807 "chips": ["gfx10"],
9808 "map": {"at": 200808, "to": "mm"},
9809 "name": "GDS_GWS_RESOURCE_CNTL",
9810 "type_ref": "GDS_GWS_RESOURCE_CNTL"
9811 },
9812 {
9813 "chips": ["gfx10"],
9814 "map": {"at": 200828, "to": "mm"},
9815 "name": "GDS_OA_ADDRESS",
9816 "type_ref": "GDS_OA_ADDRESS"
9817 },
9818 {
9819 "chips": ["gfx10"],
9820 "map": {"at": 200820, "to": "mm"},
9821 "name": "GDS_OA_CNTL",
9822 "type_ref": "GDS_OA_CNTL"
9823 },
9824 {
9825 "chips": ["gfx10"],
9826 "map": {"at": 200824, "to": "mm"},
9827 "name": "GDS_OA_COUNTER",
9828 "type_ref": "GDS_OA_COUNTER"
9829 },
9830 {
9831 "chips": ["gfx10"],
9832 "map": {"at": 200832, "to": "mm"},
9833 "name": "GDS_OA_INCDEC",
9834 "type_ref": "GDS_OA_INCDEC"
9835 },
9836 {
9837 "chips": ["gfx10"],
9838 "map": {"at": 200836, "to": "mm"},
9839 "name": "GDS_OA_RING_SIZE",
9840 "type_ref": "GDS_OA_RING_SIZE"
9841 },
9842 {
9843 "chips": ["gfx10"],
9844 "map": {"at": 215556, "to": "mm"},
9845 "name": "GDS_PERFCOUNTER0_HI",
9846 "type_ref": "CB_PERFCOUNTER0_HI"
9847 },
9848 {
9849 "chips": ["gfx10"],
9850 "map": {"at": 215552, "to": "mm"},
9851 "name": "GDS_PERFCOUNTER0_LO",
9852 "type_ref": "CB_PERFCOUNTER0_LO"
9853 },
9854 {
9855 "chips": ["gfx10"],
9856 "map": {"at": 223744, "to": "mm"},
9857 "name": "GDS_PERFCOUNTER0_SELECT",
9858 "type_ref": "DB_PERFCOUNTER0_SELECT"
9859 },
9860 {
9861 "chips": ["gfx10"],
9862 "map": {"at": 223760, "to": "mm"},
9863 "name": "GDS_PERFCOUNTER0_SELECT1",
9864 "type_ref": "DB_PERFCOUNTER0_SELECT1"
9865 },
9866 {
9867 "chips": ["gfx10"],
9868 "map": {"at": 215564, "to": "mm"},
9869 "name": "GDS_PERFCOUNTER1_HI",
9870 "type_ref": "CB_PERFCOUNTER0_HI"
9871 },
9872 {
9873 "chips": ["gfx10"],
9874 "map": {"at": 215560, "to": "mm"},
9875 "name": "GDS_PERFCOUNTER1_LO",
9876 "type_ref": "CB_PERFCOUNTER0_LO"
9877 },
9878 {
9879 "chips": ["gfx10"],
9880 "map": {"at": 223748, "to": "mm"},
9881 "name": "GDS_PERFCOUNTER1_SELECT",
9882 "type_ref": "DB_PERFCOUNTER0_SELECT"
9883 },
9884 {
9885 "chips": ["gfx10"],
9886 "map": {"at": 215572, "to": "mm"},
9887 "name": "GDS_PERFCOUNTER2_HI",
9888 "type_ref": "CB_PERFCOUNTER0_HI"
9889 },
9890 {
9891 "chips": ["gfx10"],
9892 "map": {"at": 215568, "to": "mm"},
9893 "name": "GDS_PERFCOUNTER2_LO",
9894 "type_ref": "CB_PERFCOUNTER0_LO"
9895 },
9896 {
9897 "chips": ["gfx10"],
9898 "map": {"at": 223752, "to": "mm"},
9899 "name": "GDS_PERFCOUNTER2_SELECT",
9900 "type_ref": "DB_PERFCOUNTER0_SELECT"
9901 },
9902 {
9903 "chips": ["gfx10"],
9904 "map": {"at": 215580, "to": "mm"},
9905 "name": "GDS_PERFCOUNTER3_HI",
9906 "type_ref": "CB_PERFCOUNTER0_HI"
9907 },
9908 {
9909 "chips": ["gfx10"],
9910 "map": {"at": 215576, "to": "mm"},
9911 "name": "GDS_PERFCOUNTER3_LO",
9912 "type_ref": "CB_PERFCOUNTER0_LO"
9913 },
9914 {
9915 "chips": ["gfx10"],
9916 "map": {"at": 223756, "to": "mm"},
9917 "name": "GDS_PERFCOUNTER3_SELECT",
9918 "type_ref": "DB_PERFCOUNTER0_SELECT"
9919 },
9920 {
9921 "chips": ["gfx10"],
9922 "map": {"at": 200704, "to": "mm"},
9923 "name": "GDS_RD_ADDR",
9924 "type_ref": "GDS_RD_ADDR"
9925 },
9926 {
9927 "chips": ["gfx10"],
9928 "map": {"at": 200712, "to": "mm"},
9929 "name": "GDS_RD_BURST_ADDR",
9930 "type_ref": "GDS_RD_BURST_ADDR"
9931 },
9932 {
9933 "chips": ["gfx10"],
9934 "map": {"at": 200716, "to": "mm"},
9935 "name": "GDS_RD_BURST_COUNT",
9936 "type_ref": "GDS_RD_BURST_COUNT"
9937 },
9938 {
9939 "chips": ["gfx10"],
9940 "map": {"at": 200720, "to": "mm"},
9941 "name": "GDS_RD_BURST_DATA",
9942 "type_ref": "GDS_RD_BURST_DATA"
9943 },
9944 {
9945 "chips": ["gfx10"],
9946 "map": {"at": 200708, "to": "mm"},
9947 "name": "GDS_RD_DATA",
9948 "type_ref": "GDS_RD_DATA"
9949 },
9950 {
9951 "chips": ["gfx10"],
9952 "map": {"at": 200740, "to": "mm"},
9953 "name": "GDS_WRITE_COMPLETE",
9954 "type_ref": "GDS_WRITE_COMPLETE"
9955 },
9956 {
9957 "chips": ["gfx10"],
9958 "map": {"at": 200724, "to": "mm"},
9959 "name": "GDS_WR_ADDR",
9960 "type_ref": "GDS_WR_ADDR"
9961 },
9962 {
9963 "chips": ["gfx10"],
9964 "map": {"at": 200732, "to": "mm"},
9965 "name": "GDS_WR_BURST_ADDR",
9966 "type_ref": "GDS_WR_ADDR"
9967 },
9968 {
9969 "chips": ["gfx10"],
9970 "map": {"at": 200736, "to": "mm"},
9971 "name": "GDS_WR_BURST_DATA",
9972 "type_ref": "GDS_WR_DATA"
9973 },
9974 {
9975 "chips": ["gfx10"],
9976 "map": {"at": 200728, "to": "mm"},
9977 "name": "GDS_WR_DATA",
9978 "type_ref": "GDS_WR_DATA"
9979 },
9980 {
9981 "chips": ["gfx10"],
9982 "map": {"at": 199020, "to": "mm"},
9983 "name": "GE_CNTL",
9984 "type_ref": "GE_CNTL"
9985 },
9986 {
9987 "chips": ["gfx10"],
9988 "map": {"at": 198980, "to": "mm"},
9989 "name": "GE_DMA_FIRST_INDEX",
9990 "type_ref": "GE_DMA_FIRST_INDEX"
9991 },
9992 {
9993 "chips": ["gfx10"],
9994 "map": {"at": 198952, "to": "mm"},
9995 "name": "GE_INDX_OFFSET",
9996 "type_ref": "GE_INDX_OFFSET"
9997 },
9998 {
9999 "chips": ["gfx10"],
10000 "map": {"at": 165884, "to": "mm"},
10001 "name": "GE_MAX_OUTPUT_PER_SUBGROUP",
10002 "type_ref": "GE_MAX_OUTPUT_PER_SUBGROUP"
10003 },
10004 {
10005 "chips": ["gfx10"],
10006 "map": {"at": 199012, "to": "mm"},
10007 "name": "GE_MAX_VTX_INDX",
10008 "type_ref": "GE_MAX_VTX_INDX"
10009 },
10010 {
10011 "chips": ["gfx10"],
10012 "map": {"at": 198948, "to": "mm"},
10013 "name": "GE_MIN_VTX_INDX",
10014 "type_ref": "GE_MIN_VTX_INDX"
10015 },
10016 {
10017 "chips": ["gfx10"],
10018 "map": {"at": 198956, "to": "mm"},
10019 "name": "GE_MULTI_PRIM_IB_RESET_EN",
10020 "type_ref": "GE_MULTI_PRIM_IB_RESET_EN"
10021 },
10022 {
10023 "chips": ["gfx10"],
10024 "map": {"at": 166732, "to": "mm"},
10025 "name": "GE_NGG_SUBGRP_CNTL",
10026 "type_ref": "GE_NGG_SUBGRP_CNTL"
10027 },
10028 {
10029 "chips": ["gfx10"],
10030 "map": {"at": 199040, "to": "mm"},
10031 "name": "GE_PC_ALLOC",
10032 "type_ref": "GE_PC_ALLOC"
10033 },
10034 {
10035 "chips": ["gfx10"],
10036 "map": {"at": 213508, "to": "mm"},
10037 "name": "GE_PERFCOUNTER0_HI",
10038 "type_ref": "CB_PERFCOUNTER0_HI"
10039 },
10040 {
10041 "chips": ["gfx10"],
10042 "map": {"at": 213504, "to": "mm"},
10043 "name": "GE_PERFCOUNTER0_LO",
10044 "type_ref": "CB_PERFCOUNTER0_LO"
10045 },
10046 {
10047 "chips": ["gfx10"],
10048 "map": {"at": 221696, "to": "mm"},
10049 "name": "GE_PERFCOUNTER0_SELECT",
10050 "type_ref": "GE_PERFCOUNTER0_SELECT"
10051 },
10052 {
10053 "chips": ["gfx10"],
10054 "map": {"at": 221700, "to": "mm"},
10055 "name": "GE_PERFCOUNTER0_SELECT1",
10056 "type_ref": "GE_PERFCOUNTER0_SELECT1"
10057 },
10058 {
10059 "chips": ["gfx10"],
10060 "map": {"at": 213588, "to": "mm"},
10061 "name": "GE_PERFCOUNTER10_HI",
10062 "type_ref": "CB_PERFCOUNTER0_HI"
10063 },
10064 {
10065 "chips": ["gfx10"],
10066 "map": {"at": 213584, "to": "mm"},
10067 "name": "GE_PERFCOUNTER10_LO",
10068 "type_ref": "CB_PERFCOUNTER0_LO"
10069 },
10070 {
10071 "chips": ["gfx10"],
10072 "map": {"at": 221776, "to": "mm"},
10073 "name": "GE_PERFCOUNTER10_SELECT",
10074 "type_ref": "GE_PERFCOUNTER4_SELECT"
10075 },
10076 {
10077 "chips": ["gfx10"],
10078 "map": {"at": 213596, "to": "mm"},
10079 "name": "GE_PERFCOUNTER11_HI",
10080 "type_ref": "CB_PERFCOUNTER0_HI"
10081 },
10082 {
10083 "chips": ["gfx10"],
10084 "map": {"at": 213592, "to": "mm"},
10085 "name": "GE_PERFCOUNTER11_LO",
10086 "type_ref": "CB_PERFCOUNTER0_LO"
10087 },
10088 {
10089 "chips": ["gfx10"],
10090 "map": {"at": 221784, "to": "mm"},
10091 "name": "GE_PERFCOUNTER11_SELECT",
10092 "type_ref": "GE_PERFCOUNTER4_SELECT"
10093 },
10094 {
10095 "chips": ["gfx10"],
10096 "map": {"at": 213516, "to": "mm"},
10097 "name": "GE_PERFCOUNTER1_HI",
10098 "type_ref": "CB_PERFCOUNTER0_HI"
10099 },
10100 {
10101 "chips": ["gfx10"],
10102 "map": {"at": 213512, "to": "mm"},
10103 "name": "GE_PERFCOUNTER1_LO",
10104 "type_ref": "CB_PERFCOUNTER0_LO"
10105 },
10106 {
10107 "chips": ["gfx10"],
10108 "map": {"at": 221704, "to": "mm"},
10109 "name": "GE_PERFCOUNTER1_SELECT",
10110 "type_ref": "GE_PERFCOUNTER0_SELECT"
10111 },
10112 {
10113 "chips": ["gfx10"],
10114 "map": {"at": 221708, "to": "mm"},
10115 "name": "GE_PERFCOUNTER1_SELECT1",
10116 "type_ref": "GE_PERFCOUNTER0_SELECT1"
10117 },
10118 {
10119 "chips": ["gfx10"],
10120 "map": {"at": 213524, "to": "mm"},
10121 "name": "GE_PERFCOUNTER2_HI",
10122 "type_ref": "CB_PERFCOUNTER0_HI"
10123 },
10124 {
10125 "chips": ["gfx10"],
10126 "map": {"at": 213520, "to": "mm"},
10127 "name": "GE_PERFCOUNTER2_LO",
10128 "type_ref": "CB_PERFCOUNTER0_LO"
10129 },
10130 {
10131 "chips": ["gfx10"],
10132 "map": {"at": 221712, "to": "mm"},
10133 "name": "GE_PERFCOUNTER2_SELECT",
10134 "type_ref": "GE_PERFCOUNTER0_SELECT"
10135 },
10136 {
10137 "chips": ["gfx10"],
10138 "map": {"at": 221716, "to": "mm"},
10139 "name": "GE_PERFCOUNTER2_SELECT1",
10140 "type_ref": "GE_PERFCOUNTER0_SELECT1"
10141 },
10142 {
10143 "chips": ["gfx10"],
10144 "map": {"at": 213532, "to": "mm"},
10145 "name": "GE_PERFCOUNTER3_HI",
10146 "type_ref": "CB_PERFCOUNTER0_HI"
10147 },
10148 {
10149 "chips": ["gfx10"],
10150 "map": {"at": 213528, "to": "mm"},
10151 "name": "GE_PERFCOUNTER3_LO",
10152 "type_ref": "CB_PERFCOUNTER0_LO"
10153 },
10154 {
10155 "chips": ["gfx10"],
10156 "map": {"at": 221720, "to": "mm"},
10157 "name": "GE_PERFCOUNTER3_SELECT",
10158 "type_ref": "GE_PERFCOUNTER0_SELECT"
10159 },
10160 {
10161 "chips": ["gfx10"],
10162 "map": {"at": 221724, "to": "mm"},
10163 "name": "GE_PERFCOUNTER3_SELECT1",
10164 "type_ref": "GE_PERFCOUNTER0_SELECT1"
10165 },
10166 {
10167 "chips": ["gfx10"],
10168 "map": {"at": 213540, "to": "mm"},
10169 "name": "GE_PERFCOUNTER4_HI",
10170 "type_ref": "CB_PERFCOUNTER0_HI"
10171 },
10172 {
10173 "chips": ["gfx10"],
10174 "map": {"at": 213536, "to": "mm"},
10175 "name": "GE_PERFCOUNTER4_LO",
10176 "type_ref": "CB_PERFCOUNTER0_LO"
10177 },
10178 {
10179 "chips": ["gfx10"],
10180 "map": {"at": 221728, "to": "mm"},
10181 "name": "GE_PERFCOUNTER4_SELECT",
10182 "type_ref": "GE_PERFCOUNTER4_SELECT"
10183 },
10184 {
10185 "chips": ["gfx10"],
10186 "map": {"at": 213548, "to": "mm"},
10187 "name": "GE_PERFCOUNTER5_HI",
10188 "type_ref": "CB_PERFCOUNTER0_HI"
10189 },
10190 {
10191 "chips": ["gfx10"],
10192 "map": {"at": 213544, "to": "mm"},
10193 "name": "GE_PERFCOUNTER5_LO",
10194 "type_ref": "CB_PERFCOUNTER0_LO"
10195 },
10196 {
10197 "chips": ["gfx10"],
10198 "map": {"at": 221736, "to": "mm"},
10199 "name": "GE_PERFCOUNTER5_SELECT",
10200 "type_ref": "GE_PERFCOUNTER4_SELECT"
10201 },
10202 {
10203 "chips": ["gfx10"],
10204 "map": {"at": 213556, "to": "mm"},
10205 "name": "GE_PERFCOUNTER6_HI",
10206 "type_ref": "CB_PERFCOUNTER0_HI"
10207 },
10208 {
10209 "chips": ["gfx10"],
10210 "map": {"at": 213552, "to": "mm"},
10211 "name": "GE_PERFCOUNTER6_LO",
10212 "type_ref": "CB_PERFCOUNTER0_LO"
10213 },
10214 {
10215 "chips": ["gfx10"],
10216 "map": {"at": 221744, "to": "mm"},
10217 "name": "GE_PERFCOUNTER6_SELECT",
10218 "type_ref": "GE_PERFCOUNTER4_SELECT"
10219 },
10220 {
10221 "chips": ["gfx10"],
10222 "map": {"at": 213564, "to": "mm"},
10223 "name": "GE_PERFCOUNTER7_HI",
10224 "type_ref": "CB_PERFCOUNTER0_HI"
10225 },
10226 {
10227 "chips": ["gfx10"],
10228 "map": {"at": 213560, "to": "mm"},
10229 "name": "GE_PERFCOUNTER7_LO",
10230 "type_ref": "CB_PERFCOUNTER0_LO"
10231 },
10232 {
10233 "chips": ["gfx10"],
10234 "map": {"at": 221752, "to": "mm"},
10235 "name": "GE_PERFCOUNTER7_SELECT",
10236 "type_ref": "GE_PERFCOUNTER4_SELECT"
10237 },
10238 {
10239 "chips": ["gfx10"],
10240 "map": {"at": 213572, "to": "mm"},
10241 "name": "GE_PERFCOUNTER8_HI",
10242 "type_ref": "CB_PERFCOUNTER0_HI"
10243 },
10244 {
10245 "chips": ["gfx10"],
10246 "map": {"at": 213568, "to": "mm"},
10247 "name": "GE_PERFCOUNTER8_LO",
10248 "type_ref": "CB_PERFCOUNTER0_LO"
10249 },
10250 {
10251 "chips": ["gfx10"],
10252 "map": {"at": 221760, "to": "mm"},
10253 "name": "GE_PERFCOUNTER8_SELECT",
10254 "type_ref": "GE_PERFCOUNTER4_SELECT"
10255 },
10256 {
10257 "chips": ["gfx10"],
10258 "map": {"at": 213580, "to": "mm"},
10259 "name": "GE_PERFCOUNTER9_HI",
10260 "type_ref": "CB_PERFCOUNTER0_HI"
10261 },
10262 {
10263 "chips": ["gfx10"],
10264 "map": {"at": 213576, "to": "mm"},
10265 "name": "GE_PERFCOUNTER9_LO",
10266 "type_ref": "CB_PERFCOUNTER0_LO"
10267 },
10268 {
10269 "chips": ["gfx10"],
10270 "map": {"at": 221768, "to": "mm"},
10271 "name": "GE_PERFCOUNTER9_SELECT",
10272 "type_ref": "GE_PERFCOUNTER4_SELECT"
10273 },
10274 {
10275 "chips": ["gfx10"],
10276 "map": {"at": 199036, "to": "mm"},
10277 "name": "GE_STEREO_CNTL",
10278 "type_ref": "GE_STEREO_CNTL"
10279 },
10280 {
10281 "chips": ["gfx10"],
10282 "map": {"at": 199024, "to": "mm"},
10283 "name": "GE_USER_VGPR1",
10284 "type_ref": "COMPUTE_PGM_LO"
10285 },
10286 {
10287 "chips": ["gfx10"],
10288 "map": {"at": 199028, "to": "mm"},
10289 "name": "GE_USER_VGPR2",
10290 "type_ref": "COMPUTE_PGM_LO"
10291 },
10292 {
10293 "chips": ["gfx10"],
10294 "map": {"at": 199032, "to": "mm"},
10295 "name": "GE_USER_VGPR3",
10296 "type_ref": "COMPUTE_PGM_LO"
10297 },
10298 {
10299 "chips": ["gfx10"],
10300 "map": {"at": 199048, "to": "mm"},
10301 "name": "GE_USER_VGPR_EN",
10302 "type_ref": "GE_USER_VGPR_EN"
10303 },
10304 {
10305 "chips": ["gfx10"],
10306 "map": {"at": 165840, "to": "mm"},
10307 "name": "GFX_COPY_STATE",
10308 "type_ref": "CS_COPY_STATE"
10309 },
10310 {
10311 "chips": ["gfx10"],
10312 "map": {"at": 218884, "to": "mm"},
10313 "name": "GL1A_PERFCOUNTER0_HI",
10314 "type_ref": "CB_PERFCOUNTER0_HI"
10315 },
10316 {
10317 "chips": ["gfx10"],
10318 "map": {"at": 218880, "to": "mm"},
10319 "name": "GL1A_PERFCOUNTER0_LO",
10320 "type_ref": "CB_PERFCOUNTER0_LO"
10321 },
10322 {
10323 "chips": ["gfx10"],
10324 "map": {"at": 227072, "to": "mm"},
10325 "name": "GL1A_PERFCOUNTER0_SELECT",
10326 "type_ref": "GL1A_PERFCOUNTER0_SELECT"
10327 },
10328 {
10329 "chips": ["gfx10"],
10330 "map": {"at": 227076, "to": "mm"},
10331 "name": "GL1A_PERFCOUNTER0_SELECT1",
10332 "type_ref": "GL1A_PERFCOUNTER0_SELECT1"
10333 },
10334 {
10335 "chips": ["gfx10"],
10336 "map": {"at": 218892, "to": "mm"},
10337 "name": "GL1A_PERFCOUNTER1_HI",
10338 "type_ref": "CB_PERFCOUNTER0_HI"
10339 },
10340 {
10341 "chips": ["gfx10"],
10342 "map": {"at": 218888, "to": "mm"},
10343 "name": "GL1A_PERFCOUNTER1_LO",
10344 "type_ref": "CB_PERFCOUNTER0_LO"
10345 },
10346 {
10347 "chips": ["gfx10"],
10348 "map": {"at": 227080, "to": "mm"},
10349 "name": "GL1A_PERFCOUNTER1_SELECT",
10350 "type_ref": "GL1A_PERFCOUNTER1_SELECT"
10351 },
10352 {
10353 "chips": ["gfx10"],
10354 "map": {"at": 218900, "to": "mm"},
10355 "name": "GL1A_PERFCOUNTER2_HI",
10356 "type_ref": "CB_PERFCOUNTER0_HI"
10357 },
10358 {
10359 "chips": ["gfx10"],
10360 "map": {"at": 218896, "to": "mm"},
10361 "name": "GL1A_PERFCOUNTER2_LO",
10362 "type_ref": "CB_PERFCOUNTER0_LO"
10363 },
10364 {
10365 "chips": ["gfx10"],
10366 "map": {"at": 227084, "to": "mm"},
10367 "name": "GL1A_PERFCOUNTER2_SELECT",
10368 "type_ref": "GL1A_PERFCOUNTER1_SELECT"
10369 },
10370 {
10371 "chips": ["gfx10"],
10372 "map": {"at": 218908, "to": "mm"},
10373 "name": "GL1A_PERFCOUNTER3_HI",
10374 "type_ref": "CB_PERFCOUNTER0_HI"
10375 },
10376 {
10377 "chips": ["gfx10"],
10378 "map": {"at": 218904, "to": "mm"},
10379 "name": "GL1A_PERFCOUNTER3_LO",
10380 "type_ref": "CB_PERFCOUNTER0_LO"
10381 },
10382 {
10383 "chips": ["gfx10"],
10384 "map": {"at": 227088, "to": "mm"},
10385 "name": "GL1A_PERFCOUNTER3_SELECT",
10386 "type_ref": "GL1A_PERFCOUNTER1_SELECT"
10387 },
10388 {
10389 "chips": ["gfx10"],
10390 "map": {"at": 216740, "to": "mm"},
10391 "name": "GL1CG_PERFCOUNTER0_HI",
10392 "type_ref": "CB_PERFCOUNTER0_HI"
10393 },
10394 {
10395 "chips": ["gfx10"],
10396 "map": {"at": 216736, "to": "mm"},
10397 "name": "GL1CG_PERFCOUNTER0_LO",
10398 "type_ref": "CB_PERFCOUNTER0_LO"
10399 },
10400 {
10401 "chips": ["gfx10"],
10402 "map": {"at": 224920, "to": "mm"},
10403 "name": "GL1CG_PERFCOUNTER0_SELECT",
10404 "type_ref": "GL1CG_PERFCOUNTER0_SELECT"
10405 },
10406 {
10407 "chips": ["gfx10"],
10408 "map": {"at": 224924, "to": "mm"},
10409 "name": "GL1CG_PERFCOUNTER0_SELECT1",
10410 "type_ref": "GL1CG_PERFCOUNTER0_SELECT1"
10411 },
10412 {
10413 "chips": ["gfx10"],
10414 "map": {"at": 216748, "to": "mm"},
10415 "name": "GL1CG_PERFCOUNTER1_HI",
10416 "type_ref": "CB_PERFCOUNTER0_HI"
10417 },
10418 {
10419 "chips": ["gfx10"],
10420 "map": {"at": 216744, "to": "mm"},
10421 "name": "GL1CG_PERFCOUNTER1_LO",
10422 "type_ref": "CB_PERFCOUNTER0_LO"
10423 },
10424 {
10425 "chips": ["gfx10"],
10426 "map": {"at": 224928, "to": "mm"},
10427 "name": "GL1CG_PERFCOUNTER1_SELECT",
10428 "type_ref": "GL1CG_PERFCOUNTER1_SELECT"
10429 },
10430 {
10431 "chips": ["gfx10"],
10432 "map": {"at": 216756, "to": "mm"},
10433 "name": "GL1CG_PERFCOUNTER2_HI",
10434 "type_ref": "CB_PERFCOUNTER0_HI"
10435 },
10436 {
10437 "chips": ["gfx10"],
10438 "map": {"at": 216752, "to": "mm"},
10439 "name": "GL1CG_PERFCOUNTER2_LO",
10440 "type_ref": "CB_PERFCOUNTER0_LO"
10441 },
10442 {
10443 "chips": ["gfx10"],
10444 "map": {"at": 224932, "to": "mm"},
10445 "name": "GL1CG_PERFCOUNTER2_SELECT",
10446 "type_ref": "GL1CG_PERFCOUNTER1_SELECT"
10447 },
10448 {
10449 "chips": ["gfx10"],
10450 "map": {"at": 216764, "to": "mm"},
10451 "name": "GL1CG_PERFCOUNTER3_HI",
10452 "type_ref": "CB_PERFCOUNTER0_HI"
10453 },
10454 {
10455 "chips": ["gfx10"],
10456 "map": {"at": 216760, "to": "mm"},
10457 "name": "GL1CG_PERFCOUNTER3_LO",
10458 "type_ref": "CB_PERFCOUNTER0_LO"
10459 },
10460 {
10461 "chips": ["gfx10"],
10462 "map": {"at": 224936, "to": "mm"},
10463 "name": "GL1CG_PERFCOUNTER3_SELECT",
10464 "type_ref": "GL1CG_PERFCOUNTER1_SELECT"
10465 },
10466 {
10467 "chips": ["gfx10"],
10468 "map": {"at": 216708, "to": "mm"},
10469 "name": "GL1C_PERFCOUNTER0_HI",
10470 "type_ref": "CB_PERFCOUNTER0_HI"
10471 },
10472 {
10473 "chips": ["gfx10"],
10474 "map": {"at": 216704, "to": "mm"},
10475 "name": "GL1C_PERFCOUNTER0_LO",
10476 "type_ref": "CB_PERFCOUNTER0_LO"
10477 },
10478 {
10479 "chips": ["gfx10"],
10480 "map": {"at": 224896, "to": "mm"},
10481 "name": "GL1C_PERFCOUNTER0_SELECT",
10482 "type_ref": "GL1C_PERFCOUNTER0_SELECT"
10483 },
10484 {
10485 "chips": ["gfx10"],
10486 "map": {"at": 224900, "to": "mm"},
10487 "name": "GL1C_PERFCOUNTER0_SELECT1",
10488 "type_ref": "GL1C_PERFCOUNTER0_SELECT1"
10489 },
10490 {
10491 "chips": ["gfx10"],
10492 "map": {"at": 216716, "to": "mm"},
10493 "name": "GL1C_PERFCOUNTER1_HI",
10494 "type_ref": "CB_PERFCOUNTER0_HI"
10495 },
10496 {
10497 "chips": ["gfx10"],
10498 "map": {"at": 216712, "to": "mm"},
10499 "name": "GL1C_PERFCOUNTER1_LO",
10500 "type_ref": "CB_PERFCOUNTER0_LO"
10501 },
10502 {
10503 "chips": ["gfx10"],
10504 "map": {"at": 224904, "to": "mm"},
10505 "name": "GL1C_PERFCOUNTER1_SELECT",
10506 "type_ref": "GL1C_PERFCOUNTER1_SELECT"
10507 },
10508 {
10509 "chips": ["gfx10"],
10510 "map": {"at": 216724, "to": "mm"},
10511 "name": "GL1C_PERFCOUNTER2_HI",
10512 "type_ref": "CB_PERFCOUNTER0_HI"
10513 },
10514 {
10515 "chips": ["gfx10"],
10516 "map": {"at": 216720, "to": "mm"},
10517 "name": "GL1C_PERFCOUNTER2_LO",
10518 "type_ref": "CB_PERFCOUNTER0_LO"
10519 },
10520 {
10521 "chips": ["gfx10"],
10522 "map": {"at": 224908, "to": "mm"},
10523 "name": "GL1C_PERFCOUNTER2_SELECT",
10524 "type_ref": "GL1C_PERFCOUNTER1_SELECT"
10525 },
10526 {
10527 "chips": ["gfx10"],
10528 "map": {"at": 216732, "to": "mm"},
10529 "name": "GL1C_PERFCOUNTER3_HI",
10530 "type_ref": "CB_PERFCOUNTER0_HI"
10531 },
10532 {
10533 "chips": ["gfx10"],
10534 "map": {"at": 216728, "to": "mm"},
10535 "name": "GL1C_PERFCOUNTER3_LO",
10536 "type_ref": "CB_PERFCOUNTER0_LO"
10537 },
10538 {
10539 "chips": ["gfx10"],
10540 "map": {"at": 224912, "to": "mm"},
10541 "name": "GL1C_PERFCOUNTER3_SELECT",
10542 "type_ref": "GL1C_PERFCOUNTER1_SELECT"
10543 },
10544 {
10545 "chips": ["gfx10"],
10546 "map": {"at": 216644, "to": "mm"},
10547 "name": "GL2A_PERFCOUNTER0_HI",
10548 "type_ref": "CB_PERFCOUNTER0_HI"
10549 },
10550 {
10551 "chips": ["gfx10"],
10552 "map": {"at": 216640, "to": "mm"},
10553 "name": "GL2A_PERFCOUNTER0_LO",
10554 "type_ref": "CB_PERFCOUNTER0_LO"
10555 },
10556 {
10557 "chips": ["gfx10"],
10558 "map": {"at": 224832, "to": "mm"},
10559 "name": "GL2A_PERFCOUNTER0_SELECT",
10560 "type_ref": "GL2A_PERFCOUNTER0_SELECT"
10561 },
10562 {
10563 "chips": ["gfx10"],
10564 "map": {"at": 224836, "to": "mm"},
10565 "name": "GL2A_PERFCOUNTER0_SELECT1",
10566 "type_ref": "GL2A_PERFCOUNTER0_SELECT1"
10567 },
10568 {
10569 "chips": ["gfx10"],
10570 "map": {"at": 216652, "to": "mm"},
10571 "name": "GL2A_PERFCOUNTER1_HI",
10572 "type_ref": "CB_PERFCOUNTER0_HI"
10573 },
10574 {
10575 "chips": ["gfx10"],
10576 "map": {"at": 216648, "to": "mm"},
10577 "name": "GL2A_PERFCOUNTER1_LO",
10578 "type_ref": "CB_PERFCOUNTER0_LO"
10579 },
10580 {
10581 "chips": ["gfx10"],
10582 "map": {"at": 224840, "to": "mm"},
10583 "name": "GL2A_PERFCOUNTER1_SELECT",
10584 "type_ref": "GL2A_PERFCOUNTER0_SELECT"
10585 },
10586 {
10587 "chips": ["gfx10"],
10588 "map": {"at": 224844, "to": "mm"},
10589 "name": "GL2A_PERFCOUNTER1_SELECT1",
10590 "type_ref": "GL2A_PERFCOUNTER0_SELECT1"
10591 },
10592 {
10593 "chips": ["gfx10"],
10594 "map": {"at": 216660, "to": "mm"},
10595 "name": "GL2A_PERFCOUNTER2_HI",
10596 "type_ref": "CB_PERFCOUNTER0_HI"
10597 },
10598 {
10599 "chips": ["gfx10"],
10600 "map": {"at": 216656, "to": "mm"},
10601 "name": "GL2A_PERFCOUNTER2_LO",
10602 "type_ref": "CB_PERFCOUNTER0_LO"
10603 },
10604 {
10605 "chips": ["gfx10"],
10606 "map": {"at": 224848, "to": "mm"},
10607 "name": "GL2A_PERFCOUNTER2_SELECT",
10608 "type_ref": "GL2A_PERFCOUNTER2_SELECT"
10609 },
10610 {
10611 "chips": ["gfx10"],
10612 "map": {"at": 216668, "to": "mm"},
10613 "name": "GL2A_PERFCOUNTER3_HI",
10614 "type_ref": "CB_PERFCOUNTER0_HI"
10615 },
10616 {
10617 "chips": ["gfx10"],
10618 "map": {"at": 216664, "to": "mm"},
10619 "name": "GL2A_PERFCOUNTER3_LO",
10620 "type_ref": "CB_PERFCOUNTER0_LO"
10621 },
10622 {
10623 "chips": ["gfx10"],
10624 "map": {"at": 224852, "to": "mm"},
10625 "name": "GL2A_PERFCOUNTER3_SELECT",
10626 "type_ref": "GL2A_PERFCOUNTER2_SELECT"
10627 },
10628 {
10629 "chips": ["gfx10"],
10630 "map": {"at": 216580, "to": "mm"},
10631 "name": "GL2C_PERFCOUNTER0_HI",
10632 "type_ref": "CB_PERFCOUNTER0_HI"
10633 },
10634 {
10635 "chips": ["gfx10"],
10636 "map": {"at": 216576, "to": "mm"},
10637 "name": "GL2C_PERFCOUNTER0_LO",
10638 "type_ref": "CB_PERFCOUNTER0_LO"
10639 },
10640 {
10641 "chips": ["gfx10"],
10642 "map": {"at": 224768, "to": "mm"},
10643 "name": "GL2C_PERFCOUNTER0_SELECT",
10644 "type_ref": "GL2C_PERFCOUNTER0_SELECT"
10645 },
10646 {
10647 "chips": ["gfx10"],
10648 "map": {"at": 224772, "to": "mm"},
10649 "name": "GL2C_PERFCOUNTER0_SELECT1",
10650 "type_ref": "GL2C_PERFCOUNTER0_SELECT1"
10651 },
10652 {
10653 "chips": ["gfx10"],
10654 "map": {"at": 216588, "to": "mm"},
10655 "name": "GL2C_PERFCOUNTER1_HI",
10656 "type_ref": "CB_PERFCOUNTER0_HI"
10657 },
10658 {
10659 "chips": ["gfx10"],
10660 "map": {"at": 216584, "to": "mm"},
10661 "name": "GL2C_PERFCOUNTER1_LO",
10662 "type_ref": "CB_PERFCOUNTER0_LO"
10663 },
10664 {
10665 "chips": ["gfx10"],
10666 "map": {"at": 224776, "to": "mm"},
10667 "name": "GL2C_PERFCOUNTER1_SELECT",
10668 "type_ref": "GL2C_PERFCOUNTER0_SELECT"
10669 },
10670 {
10671 "chips": ["gfx10"],
10672 "map": {"at": 224780, "to": "mm"},
10673 "name": "GL2C_PERFCOUNTER1_SELECT1",
10674 "type_ref": "GL2C_PERFCOUNTER0_SELECT1"
10675 },
10676 {
10677 "chips": ["gfx10"],
10678 "map": {"at": 216596, "to": "mm"},
10679 "name": "GL2C_PERFCOUNTER2_HI",
10680 "type_ref": "CB_PERFCOUNTER0_HI"
10681 },
10682 {
10683 "chips": ["gfx10"],
10684 "map": {"at": 216592, "to": "mm"},
10685 "name": "GL2C_PERFCOUNTER2_LO",
10686 "type_ref": "CB_PERFCOUNTER0_LO"
10687 },
10688 {
10689 "chips": ["gfx10"],
10690 "map": {"at": 224784, "to": "mm"},
10691 "name": "GL2C_PERFCOUNTER2_SELECT",
10692 "type_ref": "GL2C_PERFCOUNTER2_SELECT"
10693 },
10694 {
10695 "chips": ["gfx10"],
10696 "map": {"at": 216604, "to": "mm"},
10697 "name": "GL2C_PERFCOUNTER3_HI",
10698 "type_ref": "CB_PERFCOUNTER0_HI"
10699 },
10700 {
10701 "chips": ["gfx10"],
10702 "map": {"at": 216600, "to": "mm"},
10703 "name": "GL2C_PERFCOUNTER3_LO",
10704 "type_ref": "CB_PERFCOUNTER0_LO"
10705 },
10706 {
10707 "chips": ["gfx10"],
10708 "map": {"at": 224788, "to": "mm"},
10709 "name": "GL2C_PERFCOUNTER3_SELECT",
10710 "type_ref": "GL2C_PERFCOUNTER2_SELECT"
10711 },
10712 {
10713 "chips": ["gfx10"],
10714 "map": {"at": 198656, "to": "mm"},
10715 "name": "GRBM_GFX_INDEX",
10716 "type_ref": "GRBM_GFX_INDEX"
10717 },
10718 {
10719 "chips": ["gfx10"],
10720 "map": {"at": 213252, "to": "mm"},
10721 "name": "GRBM_PERFCOUNTER0_HI",
10722 "type_ref": "CB_PERFCOUNTER0_HI"
10723 },
10724 {
10725 "chips": ["gfx10"],
10726 "map": {"at": 213248, "to": "mm"},
10727 "name": "GRBM_PERFCOUNTER0_LO",
10728 "type_ref": "CB_PERFCOUNTER0_LO"
10729 },
10730 {
10731 "chips": ["gfx10"],
10732 "map": {"at": 221440, "to": "mm"},
10733 "name": "GRBM_PERFCOUNTER0_SELECT",
10734 "type_ref": "GRBM_PERFCOUNTER0_SELECT"
10735 },
10736 {
10737 "chips": ["gfx10"],
10738 "map": {"at": 221492, "to": "mm"},
10739 "name": "GRBM_PERFCOUNTER0_SELECT_HI",
10740 "type_ref": "GRBM_PERFCOUNTER0_SELECT_HI"
10741 },
10742 {
10743 "chips": ["gfx10"],
10744 "map": {"at": 213264, "to": "mm"},
10745 "name": "GRBM_PERFCOUNTER1_HI",
10746 "type_ref": "CB_PERFCOUNTER0_HI"
10747 },
10748 {
10749 "chips": ["gfx10"],
10750 "map": {"at": 213260, "to": "mm"},
10751 "name": "GRBM_PERFCOUNTER1_LO",
10752 "type_ref": "CB_PERFCOUNTER0_LO"
10753 },
10754 {
10755 "chips": ["gfx10"],
10756 "map": {"at": 221444, "to": "mm"},
10757 "name": "GRBM_PERFCOUNTER1_SELECT",
10758 "type_ref": "GRBM_PERFCOUNTER0_SELECT"
10759 },
10760 {
10761 "chips": ["gfx10"],
10762 "map": {"at": 221496, "to": "mm"},
10763 "name": "GRBM_PERFCOUNTER1_SELECT_HI",
10764 "type_ref": "GRBM_PERFCOUNTER0_SELECT_HI"
10765 },
10766 {
10767 "chips": ["gfx10"],
10768 "map": {"at": 213272, "to": "mm"},
10769 "name": "GRBM_SE0_PERFCOUNTER_HI",
10770 "type_ref": "CB_PERFCOUNTER0_HI"
10771 },
10772 {
10773 "chips": ["gfx10"],
10774 "map": {"at": 213268, "to": "mm"},
10775 "name": "GRBM_SE0_PERFCOUNTER_LO",
10776 "type_ref": "CB_PERFCOUNTER0_LO"
10777 },
10778 {
10779 "chips": ["gfx10"],
10780 "map": {"at": 221448, "to": "mm"},
10781 "name": "GRBM_SE0_PERFCOUNTER_SELECT",
10782 "type_ref": "GRBM_SE0_PERFCOUNTER_SELECT"
10783 },
10784 {
10785 "chips": ["gfx10"],
10786 "map": {"at": 213280, "to": "mm"},
10787 "name": "GRBM_SE1_PERFCOUNTER_HI",
10788 "type_ref": "CB_PERFCOUNTER0_HI"
10789 },
10790 {
10791 "chips": ["gfx10"],
10792 "map": {"at": 213276, "to": "mm"},
10793 "name": "GRBM_SE1_PERFCOUNTER_LO",
10794 "type_ref": "CB_PERFCOUNTER0_LO"
10795 },
10796 {
10797 "chips": ["gfx10"],
10798 "map": {"at": 221452, "to": "mm"},
10799 "name": "GRBM_SE1_PERFCOUNTER_SELECT",
10800 "type_ref": "GRBM_SE1_PERFCOUNTER_SELECT"
10801 },
10802 {
10803 "chips": ["gfx10"],
10804 "map": {"at": 213288, "to": "mm"},
10805 "name": "GRBM_SE2_PERFCOUNTER_HI",
10806 "type_ref": "CB_PERFCOUNTER0_HI"
10807 },
10808 {
10809 "chips": ["gfx10"],
10810 "map": {"at": 213284, "to": "mm"},
10811 "name": "GRBM_SE2_PERFCOUNTER_LO",
10812 "type_ref": "CB_PERFCOUNTER0_LO"
10813 },
10814 {
10815 "chips": ["gfx10"],
10816 "map": {"at": 221456, "to": "mm"},
10817 "name": "GRBM_SE2_PERFCOUNTER_SELECT",
10818 "type_ref": "GRBM_SE2_PERFCOUNTER_SELECT"
10819 },
10820 {
10821 "chips": ["gfx10"],
10822 "map": {"at": 213296, "to": "mm"},
10823 "name": "GRBM_SE3_PERFCOUNTER_HI",
10824 "type_ref": "CB_PERFCOUNTER0_HI"
10825 },
10826 {
10827 "chips": ["gfx10"],
10828 "map": {"at": 213292, "to": "mm"},
10829 "name": "GRBM_SE3_PERFCOUNTER_LO",
10830 "type_ref": "CB_PERFCOUNTER0_LO"
10831 },
10832 {
10833 "chips": ["gfx10"],
10834 "map": {"at": 221460, "to": "mm"},
10835 "name": "GRBM_SE3_PERFCOUNTER_SELECT",
10836 "type_ref": "GRBM_SE3_PERFCOUNTER_SELECT"
10837 },
10838 {
10839 "chips": ["gfx10"],
10840 "map": {"at": 196864, "to": "mm"},
10841 "name": "GUI_SCRATCH_REG0",
10842 "type_ref": "SCRATCH_REG0"
10843 },
10844 {
10845 "chips": ["gfx10"],
10846 "map": {"at": 196868, "to": "mm"},
10847 "name": "GUI_SCRATCH_REG1",
10848 "type_ref": "SCRATCH_REG1"
10849 },
10850 {
10851 "chips": ["gfx10"],
10852 "map": {"at": 196872, "to": "mm"},
10853 "name": "GUI_SCRATCH_REG2",
10854 "type_ref": "SCRATCH_REG2"
10855 },
10856 {
10857 "chips": ["gfx10"],
10858 "map": {"at": 196876, "to": "mm"},
10859 "name": "GUI_SCRATCH_REG3",
10860 "type_ref": "SCRATCH_REG3"
10861 },
10862 {
10863 "chips": ["gfx10"],
10864 "map": {"at": 196880, "to": "mm"},
10865 "name": "GUI_SCRATCH_REG4",
10866 "type_ref": "SCRATCH_REG4"
10867 },
10868 {
10869 "chips": ["gfx10"],
10870 "map": {"at": 196884, "to": "mm"},
10871 "name": "GUI_SCRATCH_REG5",
10872 "type_ref": "SCRATCH_REG5"
10873 },
10874 {
10875 "chips": ["gfx10"],
10876 "map": {"at": 196888, "to": "mm"},
10877 "name": "GUI_SCRATCH_REG6",
10878 "type_ref": "SCRATCH_REG6"
10879 },
10880 {
10881 "chips": ["gfx10"],
10882 "map": {"at": 196892, "to": "mm"},
10883 "name": "GUI_SCRATCH_REG7",
10884 "type_ref": "SCRATCH_REG7"
10885 },
10886 {
10887 "chips": ["gfx10"],
10888 "map": {"at": 166512, "to": "mm"},
10889 "name": "IA_ENHANCE",
10890 "type_ref": "IA_ENHANCE"
10891 },
10892 {
10893 "chips": ["gfx10"],
10894 "map": {"at": 166568, "to": "mm"},
10895 "name": "IA_MULTI_VGT_PARAM",
10896 "type_ref": "IA_MULTI_VGT_PARAM"
10897 },
10898 {
10899 "chips": ["gfx10"],
10900 "map": {"at": 199008, "to": "mm"},
10901 "name": "IA_MULTI_VGT_PARAM_PIPED",
10902 "type_ref": "IA_MULTI_VGT_PARAM_PIPED"
10903 },
10904 {
10905 "chips": ["gfx10"],
10906 "map": {"at": 165904, "to": "mm"},
10907 "name": "PA_CL_CLIP_CNTL",
10908 "type_ref": "PA_CL_CLIP_CNTL"
10909 },
10910 {
10911 "chips": ["gfx10"],
10912 "map": {"at": 166896, "to": "mm"},
10913 "name": "PA_CL_GB_HORZ_CLIP_ADJ",
10914 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
10915 },
10916 {
10917 "chips": ["gfx10"],
10918 "map": {"at": 166900, "to": "mm"},
10919 "name": "PA_CL_GB_HORZ_DISC_ADJ",
10920 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
10921 },
10922 {
10923 "chips": ["gfx10"],
10924 "map": {"at": 166888, "to": "mm"},
10925 "name": "PA_CL_GB_VERT_CLIP_ADJ",
10926 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
10927 },
10928 {
10929 "chips": ["gfx10"],
10930 "map": {"at": 166892, "to": "mm"},
10931 "name": "PA_CL_GB_VERT_DISC_ADJ",
10932 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
10933 },
10934 {
10935 "chips": ["gfx10"],
10936 "map": {"at": 165920, "to": "mm"},
10937 "name": "PA_CL_NANINF_CNTL",
10938 "type_ref": "PA_CL_NANINF_CNTL"
10939 },
10940 {
10941 "chips": ["gfx10"],
10942 "map": {"at": 165944, "to": "mm"},
10943 "name": "PA_CL_NGG_CNTL",
10944 "type_ref": "PA_CL_NGG_CNTL"
10945 },
10946 {
10947 "chips": ["gfx10"],
10948 "map": {"at": 165940, "to": "mm"},
10949 "name": "PA_CL_OBJPRIM_ID_CNTL",
10950 "type_ref": "PA_CL_OBJPRIM_ID_CNTL"
10951 },
10952 {
10953 "chips": ["gfx10"],
10954 "map": {"at": 165856, "to": "mm"},
10955 "name": "PA_CL_POINT_CULL_RAD",
10956 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
10957 },
10958 {
10959 "chips": ["gfx10"],
10960 "map": {"at": 165852, "to": "mm"},
10961 "name": "PA_CL_POINT_SIZE",
10962 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
10963 },
10964 {
10965 "chips": ["gfx10"],
10966 "map": {"at": 165844, "to": "mm"},
10967 "name": "PA_CL_POINT_X_RAD",
10968 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
10969 },
10970 {
10971 "chips": ["gfx10"],
10972 "map": {"at": 165848, "to": "mm"},
10973 "name": "PA_CL_POINT_Y_RAD",
10974 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
10975 },
10976 {
10977 "chips": ["gfx10"],
10978 "map": {"at": 165404, "to": "mm"},
10979 "name": "PA_CL_PROG_NEAR_CLIP_Z",
10980 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
10981 },
10982 {
10983 "chips": ["gfx10"],
10984 "map": {"at": 165320, "to": "mm"},
10985 "name": "PA_CL_UCP_0_W",
10986 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
10987 },
10988 {
10989 "chips": ["gfx10"],
10990 "map": {"at": 165308, "to": "mm"},
10991 "name": "PA_CL_UCP_0_X",
10992 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
10993 },
10994 {
10995 "chips": ["gfx10"],
10996 "map": {"at": 165312, "to": "mm"},
10997 "name": "PA_CL_UCP_0_Y",
10998 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
10999 },
11000 {
11001 "chips": ["gfx10"],
11002 "map": {"at": 165316, "to": "mm"},
11003 "name": "PA_CL_UCP_0_Z",
11004 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11005 },
11006 {
11007 "chips": ["gfx10"],
11008 "map": {"at": 165336, "to": "mm"},
11009 "name": "PA_CL_UCP_1_W",
11010 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11011 },
11012 {
11013 "chips": ["gfx10"],
11014 "map": {"at": 165324, "to": "mm"},
11015 "name": "PA_CL_UCP_1_X",
11016 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11017 },
11018 {
11019 "chips": ["gfx10"],
11020 "map": {"at": 165328, "to": "mm"},
11021 "name": "PA_CL_UCP_1_Y",
11022 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11023 },
11024 {
11025 "chips": ["gfx10"],
11026 "map": {"at": 165332, "to": "mm"},
11027 "name": "PA_CL_UCP_1_Z",
11028 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11029 },
11030 {
11031 "chips": ["gfx10"],
11032 "map": {"at": 165352, "to": "mm"},
11033 "name": "PA_CL_UCP_2_W",
11034 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11035 },
11036 {
11037 "chips": ["gfx10"],
11038 "map": {"at": 165340, "to": "mm"},
11039 "name": "PA_CL_UCP_2_X",
11040 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11041 },
11042 {
11043 "chips": ["gfx10"],
11044 "map": {"at": 165344, "to": "mm"},
11045 "name": "PA_CL_UCP_2_Y",
11046 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11047 },
11048 {
11049 "chips": ["gfx10"],
11050 "map": {"at": 165348, "to": "mm"},
11051 "name": "PA_CL_UCP_2_Z",
11052 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11053 },
11054 {
11055 "chips": ["gfx10"],
11056 "map": {"at": 165368, "to": "mm"},
11057 "name": "PA_CL_UCP_3_W",
11058 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11059 },
11060 {
11061 "chips": ["gfx10"],
11062 "map": {"at": 165356, "to": "mm"},
11063 "name": "PA_CL_UCP_3_X",
11064 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11065 },
11066 {
11067 "chips": ["gfx10"],
11068 "map": {"at": 165360, "to": "mm"},
11069 "name": "PA_CL_UCP_3_Y",
11070 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11071 },
11072 {
11073 "chips": ["gfx10"],
11074 "map": {"at": 165364, "to": "mm"},
11075 "name": "PA_CL_UCP_3_Z",
11076 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11077 },
11078 {
11079 "chips": ["gfx10"],
11080 "map": {"at": 165384, "to": "mm"},
11081 "name": "PA_CL_UCP_4_W",
11082 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11083 },
11084 {
11085 "chips": ["gfx10"],
11086 "map": {"at": 165372, "to": "mm"},
11087 "name": "PA_CL_UCP_4_X",
11088 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11089 },
11090 {
11091 "chips": ["gfx10"],
11092 "map": {"at": 165376, "to": "mm"},
11093 "name": "PA_CL_UCP_4_Y",
11094 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11095 },
11096 {
11097 "chips": ["gfx10"],
11098 "map": {"at": 165380, "to": "mm"},
11099 "name": "PA_CL_UCP_4_Z",
11100 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11101 },
11102 {
11103 "chips": ["gfx10"],
11104 "map": {"at": 165400, "to": "mm"},
11105 "name": "PA_CL_UCP_5_W",
11106 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11107 },
11108 {
11109 "chips": ["gfx10"],
11110 "map": {"at": 165388, "to": "mm"},
11111 "name": "PA_CL_UCP_5_X",
11112 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11113 },
11114 {
11115 "chips": ["gfx10"],
11116 "map": {"at": 165392, "to": "mm"},
11117 "name": "PA_CL_UCP_5_Y",
11118 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11119 },
11120 {
11121 "chips": ["gfx10"],
11122 "map": {"at": 165396, "to": "mm"},
11123 "name": "PA_CL_UCP_5_Z",
11124 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11125 },
11126 {
11127 "chips": ["gfx10"],
11128 "map": {"at": 164928, "to": "mm"},
11129 "name": "PA_CL_VPORT_XOFFSET",
11130 "type_ref": "PA_CL_VPORT_XOFFSET"
11131 },
11132 {
11133 "chips": ["gfx10"],
11134 "map": {"at": 164952, "to": "mm"},
11135 "name": "PA_CL_VPORT_XOFFSET_1",
11136 "type_ref": "PA_CL_VPORT_XOFFSET"
11137 },
11138 {
11139 "chips": ["gfx10"],
11140 "map": {"at": 165168, "to": "mm"},
11141 "name": "PA_CL_VPORT_XOFFSET_10",
11142 "type_ref": "PA_CL_VPORT_XOFFSET"
11143 },
11144 {
11145 "chips": ["gfx10"],
11146 "map": {"at": 165192, "to": "mm"},
11147 "name": "PA_CL_VPORT_XOFFSET_11",
11148 "type_ref": "PA_CL_VPORT_XOFFSET"
11149 },
11150 {
11151 "chips": ["gfx10"],
11152 "map": {"at": 165216, "to": "mm"},
11153 "name": "PA_CL_VPORT_XOFFSET_12",
11154 "type_ref": "PA_CL_VPORT_XOFFSET"
11155 },
11156 {
11157 "chips": ["gfx10"],
11158 "map": {"at": 165240, "to": "mm"},
11159 "name": "PA_CL_VPORT_XOFFSET_13",
11160 "type_ref": "PA_CL_VPORT_XOFFSET"
11161 },
11162 {
11163 "chips": ["gfx10"],
11164 "map": {"at": 165264, "to": "mm"},
11165 "name": "PA_CL_VPORT_XOFFSET_14",
11166 "type_ref": "PA_CL_VPORT_XOFFSET"
11167 },
11168 {
11169 "chips": ["gfx10"],
11170 "map": {"at": 165288, "to": "mm"},
11171 "name": "PA_CL_VPORT_XOFFSET_15",
11172 "type_ref": "PA_CL_VPORT_XOFFSET"
11173 },
11174 {
11175 "chips": ["gfx10"],
11176 "map": {"at": 164976, "to": "mm"},
11177 "name": "PA_CL_VPORT_XOFFSET_2",
11178 "type_ref": "PA_CL_VPORT_XOFFSET"
11179 },
11180 {
11181 "chips": ["gfx10"],
11182 "map": {"at": 165000, "to": "mm"},
11183 "name": "PA_CL_VPORT_XOFFSET_3",
11184 "type_ref": "PA_CL_VPORT_XOFFSET"
11185 },
11186 {
11187 "chips": ["gfx10"],
11188 "map": {"at": 165024, "to": "mm"},
11189 "name": "PA_CL_VPORT_XOFFSET_4",
11190 "type_ref": "PA_CL_VPORT_XOFFSET"
11191 },
11192 {
11193 "chips": ["gfx10"],
11194 "map": {"at": 165048, "to": "mm"},
11195 "name": "PA_CL_VPORT_XOFFSET_5",
11196 "type_ref": "PA_CL_VPORT_XOFFSET"
11197 },
11198 {
11199 "chips": ["gfx10"],
11200 "map": {"at": 165072, "to": "mm"},
11201 "name": "PA_CL_VPORT_XOFFSET_6",
11202 "type_ref": "PA_CL_VPORT_XOFFSET"
11203 },
11204 {
11205 "chips": ["gfx10"],
11206 "map": {"at": 165096, "to": "mm"},
11207 "name": "PA_CL_VPORT_XOFFSET_7",
11208 "type_ref": "PA_CL_VPORT_XOFFSET"
11209 },
11210 {
11211 "chips": ["gfx10"],
11212 "map": {"at": 165120, "to": "mm"},
11213 "name": "PA_CL_VPORT_XOFFSET_8",
11214 "type_ref": "PA_CL_VPORT_XOFFSET"
11215 },
11216 {
11217 "chips": ["gfx10"],
11218 "map": {"at": 165144, "to": "mm"},
11219 "name": "PA_CL_VPORT_XOFFSET_9",
11220 "type_ref": "PA_CL_VPORT_XOFFSET"
11221 },
11222 {
11223 "chips": ["gfx10"],
11224 "map": {"at": 164924, "to": "mm"},
11225 "name": "PA_CL_VPORT_XSCALE",
11226 "type_ref": "PA_CL_VPORT_XSCALE"
11227 },
11228 {
11229 "chips": ["gfx10"],
11230 "map": {"at": 164948, "to": "mm"},
11231 "name": "PA_CL_VPORT_XSCALE_1",
11232 "type_ref": "PA_CL_VPORT_XSCALE"
11233 },
11234 {
11235 "chips": ["gfx10"],
11236 "map": {"at": 165164, "to": "mm"},
11237 "name": "PA_CL_VPORT_XSCALE_10",
11238 "type_ref": "PA_CL_VPORT_XSCALE"
11239 },
11240 {
11241 "chips": ["gfx10"],
11242 "map": {"at": 165188, "to": "mm"},
11243 "name": "PA_CL_VPORT_XSCALE_11",
11244 "type_ref": "PA_CL_VPORT_XSCALE"
11245 },
11246 {
11247 "chips": ["gfx10"],
11248 "map": {"at": 165212, "to": "mm"},
11249 "name": "PA_CL_VPORT_XSCALE_12",
11250 "type_ref": "PA_CL_VPORT_XSCALE"
11251 },
11252 {
11253 "chips": ["gfx10"],
11254 "map": {"at": 165236, "to": "mm"},
11255 "name": "PA_CL_VPORT_XSCALE_13",
11256 "type_ref": "PA_CL_VPORT_XSCALE"
11257 },
11258 {
11259 "chips": ["gfx10"],
11260 "map": {"at": 165260, "to": "mm"},
11261 "name": "PA_CL_VPORT_XSCALE_14",
11262 "type_ref": "PA_CL_VPORT_XSCALE"
11263 },
11264 {
11265 "chips": ["gfx10"],
11266 "map": {"at": 165284, "to": "mm"},
11267 "name": "PA_CL_VPORT_XSCALE_15",
11268 "type_ref": "PA_CL_VPORT_XSCALE"
11269 },
11270 {
11271 "chips": ["gfx10"],
11272 "map": {"at": 164972, "to": "mm"},
11273 "name": "PA_CL_VPORT_XSCALE_2",
11274 "type_ref": "PA_CL_VPORT_XSCALE"
11275 },
11276 {
11277 "chips": ["gfx10"],
11278 "map": {"at": 164996, "to": "mm"},
11279 "name": "PA_CL_VPORT_XSCALE_3",
11280 "type_ref": "PA_CL_VPORT_XSCALE"
11281 },
11282 {
11283 "chips": ["gfx10"],
11284 "map": {"at": 165020, "to": "mm"},
11285 "name": "PA_CL_VPORT_XSCALE_4",
11286 "type_ref": "PA_CL_VPORT_XSCALE"
11287 },
11288 {
11289 "chips": ["gfx10"],
11290 "map": {"at": 165044, "to": "mm"},
11291 "name": "PA_CL_VPORT_XSCALE_5",
11292 "type_ref": "PA_CL_VPORT_XSCALE"
11293 },
11294 {
11295 "chips": ["gfx10"],
11296 "map": {"at": 165068, "to": "mm"},
11297 "name": "PA_CL_VPORT_XSCALE_6",
11298 "type_ref": "PA_CL_VPORT_XSCALE"
11299 },
11300 {
11301 "chips": ["gfx10"],
11302 "map": {"at": 165092, "to": "mm"},
11303 "name": "PA_CL_VPORT_XSCALE_7",
11304 "type_ref": "PA_CL_VPORT_XSCALE"
11305 },
11306 {
11307 "chips": ["gfx10"],
11308 "map": {"at": 165116, "to": "mm"},
11309 "name": "PA_CL_VPORT_XSCALE_8",
11310 "type_ref": "PA_CL_VPORT_XSCALE"
11311 },
11312 {
11313 "chips": ["gfx10"],
11314 "map": {"at": 165140, "to": "mm"},
11315 "name": "PA_CL_VPORT_XSCALE_9",
11316 "type_ref": "PA_CL_VPORT_XSCALE"
11317 },
11318 {
11319 "chips": ["gfx10"],
11320 "map": {"at": 164936, "to": "mm"},
11321 "name": "PA_CL_VPORT_YOFFSET",
11322 "type_ref": "PA_CL_VPORT_YOFFSET"
11323 },
11324 {
11325 "chips": ["gfx10"],
11326 "map": {"at": 164960, "to": "mm"},
11327 "name": "PA_CL_VPORT_YOFFSET_1",
11328 "type_ref": "PA_CL_VPORT_YOFFSET"
11329 },
11330 {
11331 "chips": ["gfx10"],
11332 "map": {"at": 165176, "to": "mm"},
11333 "name": "PA_CL_VPORT_YOFFSET_10",
11334 "type_ref": "PA_CL_VPORT_YOFFSET"
11335 },
11336 {
11337 "chips": ["gfx10"],
11338 "map": {"at": 165200, "to": "mm"},
11339 "name": "PA_CL_VPORT_YOFFSET_11",
11340 "type_ref": "PA_CL_VPORT_YOFFSET"
11341 },
11342 {
11343 "chips": ["gfx10"],
11344 "map": {"at": 165224, "to": "mm"},
11345 "name": "PA_CL_VPORT_YOFFSET_12",
11346 "type_ref": "PA_CL_VPORT_YOFFSET"
11347 },
11348 {
11349 "chips": ["gfx10"],
11350 "map": {"at": 165248, "to": "mm"},
11351 "name": "PA_CL_VPORT_YOFFSET_13",
11352 "type_ref": "PA_CL_VPORT_YOFFSET"
11353 },
11354 {
11355 "chips": ["gfx10"],
11356 "map": {"at": 165272, "to": "mm"},
11357 "name": "PA_CL_VPORT_YOFFSET_14",
11358 "type_ref": "PA_CL_VPORT_YOFFSET"
11359 },
11360 {
11361 "chips": ["gfx10"],
11362 "map": {"at": 165296, "to": "mm"},
11363 "name": "PA_CL_VPORT_YOFFSET_15",
11364 "type_ref": "PA_CL_VPORT_YOFFSET"
11365 },
11366 {
11367 "chips": ["gfx10"],
11368 "map": {"at": 164984, "to": "mm"},
11369 "name": "PA_CL_VPORT_YOFFSET_2",
11370 "type_ref": "PA_CL_VPORT_YOFFSET"
11371 },
11372 {
11373 "chips": ["gfx10"],
11374 "map": {"at": 165008, "to": "mm"},
11375 "name": "PA_CL_VPORT_YOFFSET_3",
11376 "type_ref": "PA_CL_VPORT_YOFFSET"
11377 },
11378 {
11379 "chips": ["gfx10"],
11380 "map": {"at": 165032, "to": "mm"},
11381 "name": "PA_CL_VPORT_YOFFSET_4",
11382 "type_ref": "PA_CL_VPORT_YOFFSET"
11383 },
11384 {
11385 "chips": ["gfx10"],
11386 "map": {"at": 165056, "to": "mm"},
11387 "name": "PA_CL_VPORT_YOFFSET_5",
11388 "type_ref": "PA_CL_VPORT_YOFFSET"
11389 },
11390 {
11391 "chips": ["gfx10"],
11392 "map": {"at": 165080, "to": "mm"},
11393 "name": "PA_CL_VPORT_YOFFSET_6",
11394 "type_ref": "PA_CL_VPORT_YOFFSET"
11395 },
11396 {
11397 "chips": ["gfx10"],
11398 "map": {"at": 165104, "to": "mm"},
11399 "name": "PA_CL_VPORT_YOFFSET_7",
11400 "type_ref": "PA_CL_VPORT_YOFFSET"
11401 },
11402 {
11403 "chips": ["gfx10"],
11404 "map": {"at": 165128, "to": "mm"},
11405 "name": "PA_CL_VPORT_YOFFSET_8",
11406 "type_ref": "PA_CL_VPORT_YOFFSET"
11407 },
11408 {
11409 "chips": ["gfx10"],
11410 "map": {"at": 165152, "to": "mm"},
11411 "name": "PA_CL_VPORT_YOFFSET_9",
11412 "type_ref": "PA_CL_VPORT_YOFFSET"
11413 },
11414 {
11415 "chips": ["gfx10"],
11416 "map": {"at": 164932, "to": "mm"},
11417 "name": "PA_CL_VPORT_YSCALE",
11418 "type_ref": "PA_CL_VPORT_YSCALE"
11419 },
11420 {
11421 "chips": ["gfx10"],
11422 "map": {"at": 164956, "to": "mm"},
11423 "name": "PA_CL_VPORT_YSCALE_1",
11424 "type_ref": "PA_CL_VPORT_YSCALE"
11425 },
11426 {
11427 "chips": ["gfx10"],
11428 "map": {"at": 165172, "to": "mm"},
11429 "name": "PA_CL_VPORT_YSCALE_10",
11430 "type_ref": "PA_CL_VPORT_YSCALE"
11431 },
11432 {
11433 "chips": ["gfx10"],
11434 "map": {"at": 165196, "to": "mm"},
11435 "name": "PA_CL_VPORT_YSCALE_11",
11436 "type_ref": "PA_CL_VPORT_YSCALE"
11437 },
11438 {
11439 "chips": ["gfx10"],
11440 "map": {"at": 165220, "to": "mm"},
11441 "name": "PA_CL_VPORT_YSCALE_12",
11442 "type_ref": "PA_CL_VPORT_YSCALE"
11443 },
11444 {
11445 "chips": ["gfx10"],
11446 "map": {"at": 165244, "to": "mm"},
11447 "name": "PA_CL_VPORT_YSCALE_13",
11448 "type_ref": "PA_CL_VPORT_YSCALE"
11449 },
11450 {
11451 "chips": ["gfx10"],
11452 "map": {"at": 165268, "to": "mm"},
11453 "name": "PA_CL_VPORT_YSCALE_14",
11454 "type_ref": "PA_CL_VPORT_YSCALE"
11455 },
11456 {
11457 "chips": ["gfx10"],
11458 "map": {"at": 165292, "to": "mm"},
11459 "name": "PA_CL_VPORT_YSCALE_15",
11460 "type_ref": "PA_CL_VPORT_YSCALE"
11461 },
11462 {
11463 "chips": ["gfx10"],
11464 "map": {"at": 164980, "to": "mm"},
11465 "name": "PA_CL_VPORT_YSCALE_2",
11466 "type_ref": "PA_CL_VPORT_YSCALE"
11467 },
11468 {
11469 "chips": ["gfx10"],
11470 "map": {"at": 165004, "to": "mm"},
11471 "name": "PA_CL_VPORT_YSCALE_3",
11472 "type_ref": "PA_CL_VPORT_YSCALE"
11473 },
11474 {
11475 "chips": ["gfx10"],
11476 "map": {"at": 165028, "to": "mm"},
11477 "name": "PA_CL_VPORT_YSCALE_4",
11478 "type_ref": "PA_CL_VPORT_YSCALE"
11479 },
11480 {
11481 "chips": ["gfx10"],
11482 "map": {"at": 165052, "to": "mm"},
11483 "name": "PA_CL_VPORT_YSCALE_5",
11484 "type_ref": "PA_CL_VPORT_YSCALE"
11485 },
11486 {
11487 "chips": ["gfx10"],
11488 "map": {"at": 165076, "to": "mm"},
11489 "name": "PA_CL_VPORT_YSCALE_6",
11490 "type_ref": "PA_CL_VPORT_YSCALE"
11491 },
11492 {
11493 "chips": ["gfx10"],
11494 "map": {"at": 165100, "to": "mm"},
11495 "name": "PA_CL_VPORT_YSCALE_7",
11496 "type_ref": "PA_CL_VPORT_YSCALE"
11497 },
11498 {
11499 "chips": ["gfx10"],
11500 "map": {"at": 165124, "to": "mm"},
11501 "name": "PA_CL_VPORT_YSCALE_8",
11502 "type_ref": "PA_CL_VPORT_YSCALE"
11503 },
11504 {
11505 "chips": ["gfx10"],
11506 "map": {"at": 165148, "to": "mm"},
11507 "name": "PA_CL_VPORT_YSCALE_9",
11508 "type_ref": "PA_CL_VPORT_YSCALE"
11509 },
11510 {
11511 "chips": ["gfx10"],
11512 "map": {"at": 164944, "to": "mm"},
11513 "name": "PA_CL_VPORT_ZOFFSET",
11514 "type_ref": "PA_CL_VPORT_ZOFFSET"
11515 },
11516 {
11517 "chips": ["gfx10"],
11518 "map": {"at": 164968, "to": "mm"},
11519 "name": "PA_CL_VPORT_ZOFFSET_1",
11520 "type_ref": "PA_CL_VPORT_ZOFFSET"
11521 },
11522 {
11523 "chips": ["gfx10"],
11524 "map": {"at": 165184, "to": "mm"},
11525 "name": "PA_CL_VPORT_ZOFFSET_10",
11526 "type_ref": "PA_CL_VPORT_ZOFFSET"
11527 },
11528 {
11529 "chips": ["gfx10"],
11530 "map": {"at": 165208, "to": "mm"},
11531 "name": "PA_CL_VPORT_ZOFFSET_11",
11532 "type_ref": "PA_CL_VPORT_ZOFFSET"
11533 },
11534 {
11535 "chips": ["gfx10"],
11536 "map": {"at": 165232, "to": "mm"},
11537 "name": "PA_CL_VPORT_ZOFFSET_12",
11538 "type_ref": "PA_CL_VPORT_ZOFFSET"
11539 },
11540 {
11541 "chips": ["gfx10"],
11542 "map": {"at": 165256, "to": "mm"},
11543 "name": "PA_CL_VPORT_ZOFFSET_13",
11544 "type_ref": "PA_CL_VPORT_ZOFFSET"
11545 },
11546 {
11547 "chips": ["gfx10"],
11548 "map": {"at": 165280, "to": "mm"},
11549 "name": "PA_CL_VPORT_ZOFFSET_14",
11550 "type_ref": "PA_CL_VPORT_ZOFFSET"
11551 },
11552 {
11553 "chips": ["gfx10"],
11554 "map": {"at": 165304, "to": "mm"},
11555 "name": "PA_CL_VPORT_ZOFFSET_15",
11556 "type_ref": "PA_CL_VPORT_ZOFFSET"
11557 },
11558 {
11559 "chips": ["gfx10"],
11560 "map": {"at": 164992, "to": "mm"},
11561 "name": "PA_CL_VPORT_ZOFFSET_2",
11562 "type_ref": "PA_CL_VPORT_ZOFFSET"
11563 },
11564 {
11565 "chips": ["gfx10"],
11566 "map": {"at": 165016, "to": "mm"},
11567 "name": "PA_CL_VPORT_ZOFFSET_3",
11568 "type_ref": "PA_CL_VPORT_ZOFFSET"
11569 },
11570 {
11571 "chips": ["gfx10"],
11572 "map": {"at": 165040, "to": "mm"},
11573 "name": "PA_CL_VPORT_ZOFFSET_4",
11574 "type_ref": "PA_CL_VPORT_ZOFFSET"
11575 },
11576 {
11577 "chips": ["gfx10"],
11578 "map": {"at": 165064, "to": "mm"},
11579 "name": "PA_CL_VPORT_ZOFFSET_5",
11580 "type_ref": "PA_CL_VPORT_ZOFFSET"
11581 },
11582 {
11583 "chips": ["gfx10"],
11584 "map": {"at": 165088, "to": "mm"},
11585 "name": "PA_CL_VPORT_ZOFFSET_6",
11586 "type_ref": "PA_CL_VPORT_ZOFFSET"
11587 },
11588 {
11589 "chips": ["gfx10"],
11590 "map": {"at": 165112, "to": "mm"},
11591 "name": "PA_CL_VPORT_ZOFFSET_7",
11592 "type_ref": "PA_CL_VPORT_ZOFFSET"
11593 },
11594 {
11595 "chips": ["gfx10"],
11596 "map": {"at": 165136, "to": "mm"},
11597 "name": "PA_CL_VPORT_ZOFFSET_8",
11598 "type_ref": "PA_CL_VPORT_ZOFFSET"
11599 },
11600 {
11601 "chips": ["gfx10"],
11602 "map": {"at": 165160, "to": "mm"},
11603 "name": "PA_CL_VPORT_ZOFFSET_9",
11604 "type_ref": "PA_CL_VPORT_ZOFFSET"
11605 },
11606 {
11607 "chips": ["gfx10"],
11608 "map": {"at": 164940, "to": "mm"},
11609 "name": "PA_CL_VPORT_ZSCALE",
11610 "type_ref": "PA_CL_VPORT_ZSCALE"
11611 },
11612 {
11613 "chips": ["gfx10"],
11614 "map": {"at": 164964, "to": "mm"},
11615 "name": "PA_CL_VPORT_ZSCALE_1",
11616 "type_ref": "PA_CL_VPORT_ZSCALE"
11617 },
11618 {
11619 "chips": ["gfx10"],
11620 "map": {"at": 165180, "to": "mm"},
11621 "name": "PA_CL_VPORT_ZSCALE_10",
11622 "type_ref": "PA_CL_VPORT_ZSCALE"
11623 },
11624 {
11625 "chips": ["gfx10"],
11626 "map": {"at": 165204, "to": "mm"},
11627 "name": "PA_CL_VPORT_ZSCALE_11",
11628 "type_ref": "PA_CL_VPORT_ZSCALE"
11629 },
11630 {
11631 "chips": ["gfx10"],
11632 "map": {"at": 165228, "to": "mm"},
11633 "name": "PA_CL_VPORT_ZSCALE_12",
11634 "type_ref": "PA_CL_VPORT_ZSCALE"
11635 },
11636 {
11637 "chips": ["gfx10"],
11638 "map": {"at": 165252, "to": "mm"},
11639 "name": "PA_CL_VPORT_ZSCALE_13",
11640 "type_ref": "PA_CL_VPORT_ZSCALE"
11641 },
11642 {
11643 "chips": ["gfx10"],
11644 "map": {"at": 165276, "to": "mm"},
11645 "name": "PA_CL_VPORT_ZSCALE_14",
11646 "type_ref": "PA_CL_VPORT_ZSCALE"
11647 },
11648 {
11649 "chips": ["gfx10"],
11650 "map": {"at": 165300, "to": "mm"},
11651 "name": "PA_CL_VPORT_ZSCALE_15",
11652 "type_ref": "PA_CL_VPORT_ZSCALE"
11653 },
11654 {
11655 "chips": ["gfx10"],
11656 "map": {"at": 164988, "to": "mm"},
11657 "name": "PA_CL_VPORT_ZSCALE_2",
11658 "type_ref": "PA_CL_VPORT_ZSCALE"
11659 },
11660 {
11661 "chips": ["gfx10"],
11662 "map": {"at": 165012, "to": "mm"},
11663 "name": "PA_CL_VPORT_ZSCALE_3",
11664 "type_ref": "PA_CL_VPORT_ZSCALE"
11665 },
11666 {
11667 "chips": ["gfx10"],
11668 "map": {"at": 165036, "to": "mm"},
11669 "name": "PA_CL_VPORT_ZSCALE_4",
11670 "type_ref": "PA_CL_VPORT_ZSCALE"
11671 },
11672 {
11673 "chips": ["gfx10"],
11674 "map": {"at": 165060, "to": "mm"},
11675 "name": "PA_CL_VPORT_ZSCALE_5",
11676 "type_ref": "PA_CL_VPORT_ZSCALE"
11677 },
11678 {
11679 "chips": ["gfx10"],
11680 "map": {"at": 165084, "to": "mm"},
11681 "name": "PA_CL_VPORT_ZSCALE_6",
11682 "type_ref": "PA_CL_VPORT_ZSCALE"
11683 },
11684 {
11685 "chips": ["gfx10"],
11686 "map": {"at": 165108, "to": "mm"},
11687 "name": "PA_CL_VPORT_ZSCALE_7",
11688 "type_ref": "PA_CL_VPORT_ZSCALE"
11689 },
11690 {
11691 "chips": ["gfx10"],
11692 "map": {"at": 165132, "to": "mm"},
11693 "name": "PA_CL_VPORT_ZSCALE_8",
11694 "type_ref": "PA_CL_VPORT_ZSCALE"
11695 },
11696 {
11697 "chips": ["gfx10"],
11698 "map": {"at": 165156, "to": "mm"},
11699 "name": "PA_CL_VPORT_ZSCALE_9",
11700 "type_ref": "PA_CL_VPORT_ZSCALE"
11701 },
11702 {
11703 "chips": ["gfx10"],
11704 "map": {"at": 165916, "to": "mm"},
11705 "name": "PA_CL_VS_OUT_CNTL",
11706 "type_ref": "PA_CL_VS_OUT_CNTL"
11707 },
11708 {
11709 "chips": ["gfx10"],
11710 "map": {"at": 165912, "to": "mm"},
11711 "name": "PA_CL_VTE_CNTL",
11712 "type_ref": "PA_CL_VTE_CNTL"
11713 },
11714 {
11715 "chips": ["gfx10"],
11716 "map": {"at": 218628, "to": "mm"},
11717 "name": "PA_PH_PERFCOUNTER0_HI",
11718 "type_ref": "CB_PERFCOUNTER0_HI"
11719 },
11720 {
11721 "chips": ["gfx10"],
11722 "map": {"at": 218624, "to": "mm"},
11723 "name": "PA_PH_PERFCOUNTER0_LO",
11724 "type_ref": "CB_PERFCOUNTER0_LO"
11725 },
11726 {
11727 "chips": ["gfx10"],
11728 "map": {"at": 226816, "to": "mm"},
11729 "name": "PA_PH_PERFCOUNTER0_SELECT",
11730 "type_ref": "PA_PH_PERFCOUNTER0_SELECT"
11731 },
11732 {
11733 "chips": ["gfx10"],
11734 "map": {"at": 226820, "to": "mm"},
11735 "name": "PA_PH_PERFCOUNTER0_SELECT1",
11736 "type_ref": "PA_PH_PERFCOUNTER0_SELECT1"
11737 },
11738 {
11739 "chips": ["gfx10"],
11740 "map": {"at": 218636, "to": "mm"},
11741 "name": "PA_PH_PERFCOUNTER1_HI",
11742 "type_ref": "CB_PERFCOUNTER0_HI"
11743 },
11744 {
11745 "chips": ["gfx10"],
11746 "map": {"at": 218632, "to": "mm"},
11747 "name": "PA_PH_PERFCOUNTER1_LO",
11748 "type_ref": "CB_PERFCOUNTER0_LO"
11749 },
11750 {
11751 "chips": ["gfx10"],
11752 "map": {"at": 226824, "to": "mm"},
11753 "name": "PA_PH_PERFCOUNTER1_SELECT",
11754 "type_ref": "PA_PH_PERFCOUNTER0_SELECT"
11755 },
11756 {
11757 "chips": ["gfx10"],
11758 "map": {"at": 226880, "to": "mm"},
11759 "name": "PA_PH_PERFCOUNTER1_SELECT1",
11760 "type_ref": "PA_PH_PERFCOUNTER0_SELECT1"
11761 },
11762 {
11763 "chips": ["gfx10"],
11764 "map": {"at": 218644, "to": "mm"},
11765 "name": "PA_PH_PERFCOUNTER2_HI",
11766 "type_ref": "CB_PERFCOUNTER0_HI"
11767 },
11768 {
11769 "chips": ["gfx10"],
11770 "map": {"at": 218640, "to": "mm"},
11771 "name": "PA_PH_PERFCOUNTER2_LO",
11772 "type_ref": "CB_PERFCOUNTER0_LO"
11773 },
11774 {
11775 "chips": ["gfx10"],
11776 "map": {"at": 226828, "to": "mm"},
11777 "name": "PA_PH_PERFCOUNTER2_SELECT",
11778 "type_ref": "PA_PH_PERFCOUNTER0_SELECT"
11779 },
11780 {
11781 "chips": ["gfx10"],
11782 "map": {"at": 226884, "to": "mm"},
11783 "name": "PA_PH_PERFCOUNTER2_SELECT1",
11784 "type_ref": "PA_PH_PERFCOUNTER0_SELECT1"
11785 },
11786 {
11787 "chips": ["gfx10"],
11788 "map": {"at": 218652, "to": "mm"},
11789 "name": "PA_PH_PERFCOUNTER3_HI",
11790 "type_ref": "CB_PERFCOUNTER0_HI"
11791 },
11792 {
11793 "chips": ["gfx10"],
11794 "map": {"at": 218648, "to": "mm"},
11795 "name": "PA_PH_PERFCOUNTER3_LO",
11796 "type_ref": "CB_PERFCOUNTER0_LO"
11797 },
11798 {
11799 "chips": ["gfx10"],
11800 "map": {"at": 226832, "to": "mm"},
11801 "name": "PA_PH_PERFCOUNTER3_SELECT",
11802 "type_ref": "PA_PH_PERFCOUNTER0_SELECT"
11803 },
11804 {
11805 "chips": ["gfx10"],
11806 "map": {"at": 226888, "to": "mm"},
11807 "name": "PA_PH_PERFCOUNTER3_SELECT1",
11808 "type_ref": "PA_PH_PERFCOUNTER0_SELECT1"
11809 },
11810 {
11811 "chips": ["gfx10"],
11812 "map": {"at": 218660, "to": "mm"},
11813 "name": "PA_PH_PERFCOUNTER4_HI",
11814 "type_ref": "CB_PERFCOUNTER0_HI"
11815 },
11816 {
11817 "chips": ["gfx10"],
11818 "map": {"at": 218656, "to": "mm"},
11819 "name": "PA_PH_PERFCOUNTER4_LO",
11820 "type_ref": "CB_PERFCOUNTER0_LO"
11821 },
11822 {
11823 "chips": ["gfx10"],
11824 "map": {"at": 226836, "to": "mm"},
11825 "name": "PA_PH_PERFCOUNTER4_SELECT",
11826 "type_ref": "PA_PH_PERFCOUNTER4_SELECT"
11827 },
11828 {
11829 "chips": ["gfx10"],
11830 "map": {"at": 218668, "to": "mm"},
11831 "name": "PA_PH_PERFCOUNTER5_HI",
11832 "type_ref": "CB_PERFCOUNTER0_HI"
11833 },
11834 {
11835 "chips": ["gfx10"],
11836 "map": {"at": 218664, "to": "mm"},
11837 "name": "PA_PH_PERFCOUNTER5_LO",
11838 "type_ref": "CB_PERFCOUNTER0_LO"
11839 },
11840 {
11841 "chips": ["gfx10"],
11842 "map": {"at": 226840, "to": "mm"},
11843 "name": "PA_PH_PERFCOUNTER5_SELECT",
11844 "type_ref": "PA_PH_PERFCOUNTER4_SELECT"
11845 },
11846 {
11847 "chips": ["gfx10"],
11848 "map": {"at": 218676, "to": "mm"},
11849 "name": "PA_PH_PERFCOUNTER6_HI",
11850 "type_ref": "CB_PERFCOUNTER0_HI"
11851 },
11852 {
11853 "chips": ["gfx10"],
11854 "map": {"at": 218672, "to": "mm"},
11855 "name": "PA_PH_PERFCOUNTER6_LO",
11856 "type_ref": "CB_PERFCOUNTER0_LO"
11857 },
11858 {
11859 "chips": ["gfx10"],
11860 "map": {"at": 226844, "to": "mm"},
11861 "name": "PA_PH_PERFCOUNTER6_SELECT",
11862 "type_ref": "PA_PH_PERFCOUNTER4_SELECT"
11863 },
11864 {
11865 "chips": ["gfx10"],
11866 "map": {"at": 218684, "to": "mm"},
11867 "name": "PA_PH_PERFCOUNTER7_HI",
11868 "type_ref": "CB_PERFCOUNTER0_HI"
11869 },
11870 {
11871 "chips": ["gfx10"],
11872 "map": {"at": 218680, "to": "mm"},
11873 "name": "PA_PH_PERFCOUNTER7_LO",
11874 "type_ref": "CB_PERFCOUNTER0_LO"
11875 },
11876 {
11877 "chips": ["gfx10"],
11878 "map": {"at": 226848, "to": "mm"},
11879 "name": "PA_PH_PERFCOUNTER7_SELECT",
11880 "type_ref": "PA_PH_PERFCOUNTER4_SELECT"
11881 },
11882 {
11883 "chips": ["gfx10"],
11884 "map": {"at": 166880, "to": "mm"},
11885 "name": "PA_SC_AA_CONFIG",
11886 "type_ref": "PA_SC_AA_CONFIG"
11887 },
11888 {
11889 "chips": ["gfx10"],
11890 "map": {"at": 166968, "to": "mm"},
11891 "name": "PA_SC_AA_MASK_X0Y0_X1Y0",
11892 "type_ref": "PA_SC_AA_MASK_X0Y0_X1Y0"
11893 },
11894 {
11895 "chips": ["gfx10"],
11896 "map": {"at": 166972, "to": "mm"},
11897 "name": "PA_SC_AA_MASK_X0Y1_X1Y1",
11898 "type_ref": "PA_SC_AA_MASK_X0Y1_X1Y1"
11899 },
11900 {
11901 "chips": ["gfx10"],
11902 "map": {"at": 166904, "to": "mm"},
11903 "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_0",
11904 "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_0"
11905 },
11906 {
11907 "chips": ["gfx10"],
11908 "map": {"at": 166908, "to": "mm"},
11909 "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_1",
11910 "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_1"
11911 },
11912 {
11913 "chips": ["gfx10"],
11914 "map": {"at": 166912, "to": "mm"},
11915 "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_2",
11916 "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_2"
11917 },
11918 {
11919 "chips": ["gfx10"],
11920 "map": {"at": 166916, "to": "mm"},
11921 "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_3",
11922 "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_3"
11923 },
11924 {
11925 "chips": ["gfx10"],
11926 "map": {"at": 166936, "to": "mm"},
11927 "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y1_0",
11928 "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_0"
11929 },
11930 {
11931 "chips": ["gfx10"],
11932 "map": {"at": 166940, "to": "mm"},
11933 "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y1_1",
11934 "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_1"
11935 },
11936 {
11937 "chips": ["gfx10"],
11938 "map": {"at": 166944, "to": "mm"},
11939 "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y1_2",
11940 "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_2"
11941 },
11942 {
11943 "chips": ["gfx10"],
11944 "map": {"at": 166948, "to": "mm"},
11945 "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y1_3",
11946 "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_3"
11947 },
11948 {
11949 "chips": ["gfx10"],
11950 "map": {"at": 166920, "to": "mm"},
11951 "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X1Y0_0",
11952 "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_0"
11953 },
11954 {
11955 "chips": ["gfx10"],
11956 "map": {"at": 166924, "to": "mm"},
11957 "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X1Y0_1",
11958 "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_1"
11959 },
11960 {
11961 "chips": ["gfx10"],
11962 "map": {"at": 166928, "to": "mm"},
11963 "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X1Y0_2",
11964 "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_2"
11965 },
11966 {
11967 "chips": ["gfx10"],
11968 "map": {"at": 166932, "to": "mm"},
11969 "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X1Y0_3",
11970 "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_3"
11971 },
11972 {
11973 "chips": ["gfx10"],
11974 "map": {"at": 166952, "to": "mm"},
11975 "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X1Y1_0",
11976 "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_0"
11977 },
11978 {
11979 "chips": ["gfx10"],
11980 "map": {"at": 166956, "to": "mm"},
11981 "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X1Y1_1",
11982 "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_1"
11983 },
11984 {
11985 "chips": ["gfx10"],
11986 "map": {"at": 166960, "to": "mm"},
11987 "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X1Y1_2",
11988 "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_2"
11989 },
11990 {
11991 "chips": ["gfx10"],
11992 "map": {"at": 166964, "to": "mm"},
11993 "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X1Y1_3",
11994 "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_3"
11995 },
11996 {
11997 "chips": ["gfx10"],
11998 "map": {"at": 166980, "to": "mm"},
11999 "name": "PA_SC_BINNER_CNTL_0",
12000 "type_ref": "PA_SC_BINNER_CNTL_0"
12001 },
12002 {
12003 "chips": ["gfx10"],
12004 "map": {"at": 166984, "to": "mm"},
12005 "name": "PA_SC_BINNER_CNTL_1",
12006 "type_ref": "PA_SC_BINNER_CNTL_1"
12007 },
12008 {
12009 "chips": ["gfx10"],
12010 "map": {"at": 166868, "to": "mm"},
12011 "name": "PA_SC_CENTROID_PRIORITY_0",
12012 "type_ref": "PA_SC_CENTROID_PRIORITY_0"
12013 },
12014 {
12015 "chips": ["gfx10"],
12016 "map": {"at": 166872, "to": "mm"},
12017 "name": "PA_SC_CENTROID_PRIORITY_1",
12018 "type_ref": "PA_SC_CENTROID_PRIORITY_1"
12019 },
12020 {
12021 "chips": ["gfx10"],
12022 "map": {"at": 164372, "to": "mm"},
12023 "name": "PA_SC_CLIPRECT_0_BR",
12024 "type_ref": "PA_SC_CLIPRECT_0_BR"
12025 },
12026 {
12027 "chips": ["gfx10"],
12028 "map": {"at": 164368, "to": "mm"},
12029 "name": "PA_SC_CLIPRECT_0_TL",
12030 "type_ref": "PA_SC_CLIPRECT_0_TL"
12031 },
12032 {
12033 "chips": ["gfx10"],
12034 "map": {"at": 164380, "to": "mm"},
12035 "name": "PA_SC_CLIPRECT_1_BR",
12036 "type_ref": "PA_SC_CLIPRECT_0_BR"
12037 },
12038 {
12039 "chips": ["gfx10"],
12040 "map": {"at": 164376, "to": "mm"},
12041 "name": "PA_SC_CLIPRECT_1_TL",
12042 "type_ref": "PA_SC_CLIPRECT_0_TL"
12043 },
12044 {
12045 "chips": ["gfx10"],
12046 "map": {"at": 164388, "to": "mm"},
12047 "name": "PA_SC_CLIPRECT_2_BR",
12048 "type_ref": "PA_SC_CLIPRECT_0_BR"
12049 },
12050 {
12051 "chips": ["gfx10"],
12052 "map": {"at": 164384, "to": "mm"},
12053 "name": "PA_SC_CLIPRECT_2_TL",
12054 "type_ref": "PA_SC_CLIPRECT_0_TL"
12055 },
12056 {
12057 "chips": ["gfx10"],
12058 "map": {"at": 164396, "to": "mm"},
12059 "name": "PA_SC_CLIPRECT_3_BR",
12060 "type_ref": "PA_SC_CLIPRECT_0_BR"
12061 },
12062 {
12063 "chips": ["gfx10"],
12064 "map": {"at": 164392, "to": "mm"},
12065 "name": "PA_SC_CLIPRECT_3_TL",
12066 "type_ref": "PA_SC_CLIPRECT_0_TL"
12067 },
12068 {
12069 "chips": ["gfx10"],
12070 "map": {"at": 164364, "to": "mm"},
12071 "name": "PA_SC_CLIPRECT_RULE",
12072 "type_ref": "PA_SC_CLIPRECT_RULE"
12073 },
12074 {
12075 "chips": ["gfx10"],
12076 "map": {"at": 166988, "to": "mm"},
12077 "name": "PA_SC_CONSERVATIVE_RASTERIZATION_CNTL",
12078 "type_ref": "PA_SC_CONSERVATIVE_RASTERIZATION_CNTL"
12079 },
12080 {
12081 "chips": ["gfx10"],
12082 "map": {"at": 164400, "to": "mm"},
12083 "name": "PA_SC_EDGERULE",
12084 "type_ref": "PA_SC_EDGERULE"
12085 },
12086 {
12087 "chips": ["gfx10"],
12088 "map": {"at": 164420, "to": "mm"},
12089 "name": "PA_SC_GENERIC_SCISSOR_BR",
12090 "type_ref": "PA_SC_CLIPRECT_0_BR"
12091 },
12092 {
12093 "chips": ["gfx10"],
12094 "map": {"at": 164416, "to": "mm"},
12095 "name": "PA_SC_GENERIC_SCISSOR_TL",
12096 "type_ref": "PA_SC_GENERIC_SCISSOR_TL"
12097 },
12098 {
12099 "chips": ["gfx10"],
12100 "map": {"at": 164776, "to": "mm"},
12101 "name": "PA_SC_HORIZ_GRID",
12102 "type_ref": "PA_SC_HORIZ_GRID"
12103 },
12104 {
12105 "chips": ["gfx10"],
12106 "map": {"at": 199344, "to": "mm"},
12107 "name": "PA_SC_HP3D_TRAP_SCREEN_COUNT",
12108 "type_ref": "CP_GFX_DDID_INFLIGHT_COUNT"
12109 },
12110 {
12111 "chips": ["gfx10"],
12112 "map": {"at": 199332, "to": "mm"},
12113 "name": "PA_SC_HP3D_TRAP_SCREEN_H",
12114 "type_ref": "PA_SC_P3D_TRAP_SCREEN_H"
12115 },
12116 {
12117 "chips": ["gfx10"],
12118 "map": {"at": 199328, "to": "mm"},
12119 "name": "PA_SC_HP3D_TRAP_SCREEN_HV_EN",
12120 "type_ref": "PA_SC_P3D_TRAP_SCREEN_HV_EN"
12121 },
12122 {
12123 "chips": ["gfx10"],
12124 "map": {"at": 199340, "to": "mm"},
12125 "name": "PA_SC_HP3D_TRAP_SCREEN_OCCURRENCE",
12126 "type_ref": "CP_GFX_DDID_INFLIGHT_COUNT"
12127 },
12128 {
12129 "chips": ["gfx10"],
12130 "map": {"at": 199336, "to": "mm"},
12131 "name": "PA_SC_HP3D_TRAP_SCREEN_V",
12132 "type_ref": "PA_SC_P3D_TRAP_SCREEN_V"
12133 },
12134 {
12135 "chips": ["gfx10"],
12136 "map": {"at": 164772, "to": "mm"},
12137 "name": "PA_SC_LEFT_VERT_GRID",
12138 "type_ref": "PA_SC_RIGHT_VERT_GRID"
12139 },
12140 {
12141 "chips": ["gfx10"],
12142 "map": {"at": 166876, "to": "mm"},
12143 "name": "PA_SC_LINE_CNTL",
12144 "type_ref": "PA_SC_LINE_CNTL"
12145 },
12146 {
12147 "chips": ["gfx10"],
12148 "map": {"at": 166412, "to": "mm"},
12149 "name": "PA_SC_LINE_STIPPLE",
12150 "type_ref": "PA_SC_LINE_STIPPLE"
12151 },
12152 {
12153 "chips": ["gfx10"],
12154 "map": {"at": 199172, "to": "mm"},
12155 "name": "PA_SC_LINE_STIPPLE_STATE",
12156 "type_ref": "PA_SC_LINE_STIPPLE_STATE"
12157 },
12158 {
12159 "chips": ["gfx10"],
12160 "map": {"at": 166472, "to": "mm"},
12161 "name": "PA_SC_MODE_CNTL_0",
12162 "type_ref": "PA_SC_MODE_CNTL_0"
12163 },
12164 {
12165 "chips": ["gfx10"],
12166 "map": {"at": 166476, "to": "mm"},
12167 "name": "PA_SC_MODE_CNTL_1",
12168 "type_ref": "PA_SC_MODE_CNTL_1"
12169 },
12170 {
12171 "chips": ["gfx10"],
12172 "map": {"at": 166992, "to": "mm"},
12173 "name": "PA_SC_NGG_MODE_CNTL",
12174 "type_ref": "PA_SC_NGG_MODE_CNTL"
12175 },
12176 {
12177 "chips": ["gfx10"],
12178 "map": {"at": 199312, "to": "mm"},
12179 "name": "PA_SC_P3D_TRAP_SCREEN_COUNT",
12180 "type_ref": "CP_GFX_DDID_INFLIGHT_COUNT"
12181 },
12182 {
12183 "chips": ["gfx10"],
12184 "map": {"at": 199300, "to": "mm"},
12185 "name": "PA_SC_P3D_TRAP_SCREEN_H",
12186 "type_ref": "PA_SC_P3D_TRAP_SCREEN_H"
12187 },
12188 {
12189 "chips": ["gfx10"],
12190 "map": {"at": 199296, "to": "mm"},
12191 "name": "PA_SC_P3D_TRAP_SCREEN_HV_EN",
12192 "type_ref": "PA_SC_P3D_TRAP_SCREEN_HV_EN"
12193 },
12194 {
12195 "chips": ["gfx10"],
12196 "map": {"at": 199308, "to": "mm"},
12197 "name": "PA_SC_P3D_TRAP_SCREEN_OCCURRENCE",
12198 "type_ref": "CP_GFX_DDID_INFLIGHT_COUNT"
12199 },
12200 {
12201 "chips": ["gfx10"],
12202 "map": {"at": 199304, "to": "mm"},
12203 "name": "PA_SC_P3D_TRAP_SCREEN_V",
12204 "type_ref": "PA_SC_P3D_TRAP_SCREEN_V"
12205 },
12206 {
12207 "chips": ["gfx10"],
12208 "map": {"at": 214276, "to": "mm"},
12209 "name": "PA_SC_PERFCOUNTER0_HI",
12210 "type_ref": "CB_PERFCOUNTER0_HI"
12211 },
12212 {
12213 "chips": ["gfx10"],
12214 "map": {"at": 214272, "to": "mm"},
12215 "name": "PA_SC_PERFCOUNTER0_LO",
12216 "type_ref": "CB_PERFCOUNTER0_LO"
12217 },
12218 {
12219 "chips": ["gfx10"],
12220 "map": {"at": 222464, "to": "mm"},
12221 "name": "PA_SC_PERFCOUNTER0_SELECT",
12222 "type_ref": "PA_SC_PERFCOUNTER0_SELECT"
12223 },
12224 {
12225 "chips": ["gfx10"],
12226 "map": {"at": 222468, "to": "mm"},
12227 "name": "PA_SC_PERFCOUNTER0_SELECT1",
12228 "type_ref": "PA_SC_PERFCOUNTER0_SELECT1"
12229 },
12230 {
12231 "chips": ["gfx10"],
12232 "map": {"at": 214284, "to": "mm"},
12233 "name": "PA_SC_PERFCOUNTER1_HI",
12234 "type_ref": "CB_PERFCOUNTER0_HI"
12235 },
12236 {
12237 "chips": ["gfx10"],
12238 "map": {"at": 214280, "to": "mm"},
12239 "name": "PA_SC_PERFCOUNTER1_LO",
12240 "type_ref": "CB_PERFCOUNTER0_LO"
12241 },
12242 {
12243 "chips": ["gfx10"],
12244 "map": {"at": 222472, "to": "mm"},
12245 "name": "PA_SC_PERFCOUNTER1_SELECT",
12246 "type_ref": "PA_SC_PERFCOUNTER1_SELECT"
12247 },
12248 {
12249 "chips": ["gfx10"],
12250 "map": {"at": 214292, "to": "mm"},
12251 "name": "PA_SC_PERFCOUNTER2_HI",
12252 "type_ref": "CB_PERFCOUNTER0_HI"
12253 },
12254 {
12255 "chips": ["gfx10"],
12256 "map": {"at": 214288, "to": "mm"},
12257 "name": "PA_SC_PERFCOUNTER2_LO",
12258 "type_ref": "CB_PERFCOUNTER0_LO"
12259 },
12260 {
12261 "chips": ["gfx10"],
12262 "map": {"at": 222476, "to": "mm"},
12263 "name": "PA_SC_PERFCOUNTER2_SELECT",
12264 "type_ref": "PA_SC_PERFCOUNTER1_SELECT"
12265 },
12266 {
12267 "chips": ["gfx10"],
12268 "map": {"at": 214300, "to": "mm"},
12269 "name": "PA_SC_PERFCOUNTER3_HI",
12270 "type_ref": "CB_PERFCOUNTER0_HI"
12271 },
12272 {
12273 "chips": ["gfx10"],
12274 "map": {"at": 214296, "to": "mm"},
12275 "name": "PA_SC_PERFCOUNTER3_LO",
12276 "type_ref": "CB_PERFCOUNTER0_LO"
12277 },
12278 {
12279 "chips": ["gfx10"],
12280 "map": {"at": 222480, "to": "mm"},
12281 "name": "PA_SC_PERFCOUNTER3_SELECT",
12282 "type_ref": "PA_SC_PERFCOUNTER1_SELECT"
12283 },
12284 {
12285 "chips": ["gfx10"],
12286 "map": {"at": 214308, "to": "mm"},
12287 "name": "PA_SC_PERFCOUNTER4_HI",
12288 "type_ref": "CB_PERFCOUNTER0_HI"
12289 },
12290 {
12291 "chips": ["gfx10"],
12292 "map": {"at": 214304, "to": "mm"},
12293 "name": "PA_SC_PERFCOUNTER4_LO",
12294 "type_ref": "CB_PERFCOUNTER0_LO"
12295 },
12296 {
12297 "chips": ["gfx10"],
12298 "map": {"at": 222484, "to": "mm"},
12299 "name": "PA_SC_PERFCOUNTER4_SELECT",
12300 "type_ref": "PA_SC_PERFCOUNTER1_SELECT"
12301 },
12302 {
12303 "chips": ["gfx10"],
12304 "map": {"at": 214316, "to": "mm"},
12305 "name": "PA_SC_PERFCOUNTER5_HI",
12306 "type_ref": "CB_PERFCOUNTER0_HI"
12307 },
12308 {
12309 "chips": ["gfx10"],
12310 "map": {"at": 214312, "to": "mm"},
12311 "name": "PA_SC_PERFCOUNTER5_LO",
12312 "type_ref": "CB_PERFCOUNTER0_LO"
12313 },
12314 {
12315 "chips": ["gfx10"],
12316 "map": {"at": 222488, "to": "mm"},
12317 "name": "PA_SC_PERFCOUNTER5_SELECT",
12318 "type_ref": "PA_SC_PERFCOUNTER1_SELECT"
12319 },
12320 {
12321 "chips": ["gfx10"],
12322 "map": {"at": 214324, "to": "mm"},
12323 "name": "PA_SC_PERFCOUNTER6_HI",
12324 "type_ref": "CB_PERFCOUNTER0_HI"
12325 },
12326 {
12327 "chips": ["gfx10"],
12328 "map": {"at": 214320, "to": "mm"},
12329 "name": "PA_SC_PERFCOUNTER6_LO",
12330 "type_ref": "CB_PERFCOUNTER0_LO"
12331 },
12332 {
12333 "chips": ["gfx10"],
12334 "map": {"at": 222492, "to": "mm"},
12335 "name": "PA_SC_PERFCOUNTER6_SELECT",
12336 "type_ref": "PA_SC_PERFCOUNTER1_SELECT"
12337 },
12338 {
12339 "chips": ["gfx10"],
12340 "map": {"at": 214332, "to": "mm"},
12341 "name": "PA_SC_PERFCOUNTER7_HI",
12342 "type_ref": "CB_PERFCOUNTER0_HI"
12343 },
12344 {
12345 "chips": ["gfx10"],
12346 "map": {"at": 214328, "to": "mm"},
12347 "name": "PA_SC_PERFCOUNTER7_LO",
12348 "type_ref": "CB_PERFCOUNTER0_LO"
12349 },
12350 {
12351 "chips": ["gfx10"],
12352 "map": {"at": 222496, "to": "mm"},
12353 "name": "PA_SC_PERFCOUNTER7_SELECT",
12354 "type_ref": "PA_SC_PERFCOUNTER1_SELECT"
12355 },
12356 {
12357 "chips": ["gfx10"],
12358 "map": {"at": 164688, "to": "mm"},
12359 "name": "PA_SC_RASTER_CONFIG",
12360 "type_ref": "PA_SC_RASTER_CONFIG"
12361 },
12362 {
12363 "chips": ["gfx10"],
12364 "map": {"at": 164692, "to": "mm"},
12365 "name": "PA_SC_RASTER_CONFIG_1",
12366 "type_ref": "PA_SC_RASTER_CONFIG_1"
12367 },
12368 {
12369 "chips": ["gfx10"],
12370 "map": {"at": 164768, "to": "mm"},
12371 "name": "PA_SC_RIGHT_VERT_GRID",
12372 "type_ref": "PA_SC_RIGHT_VERT_GRID"
12373 },
12374 {
12375 "chips": ["gfx10"],
12376 "map": {"at": 164696, "to": "mm"},
12377 "name": "PA_SC_SCREEN_EXTENT_CONTROL",
12378 "type_ref": "PA_SC_SCREEN_EXTENT_CONTROL"
12379 },
12380 {
12381 "chips": ["gfx10"],
12382 "map": {"at": 199188, "to": "mm"},
12383 "name": "PA_SC_SCREEN_EXTENT_MAX_0",
12384 "type_ref": "PA_SC_SCREEN_EXTENT_MIN_0"
12385 },
12386 {
12387 "chips": ["gfx10"],
12388 "map": {"at": 199212, "to": "mm"},
12389 "name": "PA_SC_SCREEN_EXTENT_MAX_1",
12390 "type_ref": "PA_SC_SCREEN_EXTENT_MIN_0"
12391 },
12392 {
12393 "chips": ["gfx10"],
12394 "map": {"at": 199184, "to": "mm"},
12395 "name": "PA_SC_SCREEN_EXTENT_MIN_0",
12396 "type_ref": "PA_SC_SCREEN_EXTENT_MIN_0"
12397 },
12398 {
12399 "chips": ["gfx10"],
12400 "map": {"at": 199192, "to": "mm"},
12401 "name": "PA_SC_SCREEN_EXTENT_MIN_1",
12402 "type_ref": "PA_SC_SCREEN_EXTENT_MIN_0"
12403 },
12404 {
12405 "chips": ["gfx10"],
12406 "map": {"at": 163892, "to": "mm"},
12407 "name": "PA_SC_SCREEN_SCISSOR_BR",
12408 "type_ref": "PA_SC_SCREEN_SCISSOR_BR"
12409 },
12410 {
12411 "chips": ["gfx10"],
12412 "map": {"at": 163888, "to": "mm"},
12413 "name": "PA_SC_SCREEN_SCISSOR_TL",
12414 "type_ref": "PA_SC_SCREEN_SCISSOR_TL"
12415 },
12416 {
12417 "chips": ["gfx10"],
12418 "map": {"at": 166976, "to": "mm"},
12419 "name": "PA_SC_SHADER_CONTROL",
12420 "type_ref": "PA_SC_SHADER_CONTROL"
12421 },
12422 {
12423 "chips": ["gfx10"],
12424 "map": {"at": 164700, "to": "mm"},
12425 "name": "PA_SC_TILE_STEERING_OVERRIDE",
12426 "type_ref": "PA_SC_TILE_STEERING_OVERRIDE"
12427 },
12428 {
12429 "chips": ["gfx10"],
12430 "map": {"at": 199376, "to": "mm"},
12431 "name": "PA_SC_TRAP_SCREEN_COUNT",
12432 "type_ref": "CP_GFX_DDID_INFLIGHT_COUNT"
12433 },
12434 {
12435 "chips": ["gfx10"],
12436 "map": {"at": 199364, "to": "mm"},
12437 "name": "PA_SC_TRAP_SCREEN_H",
12438 "type_ref": "PA_SC_P3D_TRAP_SCREEN_H"
12439 },
12440 {
12441 "chips": ["gfx10"],
12442 "map": {"at": 199360, "to": "mm"},
12443 "name": "PA_SC_TRAP_SCREEN_HV_EN",
12444 "type_ref": "PA_SC_P3D_TRAP_SCREEN_HV_EN"
12445 },
12446 {
12447 "chips": ["gfx10"],
12448 "map": {"at": 199372, "to": "mm"},
12449 "name": "PA_SC_TRAP_SCREEN_OCCURRENCE",
12450 "type_ref": "CP_GFX_DDID_INFLIGHT_COUNT"
12451 },
12452 {
12453 "chips": ["gfx10"],
12454 "map": {"at": 199368, "to": "mm"},
12455 "name": "PA_SC_TRAP_SCREEN_V",
12456 "type_ref": "PA_SC_P3D_TRAP_SCREEN_V"
12457 },
12458 {
12459 "chips": ["gfx10"],
12460 "map": {"at": 164436, "to": "mm"},
12461 "name": "PA_SC_VPORT_SCISSOR_0_BR",
12462 "type_ref": "PA_SC_CLIPRECT_0_BR"
12463 },
12464 {
12465 "chips": ["gfx10"],
12466 "map": {"at": 164432, "to": "mm"},
12467 "name": "PA_SC_VPORT_SCISSOR_0_TL",
12468 "type_ref": "PA_SC_GENERIC_SCISSOR_TL"
12469 },
12470 {
12471 "chips": ["gfx10"],
12472 "map": {"at": 164516, "to": "mm"},
12473 "name": "PA_SC_VPORT_SCISSOR_10_BR",
12474 "type_ref": "PA_SC_CLIPRECT_0_BR"
12475 },
12476 {
12477 "chips": ["gfx10"],
12478 "map": {"at": 164512, "to": "mm"},
12479 "name": "PA_SC_VPORT_SCISSOR_10_TL",
12480 "type_ref": "PA_SC_GENERIC_SCISSOR_TL"
12481 },
12482 {
12483 "chips": ["gfx10"],
12484 "map": {"at": 164524, "to": "mm"},
12485 "name": "PA_SC_VPORT_SCISSOR_11_BR",
12486 "type_ref": "PA_SC_CLIPRECT_0_BR"
12487 },
12488 {
12489 "chips": ["gfx10"],
12490 "map": {"at": 164520, "to": "mm"},
12491 "name": "PA_SC_VPORT_SCISSOR_11_TL",
12492 "type_ref": "PA_SC_GENERIC_SCISSOR_TL"
12493 },
12494 {
12495 "chips": ["gfx10"],
12496 "map": {"at": 164532, "to": "mm"},
12497 "name": "PA_SC_VPORT_SCISSOR_12_BR",
12498 "type_ref": "PA_SC_CLIPRECT_0_BR"
12499 },
12500 {
12501 "chips": ["gfx10"],
12502 "map": {"at": 164528, "to": "mm"},
12503 "name": "PA_SC_VPORT_SCISSOR_12_TL",
12504 "type_ref": "PA_SC_GENERIC_SCISSOR_TL"
12505 },
12506 {
12507 "chips": ["gfx10"],
12508 "map": {"at": 164540, "to": "mm"},
12509 "name": "PA_SC_VPORT_SCISSOR_13_BR",
12510 "type_ref": "PA_SC_CLIPRECT_0_BR"
12511 },
12512 {
12513 "chips": ["gfx10"],
12514 "map": {"at": 164536, "to": "mm"},
12515 "name": "PA_SC_VPORT_SCISSOR_13_TL",
12516 "type_ref": "PA_SC_GENERIC_SCISSOR_TL"
12517 },
12518 {
12519 "chips": ["gfx10"],
12520 "map": {"at": 164548, "to": "mm"},
12521 "name": "PA_SC_VPORT_SCISSOR_14_BR",
12522 "type_ref": "PA_SC_CLIPRECT_0_BR"
12523 },
12524 {
12525 "chips": ["gfx10"],
12526 "map": {"at": 164544, "to": "mm"},
12527 "name": "PA_SC_VPORT_SCISSOR_14_TL",
12528 "type_ref": "PA_SC_GENERIC_SCISSOR_TL"
12529 },
12530 {
12531 "chips": ["gfx10"],
12532 "map": {"at": 164556, "to": "mm"},
12533 "name": "PA_SC_VPORT_SCISSOR_15_BR",
12534 "type_ref": "PA_SC_CLIPRECT_0_BR"
12535 },
12536 {
12537 "chips": ["gfx10"],
12538 "map": {"at": 164552, "to": "mm"},
12539 "name": "PA_SC_VPORT_SCISSOR_15_TL",
12540 "type_ref": "PA_SC_GENERIC_SCISSOR_TL"
12541 },
12542 {
12543 "chips": ["gfx10"],
12544 "map": {"at": 164444, "to": "mm"},
12545 "name": "PA_SC_VPORT_SCISSOR_1_BR",
12546 "type_ref": "PA_SC_CLIPRECT_0_BR"
12547 },
12548 {
12549 "chips": ["gfx10"],
12550 "map": {"at": 164440, "to": "mm"},
12551 "name": "PA_SC_VPORT_SCISSOR_1_TL",
12552 "type_ref": "PA_SC_GENERIC_SCISSOR_TL"
12553 },
12554 {
12555 "chips": ["gfx10"],
12556 "map": {"at": 164452, "to": "mm"},
12557 "name": "PA_SC_VPORT_SCISSOR_2_BR",
12558 "type_ref": "PA_SC_CLIPRECT_0_BR"
12559 },
12560 {
12561 "chips": ["gfx10"],
12562 "map": {"at": 164448, "to": "mm"},
12563 "name": "PA_SC_VPORT_SCISSOR_2_TL",
12564 "type_ref": "PA_SC_GENERIC_SCISSOR_TL"
12565 },
12566 {
12567 "chips": ["gfx10"],
12568 "map": {"at": 164460, "to": "mm"},
12569 "name": "PA_SC_VPORT_SCISSOR_3_BR",
12570 "type_ref": "PA_SC_CLIPRECT_0_BR"
12571 },
12572 {
12573 "chips": ["gfx10"],
12574 "map": {"at": 164456, "to": "mm"},
12575 "name": "PA_SC_VPORT_SCISSOR_3_TL",
12576 "type_ref": "PA_SC_GENERIC_SCISSOR_TL"
12577 },
12578 {
12579 "chips": ["gfx10"],
12580 "map": {"at": 164468, "to": "mm"},
12581 "name": "PA_SC_VPORT_SCISSOR_4_BR",
12582 "type_ref": "PA_SC_CLIPRECT_0_BR"
12583 },
12584 {
12585 "chips": ["gfx10"],
12586 "map": {"at": 164464, "to": "mm"},
12587 "name": "PA_SC_VPORT_SCISSOR_4_TL",
12588 "type_ref": "PA_SC_GENERIC_SCISSOR_TL"
12589 },
12590 {
12591 "chips": ["gfx10"],
12592 "map": {"at": 164476, "to": "mm"},
12593 "name": "PA_SC_VPORT_SCISSOR_5_BR",
12594 "type_ref": "PA_SC_CLIPRECT_0_BR"
12595 },
12596 {
12597 "chips": ["gfx10"],
12598 "map": {"at": 164472, "to": "mm"},
12599 "name": "PA_SC_VPORT_SCISSOR_5_TL",
12600 "type_ref": "PA_SC_GENERIC_SCISSOR_TL"
12601 },
12602 {
12603 "chips": ["gfx10"],
12604 "map": {"at": 164484, "to": "mm"},
12605 "name": "PA_SC_VPORT_SCISSOR_6_BR",
12606 "type_ref": "PA_SC_CLIPRECT_0_BR"
12607 },
12608 {
12609 "chips": ["gfx10"],
12610 "map": {"at": 164480, "to": "mm"},
12611 "name": "PA_SC_VPORT_SCISSOR_6_TL",
12612 "type_ref": "PA_SC_GENERIC_SCISSOR_TL"
12613 },
12614 {
12615 "chips": ["gfx10"],
12616 "map": {"at": 164492, "to": "mm"},
12617 "name": "PA_SC_VPORT_SCISSOR_7_BR",
12618 "type_ref": "PA_SC_CLIPRECT_0_BR"
12619 },
12620 {
12621 "chips": ["gfx10"],
12622 "map": {"at": 164488, "to": "mm"},
12623 "name": "PA_SC_VPORT_SCISSOR_7_TL",
12624 "type_ref": "PA_SC_GENERIC_SCISSOR_TL"
12625 },
12626 {
12627 "chips": ["gfx10"],
12628 "map": {"at": 164500, "to": "mm"},
12629 "name": "PA_SC_VPORT_SCISSOR_8_BR",
12630 "type_ref": "PA_SC_CLIPRECT_0_BR"
12631 },
12632 {
12633 "chips": ["gfx10"],
12634 "map": {"at": 164496, "to": "mm"},
12635 "name": "PA_SC_VPORT_SCISSOR_8_TL",
12636 "type_ref": "PA_SC_GENERIC_SCISSOR_TL"
12637 },
12638 {
12639 "chips": ["gfx10"],
12640 "map": {"at": 164508, "to": "mm"},
12641 "name": "PA_SC_VPORT_SCISSOR_9_BR",
12642 "type_ref": "PA_SC_CLIPRECT_0_BR"
12643 },
12644 {
12645 "chips": ["gfx10"],
12646 "map": {"at": 164504, "to": "mm"},
12647 "name": "PA_SC_VPORT_SCISSOR_9_TL",
12648 "type_ref": "PA_SC_GENERIC_SCISSOR_TL"
12649 },
12650 {
12651 "chips": ["gfx10"],
12652 "map": {"at": 164564, "to": "mm"},
12653 "name": "PA_SC_VPORT_ZMAX_0",
12654 "type_ref": "PA_SC_VPORT_ZMAX_0"
12655 },
12656 {
12657 "chips": ["gfx10"],
12658 "map": {"at": 164572, "to": "mm"},
12659 "name": "PA_SC_VPORT_ZMAX_1",
12660 "type_ref": "PA_SC_VPORT_ZMAX_0"
12661 },
12662 {
12663 "chips": ["gfx10"],
12664 "map": {"at": 164644, "to": "mm"},
12665 "name": "PA_SC_VPORT_ZMAX_10",
12666 "type_ref": "PA_SC_VPORT_ZMAX_0"
12667 },
12668 {
12669 "chips": ["gfx10"],
12670 "map": {"at": 164652, "to": "mm"},
12671 "name": "PA_SC_VPORT_ZMAX_11",
12672 "type_ref": "PA_SC_VPORT_ZMAX_0"
12673 },
12674 {
12675 "chips": ["gfx10"],
12676 "map": {"at": 164660, "to": "mm"},
12677 "name": "PA_SC_VPORT_ZMAX_12",
12678 "type_ref": "PA_SC_VPORT_ZMAX_0"
12679 },
12680 {
12681 "chips": ["gfx10"],
12682 "map": {"at": 164668, "to": "mm"},
12683 "name": "PA_SC_VPORT_ZMAX_13",
12684 "type_ref": "PA_SC_VPORT_ZMAX_0"
12685 },
12686 {
12687 "chips": ["gfx10"],
12688 "map": {"at": 164676, "to": "mm"},
12689 "name": "PA_SC_VPORT_ZMAX_14",
12690 "type_ref": "PA_SC_VPORT_ZMAX_0"
12691 },
12692 {
12693 "chips": ["gfx10"],
12694 "map": {"at": 164684, "to": "mm"},
12695 "name": "PA_SC_VPORT_ZMAX_15",
12696 "type_ref": "PA_SC_VPORT_ZMAX_0"
12697 },
12698 {
12699 "chips": ["gfx10"],
12700 "map": {"at": 164580, "to": "mm"},
12701 "name": "PA_SC_VPORT_ZMAX_2",
12702 "type_ref": "PA_SC_VPORT_ZMAX_0"
12703 },
12704 {
12705 "chips": ["gfx10"],
12706 "map": {"at": 164588, "to": "mm"},
12707 "name": "PA_SC_VPORT_ZMAX_3",
12708 "type_ref": "PA_SC_VPORT_ZMAX_0"
12709 },
12710 {
12711 "chips": ["gfx10"],
12712 "map": {"at": 164596, "to": "mm"},
12713 "name": "PA_SC_VPORT_ZMAX_4",
12714 "type_ref": "PA_SC_VPORT_ZMAX_0"
12715 },
12716 {
12717 "chips": ["gfx10"],
12718 "map": {"at": 164604, "to": "mm"},
12719 "name": "PA_SC_VPORT_ZMAX_5",
12720 "type_ref": "PA_SC_VPORT_ZMAX_0"
12721 },
12722 {
12723 "chips": ["gfx10"],
12724 "map": {"at": 164612, "to": "mm"},
12725 "name": "PA_SC_VPORT_ZMAX_6",
12726 "type_ref": "PA_SC_VPORT_ZMAX_0"
12727 },
12728 {
12729 "chips": ["gfx10"],
12730 "map": {"at": 164620, "to": "mm"},
12731 "name": "PA_SC_VPORT_ZMAX_7",
12732 "type_ref": "PA_SC_VPORT_ZMAX_0"
12733 },
12734 {
12735 "chips": ["gfx10"],
12736 "map": {"at": 164628, "to": "mm"},
12737 "name": "PA_SC_VPORT_ZMAX_8",
12738 "type_ref": "PA_SC_VPORT_ZMAX_0"
12739 },
12740 {
12741 "chips": ["gfx10"],
12742 "map": {"at": 164636, "to": "mm"},
12743 "name": "PA_SC_VPORT_ZMAX_9",
12744 "type_ref": "PA_SC_VPORT_ZMAX_0"
12745 },
12746 {
12747 "chips": ["gfx10"],
12748 "map": {"at": 164560, "to": "mm"},
12749 "name": "PA_SC_VPORT_ZMIN_0",
12750 "type_ref": "PA_SC_VPORT_ZMIN_0"
12751 },
12752 {
12753 "chips": ["gfx10"],
12754 "map": {"at": 164568, "to": "mm"},
12755 "name": "PA_SC_VPORT_ZMIN_1",
12756 "type_ref": "PA_SC_VPORT_ZMIN_0"
12757 },
12758 {
12759 "chips": ["gfx10"],
12760 "map": {"at": 164640, "to": "mm"},
12761 "name": "PA_SC_VPORT_ZMIN_10",
12762 "type_ref": "PA_SC_VPORT_ZMIN_0"
12763 },
12764 {
12765 "chips": ["gfx10"],
12766 "map": {"at": 164648, "to": "mm"},
12767 "name": "PA_SC_VPORT_ZMIN_11",
12768 "type_ref": "PA_SC_VPORT_ZMIN_0"
12769 },
12770 {
12771 "chips": ["gfx10"],
12772 "map": {"at": 164656, "to": "mm"},
12773 "name": "PA_SC_VPORT_ZMIN_12",
12774 "type_ref": "PA_SC_VPORT_ZMIN_0"
12775 },
12776 {
12777 "chips": ["gfx10"],
12778 "map": {"at": 164664, "to": "mm"},
12779 "name": "PA_SC_VPORT_ZMIN_13",
12780 "type_ref": "PA_SC_VPORT_ZMIN_0"
12781 },
12782 {
12783 "chips": ["gfx10"],
12784 "map": {"at": 164672, "to": "mm"},
12785 "name": "PA_SC_VPORT_ZMIN_14",
12786 "type_ref": "PA_SC_VPORT_ZMIN_0"
12787 },
12788 {
12789 "chips": ["gfx10"],
12790 "map": {"at": 164680, "to": "mm"},
12791 "name": "PA_SC_VPORT_ZMIN_15",
12792 "type_ref": "PA_SC_VPORT_ZMIN_0"
12793 },
12794 {
12795 "chips": ["gfx10"],
12796 "map": {"at": 164576, "to": "mm"},
12797 "name": "PA_SC_VPORT_ZMIN_2",
12798 "type_ref": "PA_SC_VPORT_ZMIN_0"
12799 },
12800 {
12801 "chips": ["gfx10"],
12802 "map": {"at": 164584, "to": "mm"},
12803 "name": "PA_SC_VPORT_ZMIN_3",
12804 "type_ref": "PA_SC_VPORT_ZMIN_0"
12805 },
12806 {
12807 "chips": ["gfx10"],
12808 "map": {"at": 164592, "to": "mm"},
12809 "name": "PA_SC_VPORT_ZMIN_4",
12810 "type_ref": "PA_SC_VPORT_ZMIN_0"
12811 },
12812 {
12813 "chips": ["gfx10"],
12814 "map": {"at": 164600, "to": "mm"},
12815 "name": "PA_SC_VPORT_ZMIN_5",
12816 "type_ref": "PA_SC_VPORT_ZMIN_0"
12817 },
12818 {
12819 "chips": ["gfx10"],
12820 "map": {"at": 164608, "to": "mm"},
12821 "name": "PA_SC_VPORT_ZMIN_6",
12822 "type_ref": "PA_SC_VPORT_ZMIN_0"
12823 },
12824 {
12825 "chips": ["gfx10"],
12826 "map": {"at": 164616, "to": "mm"},
12827 "name": "PA_SC_VPORT_ZMIN_7",
12828 "type_ref": "PA_SC_VPORT_ZMIN_0"
12829 },
12830 {
12831 "chips": ["gfx10"],
12832 "map": {"at": 164624, "to": "mm"},
12833 "name": "PA_SC_VPORT_ZMIN_8",
12834 "type_ref": "PA_SC_VPORT_ZMIN_0"
12835 },
12836 {
12837 "chips": ["gfx10"],
12838 "map": {"at": 164632, "to": "mm"},
12839 "name": "PA_SC_VPORT_ZMIN_9",
12840 "type_ref": "PA_SC_VPORT_ZMIN_0"
12841 },
12842 {
12843 "chips": ["gfx10"],
12844 "map": {"at": 164352, "to": "mm"},
12845 "name": "PA_SC_WINDOW_OFFSET",
12846 "type_ref": "PA_SC_WINDOW_OFFSET"
12847 },
12848 {
12849 "chips": ["gfx10"],
12850 "map": {"at": 164360, "to": "mm"},
12851 "name": "PA_SC_WINDOW_SCISSOR_BR",
12852 "type_ref": "PA_SC_CLIPRECT_0_BR"
12853 },
12854 {
12855 "chips": ["gfx10"],
12856 "map": {"at": 164356, "to": "mm"},
12857 "name": "PA_SC_WINDOW_SCISSOR_TL",
12858 "type_ref": "PA_SC_GENERIC_SCISSOR_TL"
12859 },
12860 {
12861 "chips": ["gfx10"],
12862 "map": {"at": 165956, "to": "mm"},
12863 "name": "PA_STATE_STEREO_X",
12864 "type_ref": "PA_STATE_STEREO_X"
12865 },
12866 {
12867 "chips": ["gfx10"],
12868 "map": {"at": 165952, "to": "mm"},
12869 "name": "PA_STEREO_CNTL",
12870 "type_ref": "PA_STEREO_CNTL"
12871 },
12872 {
12873 "chips": ["gfx10"],
12874 "map": {"at": 164404, "to": "mm"},
12875 "name": "PA_SU_HARDWARE_SCREEN_OFFSET",
12876 "type_ref": "PA_SU_HARDWARE_SCREEN_OFFSET"
12877 },
12878 {
12879 "chips": ["gfx10"],
12880 "map": {"at": 166408, "to": "mm"},
12881 "name": "PA_SU_LINE_CNTL",
12882 "type_ref": "PA_SU_LINE_CNTL"
12883 },
12884 {
12885 "chips": ["gfx10"],
12886 "map": {"at": 165924, "to": "mm"},
12887 "name": "PA_SU_LINE_STIPPLE_CNTL",
12888 "type_ref": "PA_SU_LINE_STIPPLE_CNTL"
12889 },
12890 {
12891 "chips": ["gfx10"],
12892 "map": {"at": 165928, "to": "mm"},
12893 "name": "PA_SU_LINE_STIPPLE_SCALE",
12894 "type_ref": "PA_SU_LINE_STIPPLE_SCALE"
12895 },
12896 {
12897 "chips": ["gfx10"],
12898 "map": {"at": 199168, "to": "mm"},
12899 "name": "PA_SU_LINE_STIPPLE_VALUE",
12900 "type_ref": "PA_SU_LINE_STIPPLE_VALUE"
12901 },
12902 {
12903 "chips": ["gfx10"],
12904 "map": {"at": 165948, "to": "mm"},
12905 "name": "PA_SU_OVER_RASTERIZATION_CNTL",
12906 "type_ref": "PA_SU_OVER_RASTERIZATION_CNTL"
12907 },
12908 {
12909 "chips": ["gfx10"],
12910 "map": {"at": 214020, "to": "mm"},
12911 "name": "PA_SU_PERFCOUNTER0_HI",
12912 "type_ref": "PA_SU_PERFCOUNTER0_HI"
12913 },
12914 {
12915 "chips": ["gfx10"],
12916 "map": {"at": 214016, "to": "mm"},
12917 "name": "PA_SU_PERFCOUNTER0_LO",
12918 "type_ref": "CB_PERFCOUNTER0_LO"
12919 },
12920 {
12921 "chips": ["gfx10"],
12922 "map": {"at": 222208, "to": "mm"},
12923 "name": "PA_SU_PERFCOUNTER0_SELECT",
12924 "type_ref": "PA_SU_PERFCOUNTER0_SELECT"
12925 },
12926 {
12927 "chips": ["gfx10"],
12928 "map": {"at": 222212, "to": "mm"},
12929 "name": "PA_SU_PERFCOUNTER0_SELECT1",
12930 "type_ref": "PA_SU_PERFCOUNTER0_SELECT1"
12931 },
12932 {
12933 "chips": ["gfx10"],
12934 "map": {"at": 214028, "to": "mm"},
12935 "name": "PA_SU_PERFCOUNTER1_HI",
12936 "type_ref": "PA_SU_PERFCOUNTER0_HI"
12937 },
12938 {
12939 "chips": ["gfx10"],
12940 "map": {"at": 214024, "to": "mm"},
12941 "name": "PA_SU_PERFCOUNTER1_LO",
12942 "type_ref": "CB_PERFCOUNTER0_LO"
12943 },
12944 {
12945 "chips": ["gfx10"],
12946 "map": {"at": 222216, "to": "mm"},
12947 "name": "PA_SU_PERFCOUNTER1_SELECT",
12948 "type_ref": "PA_SU_PERFCOUNTER0_SELECT"
12949 },
12950 {
12951 "chips": ["gfx10"],
12952 "map": {"at": 222220, "to": "mm"},
12953 "name": "PA_SU_PERFCOUNTER1_SELECT1",
12954 "type_ref": "PA_SU_PERFCOUNTER0_SELECT1"
12955 },
12956 {
12957 "chips": ["gfx10"],
12958 "map": {"at": 214036, "to": "mm"},
12959 "name": "PA_SU_PERFCOUNTER2_HI",
12960 "type_ref": "PA_SU_PERFCOUNTER0_HI"
12961 },
12962 {
12963 "chips": ["gfx10"],
12964 "map": {"at": 214032, "to": "mm"},
12965 "name": "PA_SU_PERFCOUNTER2_LO",
12966 "type_ref": "CB_PERFCOUNTER0_LO"
12967 },
12968 {
12969 "chips": ["gfx10"],
12970 "map": {"at": 222224, "to": "mm"},
12971 "name": "PA_SU_PERFCOUNTER2_SELECT",
12972 "type_ref": "PA_SU_PERFCOUNTER0_SELECT"
12973 },
12974 {
12975 "chips": ["gfx10"],
12976 "map": {"at": 222228, "to": "mm"},
12977 "name": "PA_SU_PERFCOUNTER2_SELECT1",
12978 "type_ref": "PA_SU_PERFCOUNTER0_SELECT1"
12979 },
12980 {
12981 "chips": ["gfx10"],
12982 "map": {"at": 214044, "to": "mm"},
12983 "name": "PA_SU_PERFCOUNTER3_HI",
12984 "type_ref": "PA_SU_PERFCOUNTER0_HI"
12985 },
12986 {
12987 "chips": ["gfx10"],
12988 "map": {"at": 214040, "to": "mm"},
12989 "name": "PA_SU_PERFCOUNTER3_LO",
12990 "type_ref": "CB_PERFCOUNTER0_LO"
12991 },
12992 {
12993 "chips": ["gfx10"],
12994 "map": {"at": 222232, "to": "mm"},
12995 "name": "PA_SU_PERFCOUNTER3_SELECT",
12996 "type_ref": "PA_SU_PERFCOUNTER0_SELECT"
12997 },
12998 {
12999 "chips": ["gfx10"],
13000 "map": {"at": 222236, "to": "mm"},
13001 "name": "PA_SU_PERFCOUNTER3_SELECT1",
13002 "type_ref": "PA_SU_PERFCOUNTER0_SELECT1"
13003 },
13004 {
13005 "chips": ["gfx10"],
13006 "map": {"at": 166404, "to": "mm"},
13007 "name": "PA_SU_POINT_MINMAX",
13008 "type_ref": "PA_SU_POINT_MINMAX"
13009 },
13010 {
13011 "chips": ["gfx10"],
13012 "map": {"at": 166400, "to": "mm"},
13013 "name": "PA_SU_POINT_SIZE",
13014 "type_ref": "PA_SU_POINT_SIZE"
13015 },
13016 {
13017 "chips": ["gfx10"],
13018 "map": {"at": 166796, "to": "mm"},
13019 "name": "PA_SU_POLY_OFFSET_BACK_OFFSET",
13020 "type_ref": "CP_HQD_PQ_WPTR_LO"
13021 },
13022 {
13023 "chips": ["gfx10"],
13024 "map": {"at": 166792, "to": "mm"},
13025 "name": "PA_SU_POLY_OFFSET_BACK_SCALE",
13026 "type_ref": "PA_SU_POLY_OFFSET_FRONT_SCALE"
13027 },
13028 {
13029 "chips": ["gfx10"],
13030 "map": {"at": 166780, "to": "mm"},
13031 "name": "PA_SU_POLY_OFFSET_CLAMP",
13032 "type_ref": "PA_SU_POLY_OFFSET_CLAMP"
13033 },
13034 {
13035 "chips": ["gfx10"],
13036 "map": {"at": 166776, "to": "mm"},
13037 "name": "PA_SU_POLY_OFFSET_DB_FMT_CNTL",
13038 "type_ref": "PA_SU_POLY_OFFSET_DB_FMT_CNTL"
13039 },
13040 {
13041 "chips": ["gfx10"],
13042 "map": {"at": 166788, "to": "mm"},
13043 "name": "PA_SU_POLY_OFFSET_FRONT_OFFSET",
13044 "type_ref": "CP_HQD_PQ_WPTR_LO"
13045 },
13046 {
13047 "chips": ["gfx10"],
13048 "map": {"at": 166784, "to": "mm"},
13049 "name": "PA_SU_POLY_OFFSET_FRONT_SCALE",
13050 "type_ref": "PA_SU_POLY_OFFSET_FRONT_SCALE"
13051 },
13052 {
13053 "chips": ["gfx10"],
13054 "map": {"at": 165932, "to": "mm"},
13055 "name": "PA_SU_PRIM_FILTER_CNTL",
13056 "type_ref": "PA_SU_PRIM_FILTER_CNTL"
13057 },
13058 {
13059 "chips": ["gfx10"],
13060 "map": {"at": 165908, "to": "mm"},
13061 "name": "PA_SU_SC_MODE_CNTL",
13062 "type_ref": "PA_SU_SC_MODE_CNTL"
13063 },
13064 {
13065 "chips": ["gfx10"],
13066 "map": {"at": 165936, "to": "mm"},
13067 "name": "PA_SU_SMALL_PRIM_FILTER_CNTL",
13068 "type_ref": "PA_SU_SMALL_PRIM_FILTER_CNTL"
13069 },
13070 {
13071 "chips": ["gfx10"],
13072 "map": {"at": 166884, "to": "mm"},
13073 "name": "PA_SU_VTX_CNTL",
13074 "type_ref": "PA_SU_VTX_CNTL"
13075 },
13076 {
13077 "chips": ["gfx10"],
13078 "map": {"at": 197888, "to": "mm"},
13079 "name": "RLC_GPM_PERF_COUNT_0",
13080 "type_ref": "RLC_GPM_PERF_COUNT_0"
13081 },
13082 {
13083 "chips": ["gfx10"],
13084 "map": {"at": 197892, "to": "mm"},
13085 "name": "RLC_GPM_PERF_COUNT_1",
13086 "type_ref": "RLC_GPM_PERF_COUNT_0"
13087 },
13088 {
13089 "chips": ["gfx10"],
13090 "map": {"at": 226060, "to": "mm"},
13091 "name": "RLC_GPU_IOV_PERF_CNT_CNTL",
13092 "type_ref": "RLC_GPU_IOV_PERF_CNT_CNTL"
13093 },
13094 {
13095 "chips": ["gfx10"],
13096 "map": {"at": 226072, "to": "mm"},
13097 "name": "RLC_GPU_IOV_PERF_CNT_RD_ADDR",
13098 "type_ref": "RLC_GPU_IOV_PERF_CNT_WR_ADDR"
13099 },
13100 {
13101 "chips": ["gfx10"],
13102 "map": {"at": 226076, "to": "mm"},
13103 "name": "RLC_GPU_IOV_PERF_CNT_RD_DATA",
13104 "type_ref": "COMPUTE_PGM_LO"
13105 },
13106 {
13107 "chips": ["gfx10"],
13108 "map": {"at": 226064, "to": "mm"},
13109 "name": "RLC_GPU_IOV_PERF_CNT_WR_ADDR",
13110 "type_ref": "RLC_GPU_IOV_PERF_CNT_WR_ADDR"
13111 },
13112 {
13113 "chips": ["gfx10"],
13114 "map": {"at": 226068, "to": "mm"},
13115 "name": "RLC_GPU_IOV_PERF_CNT_WR_DATA",
13116 "type_ref": "COMPUTE_PGM_LO"
13117 },
13118 {
13119 "chips": ["gfx10"],
13120 "map": {"at": 217604, "to": "mm"},
13121 "name": "RLC_PERFCOUNTER0_HI",
13122 "type_ref": "CB_PERFCOUNTER0_HI"
13123 },
13124 {
13125 "chips": ["gfx10"],
13126 "map": {"at": 217600, "to": "mm"},
13127 "name": "RLC_PERFCOUNTER0_LO",
13128 "type_ref": "CB_PERFCOUNTER0_LO"
13129 },
13130 {
13131 "chips": ["gfx10"],
13132 "map": {"at": 226052, "to": "mm"},
13133 "name": "RLC_PERFCOUNTER0_SELECT",
13134 "type_ref": "RLC_PERFCOUNTER0_SELECT"
13135 },
13136 {
13137 "chips": ["gfx10"],
13138 "map": {"at": 217612, "to": "mm"},
13139 "name": "RLC_PERFCOUNTER1_HI",
13140 "type_ref": "CB_PERFCOUNTER0_HI"
13141 },
13142 {
13143 "chips": ["gfx10"],
13144 "map": {"at": 217608, "to": "mm"},
13145 "name": "RLC_PERFCOUNTER1_LO",
13146 "type_ref": "CB_PERFCOUNTER0_LO"
13147 },
13148 {
13149 "chips": ["gfx10"],
13150 "map": {"at": 226056, "to": "mm"},
13151 "name": "RLC_PERFCOUNTER1_SELECT",
13152 "type_ref": "RLC_PERFCOUNTER0_SELECT"
13153 },
13154 {
13155 "chips": ["gfx10"],
13156 "map": {"at": 226192, "to": "mm"},
13157 "name": "RLC_PERFMON_CLK_CNTL",
13158 "type_ref": "RLC_PERFMON_CLK_CNTL"
13159 },
13160 {
13161 "chips": ["gfx10"],
13162 "map": {"at": 226196, "to": "mm"},
13163 "name": "RLC_PERFMON_CLK_CNTL_UCODE",
13164 "type_ref": "RLC_PERFMON_CLK_CNTL"
13165 },
13166 {
13167 "chips": ["gfx10"],
13168 "map": {"at": 226048, "to": "mm"},
13169 "name": "RLC_PERFMON_CNTL",
13170 "type_ref": "RLC_PERFMON_CNTL"
13171 },
13172 {
13173 "chips": ["gfx10"],
13174 "map": {"at": 225892, "to": "mm"},
13175 "name": "RLC_SPM_ACCUM_CTRL",
13176 "type_ref": "RLC_SPM_ACCUM_CTRL"
13177 },
13178 {
13179 "chips": ["gfx10"],
13180 "map": {"at": 225880, "to": "mm"},
13181 "name": "RLC_SPM_ACCUM_CTRLRAM_ADDR",
13182 "type_ref": "RLC_SPM_ACCUM_CTRLRAM_ADDR"
13183 },
13184 {
13185 "chips": ["gfx10"],
13186 "map": {"at": 225884, "to": "mm"},
13187 "name": "RLC_SPM_ACCUM_CTRLRAM_DATA",
13188 "type_ref": "RLC_SPM_ACCUM_CTRLRAM_DATA"
13189 },
13190 {
13191 "chips": ["gfx10"],
13192 "map": {"at": 225872, "to": "mm"},
13193 "name": "RLC_SPM_ACCUM_DATARAM_ADDR",
13194 "type_ref": "RLC_SPM_ACCUM_DATARAM_ADDR"
13195 },
13196 {
13197 "chips": ["gfx10"],
13198 "map": {"at": 225876, "to": "mm"},
13199 "name": "RLC_SPM_ACCUM_DATARAM_DATA",
13200 "type_ref": "RLC_SPM_ACCUM_DATARAM_DATA"
13201 },
13202 {
13203 "chips": ["gfx10"],
13204 "map": {"at": 225908, "to": "mm"},
13205 "name": "RLC_SPM_ACCUM_DATARAM_WRCOUNT",
13206 "type_ref": "RLC_SPM_ACCUM_DATARAM_WRCOUNT"
13207 },
13208 {
13209 "chips": ["gfx10"],
13210 "map": {"at": 225896, "to": "mm"},
13211 "name": "RLC_SPM_ACCUM_MODE",
13212 "type_ref": "RLC_SPM_ACCUM_MODE"
13213 },
13214 {
13215 "chips": ["gfx10"],
13216 "map": {"at": 225904, "to": "mm"},
13217 "name": "RLC_SPM_ACCUM_SAMPLES_REQUESTED",
13218 "type_ref": "RLC_SPM_ACCUM_SAMPLES_REQUESTED"
13219 },
13220 {
13221 "chips": ["gfx10"],
13222 "map": {"at": 225888, "to": "mm"},
13223 "name": "RLC_SPM_ACCUM_STATUS",
13224 "type_ref": "RLC_SPM_ACCUM_STATUS"
13225 },
13226 {
13227 "chips": ["gfx10"],
13228 "map": {"at": 225900, "to": "mm"},
13229 "name": "RLC_SPM_ACCUM_THRESHOLD",
13230 "type_ref": "RLC_SPM_ACCUM_THRESHOLD"
13231 },
13232 {
13233 "chips": ["gfx10"],
13234 "map": {"at": 225836, "to": "mm"},
13235 "name": "RLC_SPM_DESER_START_SKEW",
13236 "type_ref": "RLC_SPM_DESER_START_SKEW"
13237 },
13238 {
13239 "chips": ["gfx10"],
13240 "map": {"at": 225856, "to": "mm"},
13241 "name": "RLC_SPM_GLB_SAMPLEDELAY_IND_ADDR",
13242 "type_ref": "RLC_SPM_GLB_SAMPLEDELAY_IND_ADDR"
13243 },
13244 {
13245 "chips": ["gfx10"],
13246 "map": {"at": 225860, "to": "mm"},
13247 "name": "RLC_SPM_GLB_SAMPLEDELAY_IND_DATA",
13248 "type_ref": "RLC_SPM_GLB_SAMPLEDELAY_IND_DATA"
13249 },
13250 {
13251 "chips": ["gfx10"],
13252 "map": {"at": 225844, "to": "mm"},
13253 "name": "RLC_SPM_GLOBALS_MUXSEL_SKEW",
13254 "type_ref": "RLC_SPM_GLOBALS_MUXSEL_SKEW"
13255 },
13256 {
13257 "chips": ["gfx10"],
13258 "map": {"at": 225840, "to": "mm"},
13259 "name": "RLC_SPM_GLOBALS_SAMPLE_SKEW",
13260 "type_ref": "RLC_SPM_GLOBALS_SAMPLE_SKEW"
13261 },
13262 {
13263 "chips": ["gfx10"],
13264 "map": {"at": 225828, "to": "mm"},
13265 "name": "RLC_SPM_GLOBAL_MUXSEL_ADDR",
13266 "type_ref": "RLC_SPM_GLOBAL_MUXSEL_ADDR"
13267 },
13268 {
13269 "chips": ["gfx10"],
13270 "map": {"at": 225832, "to": "mm"},
13271 "name": "RLC_SPM_GLOBAL_MUXSEL_DATA",
13272 "type_ref": "RLC_SPM_SE_MUXSEL_DATA"
13273 },
13274 {
13275 "chips": ["gfx10"],
13276 "map": {"at": 225792, "to": "mm"},
13277 "name": "RLC_SPM_PERFMON_CNTL",
13278 "type_ref": "RLC_SPM_PERFMON_CNTL"
13279 },
13280 {
13281 "chips": ["gfx10"],
13282 "map": {"at": 225916, "to": "mm"},
13283 "name": "RLC_SPM_PERFMON_GLB_SEGMENT_SIZE",
13284 "type_ref": "RLC_SPM_PERFMON_GLB_SEGMENT_SIZE"
13285 },
13286 {
13287 "chips": ["gfx10"],
13288 "map": {"at": 225800, "to": "mm"},
13289 "name": "RLC_SPM_PERFMON_RING_BASE_HI",
13290 "type_ref": "RLC_SPM_PERFMON_RING_BASE_HI"
13291 },
13292 {
13293 "chips": ["gfx10"],
13294 "map": {"at": 225796, "to": "mm"},
13295 "name": "RLC_SPM_PERFMON_RING_BASE_LO",
13296 "type_ref": "RLC_SPM_PERFMON_RING_BASE_LO"
13297 },
13298 {
13299 "chips": ["gfx10"],
13300 "map": {"at": 225804, "to": "mm"},
13301 "name": "RLC_SPM_PERFMON_RING_SIZE",
13302 "type_ref": "RLC_SPM_PERFMON_RING_SIZE"
13303 },
13304 {
13305 "chips": ["gfx10"],
13306 "map": {"at": 225912, "to": "mm"},
13307 "name": "RLC_SPM_PERFMON_SE3TO0_SEGMENT_SIZE",
13308 "type_ref": "RLC_SPM_PERFMON_SE3TO0_SEGMENT_SIZE"
13309 },
13310 {
13311 "chips": ["gfx10"],
13312 "map": {"at": 225808, "to": "mm"},
13313 "name": "RLC_SPM_PERFMON_SEGMENT_SIZE",
13314 "type_ref": "RLC_SPM_PERFMON_SEGMENT_SIZE"
13315 },
13316 {
13317 "chips": ["gfx10"],
13318 "map": {"at": 225812, "to": "mm"},
13319 "name": "RLC_SPM_RING_RDPTR",
13320 "type_ref": "RLC_SPM_RING_RDPTR"
13321 },
13322 {
13323 "chips": ["gfx10"],
13324 "map": {"at": 225816, "to": "mm"},
13325 "name": "RLC_SPM_SEGMENT_THRESHOLD",
13326 "type_ref": "RLC_SPM_SEGMENT_THRESHOLD"
13327 },
13328 {
13329 "chips": ["gfx10"],
13330 "map": {"at": 225820, "to": "mm"},
13331 "name": "RLC_SPM_SE_MUXSEL_ADDR",
13332 "type_ref": "RLC_SPM_SE_MUXSEL_ADDR"
13333 },
13334 {
13335 "chips": ["gfx10"],
13336 "map": {"at": 225824, "to": "mm"},
13337 "name": "RLC_SPM_SE_MUXSEL_DATA",
13338 "type_ref": "RLC_SPM_SE_MUXSEL_DATA"
13339 },
13340 {
13341 "chips": ["gfx10"],
13342 "map": {"at": 225852, "to": "mm"},
13343 "name": "RLC_SPM_SE_MUXSEL_SKEW",
13344 "type_ref": "RLC_SPM_SE_MUXSEL_SKEW"
13345 },
13346 {
13347 "chips": ["gfx10"],
13348 "map": {"at": 225864, "to": "mm"},
13349 "name": "RLC_SPM_SE_SAMPLEDELAY_IND_ADDR",
13350 "type_ref": "RLC_SPM_SE_SAMPLEDELAY_IND_ADDR"
13351 },
13352 {
13353 "chips": ["gfx10"],
13354 "map": {"at": 225868, "to": "mm"},
13355 "name": "RLC_SPM_SE_SAMPLEDELAY_IND_DATA",
13356 "type_ref": "RLC_SPM_GLB_SAMPLEDELAY_IND_DATA"
13357 },
13358 {
13359 "chips": ["gfx10"],
13360 "map": {"at": 225848, "to": "mm"},
13361 "name": "RLC_SPM_SE_SAMPLE_SKEW",
13362 "type_ref": "RLC_SPM_SE_SAMPLE_SKEW"
13363 },
13364 {
13365 "chips": ["gfx10"],
13366 "map": {"at": 217860, "to": "mm"},
13367 "name": "RMI_PERFCOUNTER0_HI",
13368 "type_ref": "CB_PERFCOUNTER0_HI"
13369 },
13370 {
13371 "chips": ["gfx10"],
13372 "map": {"at": 217856, "to": "mm"},
13373 "name": "RMI_PERFCOUNTER0_LO",
13374 "type_ref": "CB_PERFCOUNTER0_LO"
13375 },
13376 {
13377 "chips": ["gfx10"],
13378 "map": {"at": 226304, "to": "mm"},
13379 "name": "RMI_PERFCOUNTER0_SELECT",
13380 "type_ref": "RMI_PERFCOUNTER0_SELECT"
13381 },
13382 {
13383 "chips": ["gfx10"],
13384 "map": {"at": 226308, "to": "mm"},
13385 "name": "RMI_PERFCOUNTER0_SELECT1",
13386 "type_ref": "RMI_PERFCOUNTER0_SELECT1"
13387 },
13388 {
13389 "chips": ["gfx10"],
13390 "map": {"at": 217868, "to": "mm"},
13391 "name": "RMI_PERFCOUNTER1_HI",
13392 "type_ref": "CB_PERFCOUNTER0_HI"
13393 },
13394 {
13395 "chips": ["gfx10"],
13396 "map": {"at": 217864, "to": "mm"},
13397 "name": "RMI_PERFCOUNTER1_LO",
13398 "type_ref": "CB_PERFCOUNTER0_LO"
13399 },
13400 {
13401 "chips": ["gfx10"],
13402 "map": {"at": 226312, "to": "mm"},
13403 "name": "RMI_PERFCOUNTER1_SELECT",
13404 "type_ref": "RMI_PERFCOUNTER1_SELECT"
13405 },
13406 {
13407 "chips": ["gfx10"],
13408 "map": {"at": 217876, "to": "mm"},
13409 "name": "RMI_PERFCOUNTER2_HI",
13410 "type_ref": "CB_PERFCOUNTER0_HI"
13411 },
13412 {
13413 "chips": ["gfx10"],
13414 "map": {"at": 217872, "to": "mm"},
13415 "name": "RMI_PERFCOUNTER2_LO",
13416 "type_ref": "CB_PERFCOUNTER0_LO"
13417 },
13418 {
13419 "chips": ["gfx10"],
13420 "map": {"at": 226316, "to": "mm"},
13421 "name": "RMI_PERFCOUNTER2_SELECT",
13422 "type_ref": "RMI_PERFCOUNTER0_SELECT"
13423 },
13424 {
13425 "chips": ["gfx10"],
13426 "map": {"at": 226320, "to": "mm"},
13427 "name": "RMI_PERFCOUNTER2_SELECT1",
13428 "type_ref": "RMI_PERFCOUNTER0_SELECT1"
13429 },
13430 {
13431 "chips": ["gfx10"],
13432 "map": {"at": 217884, "to": "mm"},
13433 "name": "RMI_PERFCOUNTER3_HI",
13434 "type_ref": "CB_PERFCOUNTER0_HI"
13435 },
13436 {
13437 "chips": ["gfx10"],
13438 "map": {"at": 217880, "to": "mm"},
13439 "name": "RMI_PERFCOUNTER3_LO",
13440 "type_ref": "CB_PERFCOUNTER0_LO"
13441 },
13442 {
13443 "chips": ["gfx10"],
13444 "map": {"at": 226324, "to": "mm"},
13445 "name": "RMI_PERFCOUNTER3_SELECT",
13446 "type_ref": "RMI_PERFCOUNTER1_SELECT"
13447 },
13448 {
13449 "chips": ["gfx10"],
13450 "map": {"at": 226328, "to": "mm"},
13451 "name": "RMI_PERF_COUNTER_CNTL",
13452 "type_ref": "RMI_PERF_COUNTER_CNTL"
13453 },
13454 {
13455 "chips": ["gfx10"],
13456 "map": {"at": 196932, "to": "mm"},
13457 "name": "SCRATCH_ADDR",
13458 "type_ref": "SCRATCH_ADDR"
13459 },
13460 {
13461 "chips": ["gfx10"],
13462 "map": {"at": 196864, "to": "mm"},
13463 "name": "SCRATCH_REG0",
13464 "type_ref": "SCRATCH_REG0"
13465 },
13466 {
13467 "chips": ["gfx10"],
13468 "map": {"at": 196868, "to": "mm"},
13469 "name": "SCRATCH_REG1",
13470 "type_ref": "SCRATCH_REG1"
13471 },
13472 {
13473 "chips": ["gfx10"],
13474 "map": {"at": 196872, "to": "mm"},
13475 "name": "SCRATCH_REG2",
13476 "type_ref": "SCRATCH_REG2"
13477 },
13478 {
13479 "chips": ["gfx10"],
13480 "map": {"at": 196876, "to": "mm"},
13481 "name": "SCRATCH_REG3",
13482 "type_ref": "SCRATCH_REG3"
13483 },
13484 {
13485 "chips": ["gfx10"],
13486 "map": {"at": 196880, "to": "mm"},
13487 "name": "SCRATCH_REG4",
13488 "type_ref": "SCRATCH_REG4"
13489 },
13490 {
13491 "chips": ["gfx10"],
13492 "map": {"at": 196884, "to": "mm"},
13493 "name": "SCRATCH_REG5",
13494 "type_ref": "SCRATCH_REG5"
13495 },
13496 {
13497 "chips": ["gfx10"],
13498 "map": {"at": 196888, "to": "mm"},
13499 "name": "SCRATCH_REG6",
13500 "type_ref": "SCRATCH_REG6"
13501 },
13502 {
13503 "chips": ["gfx10"],
13504 "map": {"at": 196892, "to": "mm"},
13505 "name": "SCRATCH_REG7",
13506 "type_ref": "SCRATCH_REG7"
13507 },
13508 {
13509 "chips": ["gfx10"],
13510 "map": {"at": 196928, "to": "mm"},
13511 "name": "SCRATCH_UMSK",
13512 "type_ref": "SCRATCH_UMSK"
13513 },
13514 {
13515 "chips": ["gfx10"],
13516 "map": {"at": 37144, "to": "mm"},
13517 "name": "SPIRA_DEBUG_READ",
13518 "type_ref": "COMPUTE_PGM_LO"
13519 },
13520 {
13521 "chips": ["gfx10"],
13522 "map": {"at": 37800, "to": "mm"},
13523 "name": "SPIS_DEBUG_READ",
13524 "type_ref": "COMPUTE_PGM_LO"
13525 },
13526 {
13527 "chips": ["gfx10"],
13528 "map": {"at": 165600, "to": "mm"},
13529 "name": "SPI_BARYC_CNTL",
13530 "type_ref": "SPI_BARYC_CNTL"
13531 },
13532 {
13533 "chips": ["gfx10"],
13534 "map": {"at": 37120, "to": "mm"},
13535 "name": "SPI_CONFIG_CNTL",
13536 "type_ref": "SPI_CONFIG_CNTL"
13537 },
13538 {
13539 "chips": ["gfx10"],
13540 "map": {"at": 37180, "to": "mm"},
13541 "name": "SPI_CONFIG_CNTL_1",
13542 "type_ref": "SPI_CONFIG_CNTL_1"
13543 },
13544 {
13545 "chips": ["gfx10"],
13546 "map": {"at": 200964, "to": "mm"},
13547 "name": "SPI_CONFIG_CNTL_1_REMAP",
13548 "type_ref": "SPI_CONFIG_CNTL_REMAP"
13549 },
13550 {
13551 "chips": ["gfx10"],
13552 "map": {"at": 37176, "to": "mm"},
13553 "name": "SPI_CONFIG_CNTL_2",
13554 "type_ref": "SPI_CONFIG_CNTL_2"
13555 },
13556 {
13557 "chips": ["gfx10"],
13558 "map": {"at": 200968, "to": "mm"},
13559 "name": "SPI_CONFIG_CNTL_2_REMAP",
13560 "type_ref": "SPI_CONFIG_CNTL_REMAP"
13561 },
13562 {
13563 "chips": ["gfx10"],
13564 "map": {"at": 200960, "to": "mm"},
13565 "name": "SPI_CONFIG_CNTL_REMAP",
13566 "type_ref": "SPI_CONFIG_CNTL_REMAP"
13567 },
13568 {
13569 "chips": ["gfx10"],
13570 "map": {"at": 37744, "to": "mm"},
13571 "name": "SPI_CSQ_WF_ACTIVE_COUNT_0",
13572 "type_ref": "SPI_CSQ_WF_ACTIVE_COUNT_0"
13573 },
13574 {
13575 "chips": ["gfx10"],
13576 "map": {"at": 37748, "to": "mm"},
13577 "name": "SPI_CSQ_WF_ACTIVE_COUNT_1",
13578 "type_ref": "SPI_CSQ_WF_ACTIVE_COUNT_0"
13579 },
13580 {
13581 "chips": ["gfx10"],
13582 "map": {"at": 37752, "to": "mm"},
13583 "name": "SPI_CSQ_WF_ACTIVE_COUNT_2",
13584 "type_ref": "SPI_CSQ_WF_ACTIVE_COUNT_0"
13585 },
13586 {
13587 "chips": ["gfx10"],
13588 "map": {"at": 37756, "to": "mm"},
13589 "name": "SPI_CSQ_WF_ACTIVE_COUNT_3",
13590 "type_ref": "SPI_CSQ_WF_ACTIVE_COUNT_0"
13591 },
13592 {
13593 "chips": ["gfx10"],
13594 "map": {"at": 37760, "to": "mm"},
13595 "name": "SPI_CSQ_WF_ACTIVE_COUNT_4",
13596 "type_ref": "SPI_CSQ_WF_ACTIVE_COUNT_0"
13597 },
13598 {
13599 "chips": ["gfx10"],
13600 "map": {"at": 37764, "to": "mm"},
13601 "name": "SPI_CSQ_WF_ACTIVE_COUNT_5",
13602 "type_ref": "SPI_CSQ_WF_ACTIVE_COUNT_0"
13603 },
13604 {
13605 "chips": ["gfx10"],
13606 "map": {"at": 37768, "to": "mm"},
13607 "name": "SPI_CSQ_WF_ACTIVE_COUNT_6",
13608 "type_ref": "SPI_CSQ_WF_ACTIVE_COUNT_0"
13609 },
13610 {
13611 "chips": ["gfx10"],
13612 "map": {"at": 37772, "to": "mm"},
13613 "name": "SPI_CSQ_WF_ACTIVE_COUNT_7",
13614 "type_ref": "SPI_CSQ_WF_ACTIVE_COUNT_0"
13615 },
13616 {
13617 "chips": ["gfx10"],
13618 "map": {"at": 37740, "to": "mm"},
13619 "name": "SPI_CSQ_WF_ACTIVE_STATUS",
13620 "type_ref": "SPI_CSQ_WF_ACTIVE_STATUS"
13621 },
13622 {
13623 "chips": ["gfx10"],
13624 "map": {"at": 37184, "to": "mm"},
13625 "name": "SPI_DEBUG_BUSY",
13626 "type_ref": "SPI_DEBUG_BUSY"
13627 },
13628 {
13629 "chips": ["gfx10"],
13630 "map": {"at": 37124, "to": "mm"},
13631 "name": "SPI_DEBUG_CNTL",
13632 "type_ref": "SPI_DEBUG_CNTL"
13633 },
13634 {
13635 "chips": ["gfx10"],
13636 "map": {"at": 37112, "to": "mm"},
13637 "name": "SPI_DEBUG_CNTL_2",
13638 "type_ref": "SPI_DEBUG_CNTL_2"
13639 },
13640 {
13641 "chips": ["gfx10"],
13642 "map": {"at": 37128, "to": "mm"},
13643 "name": "SPI_DEBUG_READ",
13644 "type_ref": "COMPUTE_PGM_LO"
13645 },
13646 {
13647 "chips": ["gfx10"],
13648 "map": {"at": 37132, "to": "mm"},
13649 "name": "SPI_DSM_CNTL",
13650 "type_ref": "SPI_DSM_CNTL"
13651 },
13652 {
13653 "chips": ["gfx10"],
13654 "map": {"at": 37136, "to": "mm"},
13655 "name": "SPI_DSM_CNTL2",
13656 "type_ref": "SPI_DSM_CNTL2"
13657 },
13658 {
13659 "chips": ["gfx10"],
13660 "map": {"at": 37140, "to": "mm"},
13661 "name": "SPI_EDC_CNT",
13662 "type_ref": "SPI_EDC_CNT"
13663 },
13664 {
13665 "chips": ["gfx10"],
13666 "map": {"at": 37728, "to": "mm"},
13667 "name": "SPI_GDS_CREDITS",
13668 "type_ref": "SPI_GDS_CREDITS"
13669 },
13670 {
13671 "chips": ["gfx10"],
13672 "map": {"at": 37104, "to": "mm"},
13673 "name": "SPI_GFX_CNTL",
13674 "type_ref": "SPI_GFX_CNTL"
13675 },
13676 {
13677 "chips": ["gfx10"],
13678 "map": {"at": 165588, "to": "mm"},
13679 "name": "SPI_INTERP_CONTROL_0",
13680 "type_ref": "SPI_INTERP_CONTROL_0"
13681 },
13682 {
13683 "chips": ["gfx10"],
13684 "map": {"at": 37712, "to": "mm"},
13685 "name": "SPI_LB_CTR_CTRL",
13686 "type_ref": "SPI_LB_CTR_CTRL"
13687 },
13688 {
13689 "chips": ["gfx10"],
13690 "map": {"at": 37788, "to": "mm"},
13691 "name": "SPI_LB_DATA_PERWGP_WAVE_CS",
13692 "type_ref": "SPI_LB_DATA_PERWGP_WAVE_CS"
13693 },
13694 {
13695 "chips": ["gfx10"],
13696 "map": {"at": 37780, "to": "mm"},
13697 "name": "SPI_LB_DATA_PERWGP_WAVE_HSGS",
13698 "type_ref": "SPI_LB_DATA_PERWGP_WAVE_HSGS"
13699 },
13700 {
13701 "chips": ["gfx10"],
13702 "map": {"at": 37784, "to": "mm"},
13703 "name": "SPI_LB_DATA_PERWGP_WAVE_VSPS",
13704 "type_ref": "SPI_LB_DATA_PERWGP_WAVE_VSPS"
13705 },
13706 {
13707 "chips": ["gfx10"],
13708 "map": {"at": 37720, "to": "mm"},
13709 "name": "SPI_LB_DATA_REG",
13710 "type_ref": "SPI_LB_DATA_REG"
13711 },
13712 {
13713 "chips": ["gfx10"],
13714 "map": {"at": 37776, "to": "mm"},
13715 "name": "SPI_LB_DATA_WAVES",
13716 "type_ref": "SPI_LB_DATA_WAVES"
13717 },
13718 {
13719 "chips": ["gfx10"],
13720 "map": {"at": 37716, "to": "mm"},
13721 "name": "SPI_LB_WGP_MASK",
13722 "type_ref": "SPI_LB_WGP_MASK"
13723 },
13724 {
13725 "chips": ["gfx10"],
13726 "map": {"at": 37824, "to": "mm"},
13727 "name": "SPI_P0_TRAP_SCREEN_GPR_MIN",
13728 "type_ref": "SPI_P0_TRAP_SCREEN_GPR_MIN"
13729 },
13730 {
13731 "chips": ["gfx10"],
13732 "map": {"at": 37812, "to": "mm"},
13733 "name": "SPI_P0_TRAP_SCREEN_PSBA_HI",
13734 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_HI"
13735 },
13736 {
13737 "chips": ["gfx10"],
13738 "map": {"at": 37808, "to": "mm"},
13739 "name": "SPI_P0_TRAP_SCREEN_PSBA_LO",
13740 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO"
13741 },
13742 {
13743 "chips": ["gfx10"],
13744 "map": {"at": 37820, "to": "mm"},
13745 "name": "SPI_P0_TRAP_SCREEN_PSMA_HI",
13746 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_HI"
13747 },
13748 {
13749 "chips": ["gfx10"],
13750 "map": {"at": 37816, "to": "mm"},
13751 "name": "SPI_P0_TRAP_SCREEN_PSMA_LO",
13752 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO"
13753 },
13754 {
13755 "chips": ["gfx10"],
13756 "map": {"at": 37844, "to": "mm"},
13757 "name": "SPI_P1_TRAP_SCREEN_GPR_MIN",
13758 "type_ref": "SPI_P0_TRAP_SCREEN_GPR_MIN"
13759 },
13760 {
13761 "chips": ["gfx10"],
13762 "map": {"at": 37832, "to": "mm"},
13763 "name": "SPI_P1_TRAP_SCREEN_PSBA_HI",
13764 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_HI"
13765 },
13766 {
13767 "chips": ["gfx10"],
13768 "map": {"at": 37828, "to": "mm"},
13769 "name": "SPI_P1_TRAP_SCREEN_PSBA_LO",
13770 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO"
13771 },
13772 {
13773 "chips": ["gfx10"],
13774 "map": {"at": 37840, "to": "mm"},
13775 "name": "SPI_P1_TRAP_SCREEN_PSMA_HI",
13776 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_HI"
13777 },
13778 {
13779 "chips": ["gfx10"],
13780 "map": {"at": 37836, "to": "mm"},
13781 "name": "SPI_P1_TRAP_SCREEN_PSMA_LO",
13782 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO"
13783 },
13784 {
13785 "chips": ["gfx10"],
13786 "map": {"at": 214528, "to": "mm"},
13787 "name": "SPI_PERFCOUNTER0_HI",
13788 "type_ref": "CB_PERFCOUNTER0_HI"
13789 },
13790 {
13791 "chips": ["gfx10"],
13792 "map": {"at": 214532, "to": "mm"},
13793 "name": "SPI_PERFCOUNTER0_LO",
13794 "type_ref": "CB_PERFCOUNTER0_LO"
13795 },
13796 {
13797 "chips": ["gfx10"],
13798 "map": {"at": 222720, "to": "mm"},
13799 "name": "SPI_PERFCOUNTER0_SELECT",
13800 "type_ref": "SPI_PERFCOUNTER0_SELECT"
13801 },
13802 {
13803 "chips": ["gfx10"],
13804 "map": {"at": 222736, "to": "mm"},
13805 "name": "SPI_PERFCOUNTER0_SELECT1",
13806 "type_ref": "SPI_PERFCOUNTER0_SELECT1"
13807 },
13808 {
13809 "chips": ["gfx10"],
13810 "map": {"at": 214536, "to": "mm"},
13811 "name": "SPI_PERFCOUNTER1_HI",
13812 "type_ref": "CB_PERFCOUNTER0_HI"
13813 },
13814 {
13815 "chips": ["gfx10"],
13816 "map": {"at": 214540, "to": "mm"},
13817 "name": "SPI_PERFCOUNTER1_LO",
13818 "type_ref": "CB_PERFCOUNTER0_LO"
13819 },
13820 {
13821 "chips": ["gfx10"],
13822 "map": {"at": 222724, "to": "mm"},
13823 "name": "SPI_PERFCOUNTER1_SELECT",
13824 "type_ref": "SPI_PERFCOUNTER0_SELECT"
13825 },
13826 {
13827 "chips": ["gfx10"],
13828 "map": {"at": 222740, "to": "mm"},
13829 "name": "SPI_PERFCOUNTER1_SELECT1",
13830 "type_ref": "SPI_PERFCOUNTER0_SELECT1"
13831 },
13832 {
13833 "chips": ["gfx10"],
13834 "map": {"at": 214544, "to": "mm"},
13835 "name": "SPI_PERFCOUNTER2_HI",
13836 "type_ref": "CB_PERFCOUNTER0_HI"
13837 },
13838 {
13839 "chips": ["gfx10"],
13840 "map": {"at": 214548, "to": "mm"},
13841 "name": "SPI_PERFCOUNTER2_LO",
13842 "type_ref": "CB_PERFCOUNTER0_LO"
13843 },
13844 {
13845 "chips": ["gfx10"],
13846 "map": {"at": 222728, "to": "mm"},
13847 "name": "SPI_PERFCOUNTER2_SELECT",
13848 "type_ref": "SPI_PERFCOUNTER0_SELECT"
13849 },
13850 {
13851 "chips": ["gfx10"],
13852 "map": {"at": 222744, "to": "mm"},
13853 "name": "SPI_PERFCOUNTER2_SELECT1",
13854 "type_ref": "SPI_PERFCOUNTER0_SELECT1"
13855 },
13856 {
13857 "chips": ["gfx10"],
13858 "map": {"at": 214552, "to": "mm"},
13859 "name": "SPI_PERFCOUNTER3_HI",
13860 "type_ref": "CB_PERFCOUNTER0_HI"
13861 },
13862 {
13863 "chips": ["gfx10"],
13864 "map": {"at": 214556, "to": "mm"},
13865 "name": "SPI_PERFCOUNTER3_LO",
13866 "type_ref": "CB_PERFCOUNTER0_LO"
13867 },
13868 {
13869 "chips": ["gfx10"],
13870 "map": {"at": 222732, "to": "mm"},
13871 "name": "SPI_PERFCOUNTER3_SELECT",
13872 "type_ref": "SPI_PERFCOUNTER0_SELECT"
13873 },
13874 {
13875 "chips": ["gfx10"],
13876 "map": {"at": 222748, "to": "mm"},
13877 "name": "SPI_PERFCOUNTER3_SELECT1",
13878 "type_ref": "SPI_PERFCOUNTER0_SELECT1"
13879 },
13880 {
13881 "chips": ["gfx10"],
13882 "map": {"at": 214560, "to": "mm"},
13883 "name": "SPI_PERFCOUNTER4_HI",
13884 "type_ref": "CB_PERFCOUNTER0_HI"
13885 },
13886 {
13887 "chips": ["gfx10"],
13888 "map": {"at": 214564, "to": "mm"},
13889 "name": "SPI_PERFCOUNTER4_LO",
13890 "type_ref": "CB_PERFCOUNTER0_LO"
13891 },
13892 {
13893 "chips": ["gfx10"],
13894 "map": {"at": 222752, "to": "mm"},
13895 "name": "SPI_PERFCOUNTER4_SELECT",
13896 "type_ref": "SPI_PERFCOUNTER4_SELECT"
13897 },
13898 {
13899 "chips": ["gfx10"],
13900 "map": {"at": 214568, "to": "mm"},
13901 "name": "SPI_PERFCOUNTER5_HI",
13902 "type_ref": "CB_PERFCOUNTER0_HI"
13903 },
13904 {
13905 "chips": ["gfx10"],
13906 "map": {"at": 214572, "to": "mm"},
13907 "name": "SPI_PERFCOUNTER5_LO",
13908 "type_ref": "CB_PERFCOUNTER0_LO"
13909 },
13910 {
13911 "chips": ["gfx10"],
13912 "map": {"at": 222756, "to": "mm"},
13913 "name": "SPI_PERFCOUNTER5_SELECT",
13914 "type_ref": "SPI_PERFCOUNTER4_SELECT"
13915 },
13916 {
13917 "chips": ["gfx10"],
13918 "map": {"at": 222760, "to": "mm"},
13919 "name": "SPI_PERFCOUNTER_BINS",
13920 "type_ref": "SPI_PERFCOUNTER_BINS"
13921 },
13922 {
13923 "chips": ["gfx10"],
13924 "map": {"at": 37724, "to": "mm"},
13925 "name": "SPI_PG_ENABLE_STATIC_WGP_MASK",
13926 "type_ref": "SPI_LB_WGP_MASK"
13927 },
13928 {
13929 "chips": ["gfx10"],
13930 "map": {"at": 165584, "to": "mm"},
13931 "name": "SPI_PS_INPUT_ADDR",
13932 "type_ref": "SPI_PS_INPUT_ENA"
13933 },
13934 {
13935 "chips": ["gfx10"],
13936 "map": {"at": 165444, "to": "mm"},
13937 "name": "SPI_PS_INPUT_CNTL_0",
13938 "type_ref": "SPI_PS_INPUT_CNTL_0"
13939 },
13940 {
13941 "chips": ["gfx10"],
13942 "map": {"at": 165448, "to": "mm"},
13943 "name": "SPI_PS_INPUT_CNTL_1",
13944 "type_ref": "SPI_PS_INPUT_CNTL_0"
13945 },
13946 {
13947 "chips": ["gfx10"],
13948 "map": {"at": 165484, "to": "mm"},
13949 "name": "SPI_PS_INPUT_CNTL_10",
13950 "type_ref": "SPI_PS_INPUT_CNTL_0"
13951 },
13952 {
13953 "chips": ["gfx10"],
13954 "map": {"at": 165488, "to": "mm"},
13955 "name": "SPI_PS_INPUT_CNTL_11",
13956 "type_ref": "SPI_PS_INPUT_CNTL_0"
13957 },
13958 {
13959 "chips": ["gfx10"],
13960 "map": {"at": 165492, "to": "mm"},
13961 "name": "SPI_PS_INPUT_CNTL_12",
13962 "type_ref": "SPI_PS_INPUT_CNTL_0"
13963 },
13964 {
13965 "chips": ["gfx10"],
13966 "map": {"at": 165496, "to": "mm"},
13967 "name": "SPI_PS_INPUT_CNTL_13",
13968 "type_ref": "SPI_PS_INPUT_CNTL_0"
13969 },
13970 {
13971 "chips": ["gfx10"],
13972 "map": {"at": 165500, "to": "mm"},
13973 "name": "SPI_PS_INPUT_CNTL_14",
13974 "type_ref": "SPI_PS_INPUT_CNTL_0"
13975 },
13976 {
13977 "chips": ["gfx10"],
13978 "map": {"at": 165504, "to": "mm"},
13979 "name": "SPI_PS_INPUT_CNTL_15",
13980 "type_ref": "SPI_PS_INPUT_CNTL_0"
13981 },
13982 {
13983 "chips": ["gfx10"],
13984 "map": {"at": 165508, "to": "mm"},
13985 "name": "SPI_PS_INPUT_CNTL_16",
13986 "type_ref": "SPI_PS_INPUT_CNTL_0"
13987 },
13988 {
13989 "chips": ["gfx10"],
13990 "map": {"at": 165512, "to": "mm"},
13991 "name": "SPI_PS_INPUT_CNTL_17",
13992 "type_ref": "SPI_PS_INPUT_CNTL_0"
13993 },
13994 {
13995 "chips": ["gfx10"],
13996 "map": {"at": 165516, "to": "mm"},
13997 "name": "SPI_PS_INPUT_CNTL_18",
13998 "type_ref": "SPI_PS_INPUT_CNTL_0"
13999 },
14000 {
14001 "chips": ["gfx10"],
14002 "map": {"at": 165520, "to": "mm"},
14003 "name": "SPI_PS_INPUT_CNTL_19",
14004 "type_ref": "SPI_PS_INPUT_CNTL_0"
14005 },
14006 {
14007 "chips": ["gfx10"],
14008 "map": {"at": 165452, "to": "mm"},
14009 "name": "SPI_PS_INPUT_CNTL_2",
14010 "type_ref": "SPI_PS_INPUT_CNTL_0"
14011 },
14012 {
14013 "chips": ["gfx10"],
14014 "map": {"at": 165524, "to": "mm"},
14015 "name": "SPI_PS_INPUT_CNTL_20",
14016 "type_ref": "SPI_PS_INPUT_CNTL_20"
14017 },
14018 {
14019 "chips": ["gfx10"],
14020 "map": {"at": 165528, "to": "mm"},
14021 "name": "SPI_PS_INPUT_CNTL_21",
14022 "type_ref": "SPI_PS_INPUT_CNTL_20"
14023 },
14024 {
14025 "chips": ["gfx10"],
14026 "map": {"at": 165532, "to": "mm"},
14027 "name": "SPI_PS_INPUT_CNTL_22",
14028 "type_ref": "SPI_PS_INPUT_CNTL_20"
14029 },
14030 {
14031 "chips": ["gfx10"],
14032 "map": {"at": 165536, "to": "mm"},
14033 "name": "SPI_PS_INPUT_CNTL_23",
14034 "type_ref": "SPI_PS_INPUT_CNTL_20"
14035 },
14036 {
14037 "chips": ["gfx10"],
14038 "map": {"at": 165540, "to": "mm"},
14039 "name": "SPI_PS_INPUT_CNTL_24",
14040 "type_ref": "SPI_PS_INPUT_CNTL_20"
14041 },
14042 {
14043 "chips": ["gfx10"],
14044 "map": {"at": 165544, "to": "mm"},
14045 "name": "SPI_PS_INPUT_CNTL_25",
14046 "type_ref": "SPI_PS_INPUT_CNTL_20"
14047 },
14048 {
14049 "chips": ["gfx10"],
14050 "map": {"at": 165548, "to": "mm"},
14051 "name": "SPI_PS_INPUT_CNTL_26",
14052 "type_ref": "SPI_PS_INPUT_CNTL_20"
14053 },
14054 {
14055 "chips": ["gfx10"],
14056 "map": {"at": 165552, "to": "mm"},
14057 "name": "SPI_PS_INPUT_CNTL_27",
14058 "type_ref": "SPI_PS_INPUT_CNTL_20"
14059 },
14060 {
14061 "chips": ["gfx10"],
14062 "map": {"at": 165556, "to": "mm"},
14063 "name": "SPI_PS_INPUT_CNTL_28",
14064 "type_ref": "SPI_PS_INPUT_CNTL_20"
14065 },
14066 {
14067 "chips": ["gfx10"],
14068 "map": {"at": 165560, "to": "mm"},
14069 "name": "SPI_PS_INPUT_CNTL_29",
14070 "type_ref": "SPI_PS_INPUT_CNTL_20"
14071 },
14072 {
14073 "chips": ["gfx10"],
14074 "map": {"at": 165456, "to": "mm"},
14075 "name": "SPI_PS_INPUT_CNTL_3",
14076 "type_ref": "SPI_PS_INPUT_CNTL_0"
14077 },
14078 {
14079 "chips": ["gfx10"],
14080 "map": {"at": 165564, "to": "mm"},
14081 "name": "SPI_PS_INPUT_CNTL_30",
14082 "type_ref": "SPI_PS_INPUT_CNTL_20"
14083 },
14084 {
14085 "chips": ["gfx10"],
14086 "map": {"at": 165568, "to": "mm"},
14087 "name": "SPI_PS_INPUT_CNTL_31",
14088 "type_ref": "SPI_PS_INPUT_CNTL_20"
14089 },
14090 {
14091 "chips": ["gfx10"],
14092 "map": {"at": 165460, "to": "mm"},
14093 "name": "SPI_PS_INPUT_CNTL_4",
14094 "type_ref": "SPI_PS_INPUT_CNTL_0"
14095 },
14096 {
14097 "chips": ["gfx10"],
14098 "map": {"at": 165464, "to": "mm"},
14099 "name": "SPI_PS_INPUT_CNTL_5",
14100 "type_ref": "SPI_PS_INPUT_CNTL_0"
14101 },
14102 {
14103 "chips": ["gfx10"],
14104 "map": {"at": 165468, "to": "mm"},
14105 "name": "SPI_PS_INPUT_CNTL_6",
14106 "type_ref": "SPI_PS_INPUT_CNTL_0"
14107 },
14108 {
14109 "chips": ["gfx10"],
14110 "map": {"at": 165472, "to": "mm"},
14111 "name": "SPI_PS_INPUT_CNTL_7",
14112 "type_ref": "SPI_PS_INPUT_CNTL_0"
14113 },
14114 {
14115 "chips": ["gfx10"],
14116 "map": {"at": 165476, "to": "mm"},
14117 "name": "SPI_PS_INPUT_CNTL_8",
14118 "type_ref": "SPI_PS_INPUT_CNTL_0"
14119 },
14120 {
14121 "chips": ["gfx10"],
14122 "map": {"at": 165480, "to": "mm"},
14123 "name": "SPI_PS_INPUT_CNTL_9",
14124 "type_ref": "SPI_PS_INPUT_CNTL_0"
14125 },
14126 {
14127 "chips": ["gfx10"],
14128 "map": {"at": 165580, "to": "mm"},
14129 "name": "SPI_PS_INPUT_ENA",
14130 "type_ref": "SPI_PS_INPUT_ENA"
14131 },
14132 {
14133 "chips": ["gfx10"],
14134 "map": {"at": 165592, "to": "mm"},
14135 "name": "SPI_PS_IN_CONTROL",
14136 "type_ref": "SPI_PS_IN_CONTROL"
14137 },
14138 {
14139 "chips": ["gfx10"],
14140 "map": {"at": 37096, "to": "mm"},
14141 "name": "SPI_PS_MAX_WAVE_ID",
14142 "type_ref": "SPI_PS_MAX_WAVE_ID"
14143 },
14144 {
14145 "chips": ["gfx10"],
14146 "map": {"at": 165652, "to": "mm"},
14147 "name": "SPI_SHADER_COL_FORMAT",
14148 "type_ref": "SPI_SHADER_COL_FORMAT"
14149 },
14150 {
14151 "chips": ["gfx10"],
14152 "map": {"at": 165640, "to": "mm"},
14153 "name": "SPI_SHADER_IDX_FORMAT",
14154 "type_ref": "SPI_SHADER_IDX_FORMAT"
14155 },
14156 {
14157 "chips": ["gfx10"],
14158 "map": {"at": 45340, "to": "mm"},
14159 "name": "SPI_SHADER_LATE_ALLOC_VS",
14160 "type_ref": "SPI_SHADER_LATE_ALLOC_VS"
14161 },
14162 {
14163 "chips": ["gfx10"],
14164 "map": {"at": 45568, "to": "mm"},
14165 "name": "SPI_SHADER_PGM_CHKSUM_GS",
14166 "type_ref": "COMPUTE_SHADER_CHKSUM"
14167 },
14168 {
14169 "chips": ["gfx10"],
14170 "map": {"at": 46080, "to": "mm"},
14171 "name": "SPI_SHADER_PGM_CHKSUM_HS",
14172 "type_ref": "COMPUTE_SHADER_CHKSUM"
14173 },
14174 {
14175 "chips": ["gfx10"],
14176 "map": {"at": 45080, "to": "mm"},
14177 "name": "SPI_SHADER_PGM_CHKSUM_PS",
14178 "type_ref": "COMPUTE_SHADER_CHKSUM"
14179 },
14180 {
14181 "chips": ["gfx10"],
14182 "map": {"at": 45332, "to": "mm"},
14183 "name": "SPI_SHADER_PGM_CHKSUM_VS",
14184 "type_ref": "COMPUTE_SHADER_CHKSUM"
14185 },
14186 {
14187 "chips": ["gfx10"],
14188 "map": {"at": 45860, "to": "mm"},
14189 "name": "SPI_SHADER_PGM_HI_ES",
14190 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_HI"
14191 },
14192 {
14193 "chips": ["gfx10"],
14194 "map": {"at": 45588, "to": "mm"},
14195 "name": "SPI_SHADER_PGM_HI_ES_GS",
14196 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_HI"
14197 },
14198 {
14199 "chips": ["gfx10"],
14200 "map": {"at": 45604, "to": "mm"},
14201 "name": "SPI_SHADER_PGM_HI_GS",
14202 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_HI"
14203 },
14204 {
14205 "chips": ["gfx10"],
14206 "map": {"at": 46116, "to": "mm"},
14207 "name": "SPI_SHADER_PGM_HI_HS",
14208 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_HI"
14209 },
14210 {
14211 "chips": ["gfx10"],
14212 "map": {"at": 46372, "to": "mm"},
14213 "name": "SPI_SHADER_PGM_HI_LS",
14214 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_HI"
14215 },
14216 {
14217 "chips": ["gfx10"],
14218 "map": {"at": 46100, "to": "mm"},
14219 "name": "SPI_SHADER_PGM_HI_LS_HS",
14220 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_HI"
14221 },
14222 {
14223 "chips": ["gfx10"],
14224 "map": {"at": 45092, "to": "mm"},
14225 "name": "SPI_SHADER_PGM_HI_PS",
14226 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_HI"
14227 },
14228 {
14229 "chips": ["gfx10"],
14230 "map": {"at": 45348, "to": "mm"},
14231 "name": "SPI_SHADER_PGM_HI_VS",
14232 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_HI"
14233 },
14234 {
14235 "chips": ["gfx10"],
14236 "map": {"at": 45856, "to": "mm"},
14237 "name": "SPI_SHADER_PGM_LO_ES",
14238 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO"
14239 },
14240 {
14241 "chips": ["gfx10"],
14242 "map": {"at": 45584, "to": "mm"},
14243 "name": "SPI_SHADER_PGM_LO_ES_GS",
14244 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO"
14245 },
14246 {
14247 "chips": ["gfx10"],
14248 "map": {"at": 45600, "to": "mm"},
14249 "name": "SPI_SHADER_PGM_LO_GS",
14250 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO"
14251 },
14252 {
14253 "chips": ["gfx10"],
14254 "map": {"at": 46112, "to": "mm"},
14255 "name": "SPI_SHADER_PGM_LO_HS",
14256 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO"
14257 },
14258 {
14259 "chips": ["gfx10"],
14260 "map": {"at": 46368, "to": "mm"},
14261 "name": "SPI_SHADER_PGM_LO_LS",
14262 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO"
14263 },
14264 {
14265 "chips": ["gfx10"],
14266 "map": {"at": 46096, "to": "mm"},
14267 "name": "SPI_SHADER_PGM_LO_LS_HS",
14268 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO"
14269 },
14270 {
14271 "chips": ["gfx10"],
14272 "map": {"at": 45088, "to": "mm"},
14273 "name": "SPI_SHADER_PGM_LO_PS",
14274 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO"
14275 },
14276 {
14277 "chips": ["gfx10"],
14278 "map": {"at": 45344, "to": "mm"},
14279 "name": "SPI_SHADER_PGM_LO_VS",
14280 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO"
14281 },
14282 {
14283 "chips": ["gfx10"],
14284 "map": {"at": 45864, "to": "mm"},
14285 "name": "SPI_SHADER_PGM_RSRC1_ES",
14286 "type_ref": "SPI_SHADER_PGM_RSRC1_ES"
14287 },
14288 {
14289 "chips": ["gfx10"],
14290 "map": {"at": 45608, "to": "mm"},
14291 "name": "SPI_SHADER_PGM_RSRC1_GS",
14292 "type_ref": "SPI_SHADER_PGM_RSRC1_GS"
14293 },
14294 {
14295 "chips": ["gfx10"],
14296 "map": {"at": 46120, "to": "mm"},
14297 "name": "SPI_SHADER_PGM_RSRC1_HS",
14298 "type_ref": "SPI_SHADER_PGM_RSRC1_HS"
14299 },
14300 {
14301 "chips": ["gfx10"],
14302 "map": {"at": 46376, "to": "mm"},
14303 "name": "SPI_SHADER_PGM_RSRC1_LS",
14304 "type_ref": "SPI_SHADER_PGM_RSRC1_LS"
14305 },
14306 {
14307 "chips": ["gfx10"],
14308 "map": {"at": 45096, "to": "mm"},
14309 "name": "SPI_SHADER_PGM_RSRC1_PS",
14310 "type_ref": "SPI_SHADER_PGM_RSRC1_PS"
14311 },
14312 {
14313 "chips": ["gfx10"],
14314 "map": {"at": 45352, "to": "mm"},
14315 "name": "SPI_SHADER_PGM_RSRC1_VS",
14316 "type_ref": "SPI_SHADER_PGM_RSRC1_VS"
14317 },
14318 {
14319 "chips": ["gfx10"],
14320 "map": {"at": 45868, "to": "mm"},
14321 "name": "SPI_SHADER_PGM_RSRC2_ES",
14322 "type_ref": "SPI_SHADER_PGM_RSRC2_ES_VS"
14323 },
14324 {
14325 "chips": ["gfx10"],
14326 "map": {"at": 45808, "to": "mm"},
14327 "name": "SPI_SHADER_PGM_RSRC2_ES_GS",
14328 "type_ref": "SPI_SHADER_PGM_RSRC2_ES_VS"
14329 },
14330 {
14331 "chips": ["gfx10"],
14332 "map": {"at": 45552, "to": "mm"},
14333 "name": "SPI_SHADER_PGM_RSRC2_ES_VS",
14334 "type_ref": "SPI_SHADER_PGM_RSRC2_ES_VS"
14335 },
14336 {
14337 "chips": ["gfx10"],
14338 "map": {"at": 45612, "to": "mm"},
14339 "name": "SPI_SHADER_PGM_RSRC2_GS",
14340 "type_ref": "SPI_SHADER_PGM_RSRC2_GS"
14341 },
14342 {
14343 "chips": ["gfx10"],
14344 "map": {"at": 45548, "to": "mm"},
14345 "name": "SPI_SHADER_PGM_RSRC2_GS_VS",
14346 "type_ref": "SPI_SHADER_PGM_RSRC2_GS_VS"
14347 },
14348 {
14349 "chips": ["gfx10"],
14350 "map": {"at": 46124, "to": "mm"},
14351 "name": "SPI_SHADER_PGM_RSRC2_HS",
14352 "type_ref": "SPI_SHADER_PGM_RSRC2_HS"
14353 },
14354 {
14355 "chips": ["gfx10"],
14356 "map": {"at": 46380, "to": "mm"},
14357 "name": "SPI_SHADER_PGM_RSRC2_LS",
14358 "type_ref": "SPI_SHADER_PGM_RSRC2_LS_VS"
14359 },
14360 {
14361 "chips": ["gfx10"],
14362 "map": {"at": 46068, "to": "mm"},
14363 "name": "SPI_SHADER_PGM_RSRC2_LS_ES",
14364 "type_ref": "SPI_SHADER_PGM_RSRC2_LS_VS"
14365 },
14366 {
14367 "chips": ["gfx10"],
14368 "map": {"at": 46324, "to": "mm"},
14369 "name": "SPI_SHADER_PGM_RSRC2_LS_HS",
14370 "type_ref": "SPI_SHADER_PGM_RSRC2_LS_VS"
14371 },
14372 {
14373 "chips": ["gfx10"],
14374 "map": {"at": 45556, "to": "mm"},
14375 "name": "SPI_SHADER_PGM_RSRC2_LS_VS",
14376 "type_ref": "SPI_SHADER_PGM_RSRC2_LS_VS"
14377 },
14378 {
14379 "chips": ["gfx10"],
14380 "map": {"at": 45100, "to": "mm"},
14381 "name": "SPI_SHADER_PGM_RSRC2_PS",
14382 "type_ref": "SPI_SHADER_PGM_RSRC2_PS"
14383 },
14384 {
14385 "chips": ["gfx10"],
14386 "map": {"at": 45356, "to": "mm"},
14387 "name": "SPI_SHADER_PGM_RSRC2_VS",
14388 "type_ref": "SPI_SHADER_PGM_RSRC2_VS"
14389 },
14390 {
14391 "chips": ["gfx10"],
14392 "map": {"at": 45852, "to": "mm"},
14393 "name": "SPI_SHADER_PGM_RSRC3_ES",
14394 "type_ref": "SPI_SHADER_PGM_RSRC3_GS"
14395 },
14396 {
14397 "chips": ["gfx10"],
14398 "map": {"at": 45596, "to": "mm"},
14399 "name": "SPI_SHADER_PGM_RSRC3_GS",
14400 "type_ref": "SPI_SHADER_PGM_RSRC3_GS"
14401 },
14402 {
14403 "chips": ["gfx10"],
14404 "map": {"at": 46108, "to": "mm"},
14405 "name": "SPI_SHADER_PGM_RSRC3_HS",
14406 "type_ref": "SPI_SHADER_PGM_RSRC3_HS"
14407 },
14408 {
14409 "chips": ["gfx10"],
14410 "map": {"at": 46364, "to": "mm"},
14411 "name": "SPI_SHADER_PGM_RSRC3_LS",
14412 "type_ref": "SPI_SHADER_PGM_RSRC3_GS"
14413 },
14414 {
14415 "chips": ["gfx10"],
14416 "map": {"at": 45084, "to": "mm"},
14417 "name": "SPI_SHADER_PGM_RSRC3_PS",
14418 "type_ref": "SPI_SHADER_PGM_RSRC3_PS"
14419 },
14420 {
14421 "chips": ["gfx10"],
14422 "map": {"at": 45336, "to": "mm"},
14423 "name": "SPI_SHADER_PGM_RSRC3_VS",
14424 "type_ref": "SPI_SHADER_PGM_RSRC3_PS"
14425 },
14426 {
14427 "chips": ["gfx10"],
14428 "map": {"at": 45572, "to": "mm"},
14429 "name": "SPI_SHADER_PGM_RSRC4_GS",
14430 "type_ref": "SPI_SHADER_PGM_RSRC4_GS"
14431 },
14432 {
14433 "chips": ["gfx10"],
14434 "map": {"at": 46084, "to": "mm"},
14435 "name": "SPI_SHADER_PGM_RSRC4_HS",
14436 "type_ref": "SPI_SHADER_PGM_RSRC4_PS"
14437 },
14438 {
14439 "chips": ["gfx10"],
14440 "map": {"at": 45060, "to": "mm"},
14441 "name": "SPI_SHADER_PGM_RSRC4_PS",
14442 "type_ref": "SPI_SHADER_PGM_RSRC4_PS"
14443 },
14444 {
14445 "chips": ["gfx10"],
14446 "map": {"at": 45316, "to": "mm"},
14447 "name": "SPI_SHADER_PGM_RSRC4_VS",
14448 "type_ref": "SPI_SHADER_PGM_RSRC4_PS"
14449 },
14450 {
14451 "chips": ["gfx10"],
14452 "map": {"at": 165644, "to": "mm"},
14453 "name": "SPI_SHADER_POS_FORMAT",
14454 "type_ref": "SPI_SHADER_POS_FORMAT"
14455 },
14456 {
14457 "chips": ["gfx10"],
14458 "map": {"at": 45768, "to": "mm"},
14459 "name": "SPI_SHADER_USER_ACCUM_ESGS_0",
14460 "type_ref": "COMPUTE_USER_ACCUM_0"
14461 },
14462 {
14463 "chips": ["gfx10"],
14464 "map": {"at": 45772, "to": "mm"},
14465 "name": "SPI_SHADER_USER_ACCUM_ESGS_1",
14466 "type_ref": "COMPUTE_USER_ACCUM_0"
14467 },
14468 {
14469 "chips": ["gfx10"],
14470 "map": {"at": 45776, "to": "mm"},
14471 "name": "SPI_SHADER_USER_ACCUM_ESGS_2",
14472 "type_ref": "COMPUTE_USER_ACCUM_0"
14473 },
14474 {
14475 "chips": ["gfx10"],
14476 "map": {"at": 45780, "to": "mm"},
14477 "name": "SPI_SHADER_USER_ACCUM_ESGS_3",
14478 "type_ref": "COMPUTE_USER_ACCUM_0"
14479 },
14480 {
14481 "chips": ["gfx10"],
14482 "map": {"at": 46280, "to": "mm"},
14483 "name": "SPI_SHADER_USER_ACCUM_LSHS_0",
14484 "type_ref": "COMPUTE_USER_ACCUM_0"
14485 },
14486 {
14487 "chips": ["gfx10"],
14488 "map": {"at": 46284, "to": "mm"},
14489 "name": "SPI_SHADER_USER_ACCUM_LSHS_1",
14490 "type_ref": "COMPUTE_USER_ACCUM_0"
14491 },
14492 {
14493 "chips": ["gfx10"],
14494 "map": {"at": 46288, "to": "mm"},
14495 "name": "SPI_SHADER_USER_ACCUM_LSHS_2",
14496 "type_ref": "COMPUTE_USER_ACCUM_0"
14497 },
14498 {
14499 "chips": ["gfx10"],
14500 "map": {"at": 46292, "to": "mm"},
14501 "name": "SPI_SHADER_USER_ACCUM_LSHS_3",
14502 "type_ref": "COMPUTE_USER_ACCUM_0"
14503 },
14504 {
14505 "chips": ["gfx10"],
14506 "map": {"at": 45256, "to": "mm"},
14507 "name": "SPI_SHADER_USER_ACCUM_PS_0",
14508 "type_ref": "COMPUTE_USER_ACCUM_0"
14509 },
14510 {
14511 "chips": ["gfx10"],
14512 "map": {"at": 45260, "to": "mm"},
14513 "name": "SPI_SHADER_USER_ACCUM_PS_1",
14514 "type_ref": "COMPUTE_USER_ACCUM_0"
14515 },
14516 {
14517 "chips": ["gfx10"],
14518 "map": {"at": 45264, "to": "mm"},
14519 "name": "SPI_SHADER_USER_ACCUM_PS_2",
14520 "type_ref": "COMPUTE_USER_ACCUM_0"
14521 },
14522 {
14523 "chips": ["gfx10"],
14524 "map": {"at": 45268, "to": "mm"},
14525 "name": "SPI_SHADER_USER_ACCUM_PS_3",
14526 "type_ref": "COMPUTE_USER_ACCUM_0"
14527 },
14528 {
14529 "chips": ["gfx10"],
14530 "map": {"at": 45512, "to": "mm"},
14531 "name": "SPI_SHADER_USER_ACCUM_VS_0",
14532 "type_ref": "COMPUTE_USER_ACCUM_0"
14533 },
14534 {
14535 "chips": ["gfx10"],
14536 "map": {"at": 45516, "to": "mm"},
14537 "name": "SPI_SHADER_USER_ACCUM_VS_1",
14538 "type_ref": "COMPUTE_USER_ACCUM_0"
14539 },
14540 {
14541 "chips": ["gfx10"],
14542 "map": {"at": 45520, "to": "mm"},
14543 "name": "SPI_SHADER_USER_ACCUM_VS_2",
14544 "type_ref": "COMPUTE_USER_ACCUM_0"
14545 },
14546 {
14547 "chips": ["gfx10"],
14548 "map": {"at": 45524, "to": "mm"},
14549 "name": "SPI_SHADER_USER_ACCUM_VS_3",
14550 "type_ref": "COMPUTE_USER_ACCUM_0"
14551 },
14552 {
14553 "chips": ["gfx10"],
14554 "map": {"at": 45764, "to": "mm"},
14555 "name": "SPI_SHADER_PREF_PRI_CNTR_CTRL_ESGS",
14556 "type_ref": "COMPUTE_PREF_PRI_CNTR_CTRL"
14557 },
14558 {
14559 "chips": ["gfx10"],
14560 "map": {"at": 46276, "to": "mm"},
14561 "name": "SPI_SHADER_PREF_PRI_CNTR_CTRL_LSHS",
14562 "type_ref": "COMPUTE_PREF_PRI_CNTR_CTRL"
14563 },
14564 {
14565 "chips": ["gfx10"],
14566 "map": {"at": 45252, "to": "mm"},
14567 "name": "SPI_SHADER_PREF_PRI_CNTR_CTRL_PS",
14568 "type_ref": "COMPUTE_PREF_PRI_CNTR_CTRL"
14569 },
14570 {
14571 "chips": ["gfx10"],
14572 "map": {"at": 45508, "to": "mm"},
14573 "name": "SPI_SHADER_PREF_PRI_CNTR_CTRL_VS",
14574 "type_ref": "COMPUTE_PREF_PRI_CNTR_CTRL"
14575 },
14576 {
14577 "chips": ["gfx10"],
14578 "map": {"at": 45760, "to": "mm"},
14579 "name": "SPI_SHADER_REQ_CTRL_ESGS",
14580 "type_ref": "SPI_SHADER_REQ_CTRL_ESGS"
14581 },
14582 {
14583 "chips": ["gfx10"],
14584 "map": {"at": 46272, "to": "mm"},
14585 "name": "SPI_SHADER_REQ_CTRL_LSHS",
14586 "type_ref": "SPI_SHADER_REQ_CTRL_ESGS"
14587 },
14588 {
14589 "chips": ["gfx10"],
14590 "map": {"at": 45248, "to": "mm"},
14591 "name": "SPI_SHADER_REQ_CTRL_PS",
14592 "type_ref": "SPI_SHADER_REQ_CTRL_ESGS"
14593 },
14594 {
14595 "chips": ["gfx10"],
14596 "map": {"at": 45504, "to": "mm"},
14597 "name": "SPI_SHADER_REQ_CTRL_VS",
14598 "type_ref": "SPI_SHADER_REQ_CTRL_ESGS"
14599 },
14600 {
14601 "chips": ["gfx10"],
14602 "map": {"at": 45580, "to": "mm"},
14603 "name": "SPI_SHADER_USER_DATA_ADDR_HI_GS",
14604 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO"
14605 },
14606 {
14607 "chips": ["gfx10"],
14608 "map": {"at": 46092, "to": "mm"},
14609 "name": "SPI_SHADER_USER_DATA_ADDR_HI_HS",
14610 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO"
14611 },
14612 {
14613 "chips": ["gfx10"],
14614 "map": {"at": 45576, "to": "mm"},
14615 "name": "SPI_SHADER_USER_DATA_ADDR_LO_GS",
14616 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO"
14617 },
14618 {
14619 "chips": ["gfx10"],
14620 "map": {"at": 46088, "to": "mm"},
14621 "name": "SPI_SHADER_USER_DATA_ADDR_LO_HS",
14622 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO"
14623 },
14624 {
14625 "chips": ["gfx10"],
14626 "map": {"at": 45872, "to": "mm"},
14627 "name": "SPI_SHADER_USER_DATA_ES_0",
14628 "type_ref": "COMPUTE_PGM_LO"
14629 },
14630 {
14631 "chips": ["gfx10"],
14632 "map": {"at": 45876, "to": "mm"},
14633 "name": "SPI_SHADER_USER_DATA_ES_1",
14634 "type_ref": "COMPUTE_PGM_LO"
14635 },
14636 {
14637 "chips": ["gfx10"],
14638 "map": {"at": 45912, "to": "mm"},
14639 "name": "SPI_SHADER_USER_DATA_ES_10",
14640 "type_ref": "COMPUTE_PGM_LO"
14641 },
14642 {
14643 "chips": ["gfx10"],
14644 "map": {"at": 45916, "to": "mm"},
14645 "name": "SPI_SHADER_USER_DATA_ES_11",
14646 "type_ref": "COMPUTE_PGM_LO"
14647 },
14648 {
14649 "chips": ["gfx10"],
14650 "map": {"at": 45920, "to": "mm"},
14651 "name": "SPI_SHADER_USER_DATA_ES_12",
14652 "type_ref": "COMPUTE_PGM_LO"
14653 },
14654 {
14655 "chips": ["gfx10"],
14656 "map": {"at": 45924, "to": "mm"},
14657 "name": "SPI_SHADER_USER_DATA_ES_13",
14658 "type_ref": "COMPUTE_PGM_LO"
14659 },
14660 {
14661 "chips": ["gfx10"],
14662 "map": {"at": 45928, "to": "mm"},
14663 "name": "SPI_SHADER_USER_DATA_ES_14",
14664 "type_ref": "COMPUTE_PGM_LO"
14665 },
14666 {
14667 "chips": ["gfx10"],
14668 "map": {"at": 45932, "to": "mm"},
14669 "name": "SPI_SHADER_USER_DATA_ES_15",
14670 "type_ref": "COMPUTE_PGM_LO"
14671 },
14672 {
14673 "chips": ["gfx10"],
14674 "map": {"at": 45880, "to": "mm"},
14675 "name": "SPI_SHADER_USER_DATA_ES_2",
14676 "type_ref": "COMPUTE_PGM_LO"
14677 },
14678 {
14679 "chips": ["gfx10"],
14680 "map": {"at": 45884, "to": "mm"},
14681 "name": "SPI_SHADER_USER_DATA_ES_3",
14682 "type_ref": "COMPUTE_PGM_LO"
14683 },
14684 {
14685 "chips": ["gfx10"],
14686 "map": {"at": 45888, "to": "mm"},
14687 "name": "SPI_SHADER_USER_DATA_ES_4",
14688 "type_ref": "COMPUTE_PGM_LO"
14689 },
14690 {
14691 "chips": ["gfx10"],
14692 "map": {"at": 45892, "to": "mm"},
14693 "name": "SPI_SHADER_USER_DATA_ES_5",
14694 "type_ref": "COMPUTE_PGM_LO"
14695 },
14696 {
14697 "chips": ["gfx10"],
14698 "map": {"at": 45896, "to": "mm"},
14699 "name": "SPI_SHADER_USER_DATA_ES_6",
14700 "type_ref": "COMPUTE_PGM_LO"
14701 },
14702 {
14703 "chips": ["gfx10"],
14704 "map": {"at": 45900, "to": "mm"},
14705 "name": "SPI_SHADER_USER_DATA_ES_7",
14706 "type_ref": "COMPUTE_PGM_LO"
14707 },
14708 {
14709 "chips": ["gfx10"],
14710 "map": {"at": 45904, "to": "mm"},
14711 "name": "SPI_SHADER_USER_DATA_ES_8",
14712 "type_ref": "COMPUTE_PGM_LO"
14713 },
14714 {
14715 "chips": ["gfx10"],
14716 "map": {"at": 45908, "to": "mm"},
14717 "name": "SPI_SHADER_USER_DATA_ES_9",
14718 "type_ref": "COMPUTE_PGM_LO"
14719 },
14720 {
14721 "chips": ["gfx10"],
14722 "map": {"at": 45616, "to": "mm"},
14723 "name": "SPI_SHADER_USER_DATA_GS_0",
14724 "type_ref": "COMPUTE_PGM_LO"
14725 },
14726 {
14727 "chips": ["gfx10"],
14728 "map": {"at": 45620, "to": "mm"},
14729 "name": "SPI_SHADER_USER_DATA_GS_1",
14730 "type_ref": "COMPUTE_PGM_LO"
14731 },
14732 {
14733 "chips": ["gfx10"],
14734 "map": {"at": 45656, "to": "mm"},
14735 "name": "SPI_SHADER_USER_DATA_GS_10",
14736 "type_ref": "COMPUTE_PGM_LO"
14737 },
14738 {
14739 "chips": ["gfx10"],
14740 "map": {"at": 45660, "to": "mm"},
14741 "name": "SPI_SHADER_USER_DATA_GS_11",
14742 "type_ref": "COMPUTE_PGM_LO"
14743 },
14744 {
14745 "chips": ["gfx10"],
14746 "map": {"at": 45664, "to": "mm"},
14747 "name": "SPI_SHADER_USER_DATA_GS_12",
14748 "type_ref": "COMPUTE_PGM_LO"
14749 },
14750 {
14751 "chips": ["gfx10"],
14752 "map": {"at": 45668, "to": "mm"},
14753 "name": "SPI_SHADER_USER_DATA_GS_13",
14754 "type_ref": "COMPUTE_PGM_LO"
14755 },
14756 {
14757 "chips": ["gfx10"],
14758 "map": {"at": 45672, "to": "mm"},
14759 "name": "SPI_SHADER_USER_DATA_GS_14",
14760 "type_ref": "COMPUTE_PGM_LO"
14761 },
14762 {
14763 "chips": ["gfx10"],
14764 "map": {"at": 45676, "to": "mm"},
14765 "name": "SPI_SHADER_USER_DATA_GS_15",
14766 "type_ref": "COMPUTE_PGM_LO"
14767 },
14768 {
14769 "chips": ["gfx10"],
14770 "map": {"at": 45680, "to": "mm"},
14771 "name": "SPI_SHADER_USER_DATA_GS_16",
14772 "type_ref": "COMPUTE_PGM_LO"
14773 },
14774 {
14775 "chips": ["gfx10"],
14776 "map": {"at": 45684, "to": "mm"},
14777 "name": "SPI_SHADER_USER_DATA_GS_17",
14778 "type_ref": "COMPUTE_PGM_LO"
14779 },
14780 {
14781 "chips": ["gfx10"],
14782 "map": {"at": 45688, "to": "mm"},
14783 "name": "SPI_SHADER_USER_DATA_GS_18",
14784 "type_ref": "COMPUTE_PGM_LO"
14785 },
14786 {
14787 "chips": ["gfx10"],
14788 "map": {"at": 45692, "to": "mm"},
14789 "name": "SPI_SHADER_USER_DATA_GS_19",
14790 "type_ref": "COMPUTE_PGM_LO"
14791 },
14792 {
14793 "chips": ["gfx10"],
14794 "map": {"at": 45624, "to": "mm"},
14795 "name": "SPI_SHADER_USER_DATA_GS_2",
14796 "type_ref": "COMPUTE_PGM_LO"
14797 },
14798 {
14799 "chips": ["gfx10"],
14800 "map": {"at": 45696, "to": "mm"},
14801 "name": "SPI_SHADER_USER_DATA_GS_20",
14802 "type_ref": "COMPUTE_PGM_LO"
14803 },
14804 {
14805 "chips": ["gfx10"],
14806 "map": {"at": 45700, "to": "mm"},
14807 "name": "SPI_SHADER_USER_DATA_GS_21",
14808 "type_ref": "COMPUTE_PGM_LO"
14809 },
14810 {
14811 "chips": ["gfx10"],
14812 "map": {"at": 45704, "to": "mm"},
14813 "name": "SPI_SHADER_USER_DATA_GS_22",
14814 "type_ref": "COMPUTE_PGM_LO"
14815 },
14816 {
14817 "chips": ["gfx10"],
14818 "map": {"at": 45708, "to": "mm"},
14819 "name": "SPI_SHADER_USER_DATA_GS_23",
14820 "type_ref": "COMPUTE_PGM_LO"
14821 },
14822 {
14823 "chips": ["gfx10"],
14824 "map": {"at": 45712, "to": "mm"},
14825 "name": "SPI_SHADER_USER_DATA_GS_24",
14826 "type_ref": "COMPUTE_PGM_LO"
14827 },
14828 {
14829 "chips": ["gfx10"],
14830 "map": {"at": 45716, "to": "mm"},
14831 "name": "SPI_SHADER_USER_DATA_GS_25",
14832 "type_ref": "COMPUTE_PGM_LO"
14833 },
14834 {
14835 "chips": ["gfx10"],
14836 "map": {"at": 45720, "to": "mm"},
14837 "name": "SPI_SHADER_USER_DATA_GS_26",
14838 "type_ref": "COMPUTE_PGM_LO"
14839 },
14840 {
14841 "chips": ["gfx10"],
14842 "map": {"at": 45724, "to": "mm"},
14843 "name": "SPI_SHADER_USER_DATA_GS_27",
14844 "type_ref": "COMPUTE_PGM_LO"
14845 },
14846 {
14847 "chips": ["gfx10"],
14848 "map": {"at": 45728, "to": "mm"},
14849 "name": "SPI_SHADER_USER_DATA_GS_28",
14850 "type_ref": "COMPUTE_PGM_LO"
14851 },
14852 {
14853 "chips": ["gfx10"],
14854 "map": {"at": 45732, "to": "mm"},
14855 "name": "SPI_SHADER_USER_DATA_GS_29",
14856 "type_ref": "COMPUTE_PGM_LO"
14857 },
14858 {
14859 "chips": ["gfx10"],
14860 "map": {"at": 45628, "to": "mm"},
14861 "name": "SPI_SHADER_USER_DATA_GS_3",
14862 "type_ref": "COMPUTE_PGM_LO"
14863 },
14864 {
14865 "chips": ["gfx10"],
14866 "map": {"at": 45736, "to": "mm"},
14867 "name": "SPI_SHADER_USER_DATA_GS_30",
14868 "type_ref": "COMPUTE_PGM_LO"
14869 },
14870 {
14871 "chips": ["gfx10"],
14872 "map": {"at": 45740, "to": "mm"},
14873 "name": "SPI_SHADER_USER_DATA_GS_31",
14874 "type_ref": "COMPUTE_PGM_LO"
14875 },
14876 {
14877 "chips": ["gfx10"],
14878 "map": {"at": 45632, "to": "mm"},
14879 "name": "SPI_SHADER_USER_DATA_GS_4",
14880 "type_ref": "COMPUTE_PGM_LO"
14881 },
14882 {
14883 "chips": ["gfx10"],
14884 "map": {"at": 45636, "to": "mm"},
14885 "name": "SPI_SHADER_USER_DATA_GS_5",
14886 "type_ref": "COMPUTE_PGM_LO"
14887 },
14888 {
14889 "chips": ["gfx10"],
14890 "map": {"at": 45640, "to": "mm"},
14891 "name": "SPI_SHADER_USER_DATA_GS_6",
14892 "type_ref": "COMPUTE_PGM_LO"
14893 },
14894 {
14895 "chips": ["gfx10"],
14896 "map": {"at": 45644, "to": "mm"},
14897 "name": "SPI_SHADER_USER_DATA_GS_7",
14898 "type_ref": "COMPUTE_PGM_LO"
14899 },
14900 {
14901 "chips": ["gfx10"],
14902 "map": {"at": 45648, "to": "mm"},
14903 "name": "SPI_SHADER_USER_DATA_GS_8",
14904 "type_ref": "COMPUTE_PGM_LO"
14905 },
14906 {
14907 "chips": ["gfx10"],
14908 "map": {"at": 45652, "to": "mm"},
14909 "name": "SPI_SHADER_USER_DATA_GS_9",
14910 "type_ref": "COMPUTE_PGM_LO"
14911 },
14912 {
14913 "chips": ["gfx10"],
14914 "map": {"at": 46128, "to": "mm"},
14915 "name": "SPI_SHADER_USER_DATA_HS_0",
14916 "type_ref": "COMPUTE_PGM_LO"
14917 },
14918 {
14919 "chips": ["gfx10"],
14920 "map": {"at": 46132, "to": "mm"},
14921 "name": "SPI_SHADER_USER_DATA_HS_1",
14922 "type_ref": "COMPUTE_PGM_LO"
14923 },
14924 {
14925 "chips": ["gfx10"],
14926 "map": {"at": 46168, "to": "mm"},
14927 "name": "SPI_SHADER_USER_DATA_HS_10",
14928 "type_ref": "COMPUTE_PGM_LO"
14929 },
14930 {
14931 "chips": ["gfx10"],
14932 "map": {"at": 46172, "to": "mm"},
14933 "name": "SPI_SHADER_USER_DATA_HS_11",
14934 "type_ref": "COMPUTE_PGM_LO"
14935 },
14936 {
14937 "chips": ["gfx10"],
14938 "map": {"at": 46176, "to": "mm"},
14939 "name": "SPI_SHADER_USER_DATA_HS_12",
14940 "type_ref": "COMPUTE_PGM_LO"
14941 },
14942 {
14943 "chips": ["gfx10"],
14944 "map": {"at": 46180, "to": "mm"},
14945 "name": "SPI_SHADER_USER_DATA_HS_13",
14946 "type_ref": "COMPUTE_PGM_LO"
14947 },
14948 {
14949 "chips": ["gfx10"],
14950 "map": {"at": 46184, "to": "mm"},
14951 "name": "SPI_SHADER_USER_DATA_HS_14",
14952 "type_ref": "COMPUTE_PGM_LO"
14953 },
14954 {
14955 "chips": ["gfx10"],
14956 "map": {"at": 46188, "to": "mm"},
14957 "name": "SPI_SHADER_USER_DATA_HS_15",
14958 "type_ref": "COMPUTE_PGM_LO"
14959 },
14960 {
14961 "chips": ["gfx10"],
14962 "map": {"at": 46192, "to": "mm"},
14963 "name": "SPI_SHADER_USER_DATA_HS_16",
14964 "type_ref": "COMPUTE_PGM_LO"
14965 },
14966 {
14967 "chips": ["gfx10"],
14968 "map": {"at": 46196, "to": "mm"},
14969 "name": "SPI_SHADER_USER_DATA_HS_17",
14970 "type_ref": "COMPUTE_PGM_LO"
14971 },
14972 {
14973 "chips": ["gfx10"],
14974 "map": {"at": 46200, "to": "mm"},
14975 "name": "SPI_SHADER_USER_DATA_HS_18",
14976 "type_ref": "COMPUTE_PGM_LO"
14977 },
14978 {
14979 "chips": ["gfx10"],
14980 "map": {"at": 46204, "to": "mm"},
14981 "name": "SPI_SHADER_USER_DATA_HS_19",
14982 "type_ref": "COMPUTE_PGM_LO"
14983 },
14984 {
14985 "chips": ["gfx10"],
14986 "map": {"at": 46136, "to": "mm"},
14987 "name": "SPI_SHADER_USER_DATA_HS_2",
14988 "type_ref": "COMPUTE_PGM_LO"
14989 },
14990 {
14991 "chips": ["gfx10"],
14992 "map": {"at": 46208, "to": "mm"},
14993 "name": "SPI_SHADER_USER_DATA_HS_20",
14994 "type_ref": "COMPUTE_PGM_LO"
14995 },
14996 {
14997 "chips": ["gfx10"],
14998 "map": {"at": 46212, "to": "mm"},
14999 "name": "SPI_SHADER_USER_DATA_HS_21",
15000 "type_ref": "COMPUTE_PGM_LO"
15001 },
15002 {
15003 "chips": ["gfx10"],
15004 "map": {"at": 46216, "to": "mm"},
15005 "name": "SPI_SHADER_USER_DATA_HS_22",
15006 "type_ref": "COMPUTE_PGM_LO"
15007 },
15008 {
15009 "chips": ["gfx10"],
15010 "map": {"at": 46220, "to": "mm"},
15011 "name": "SPI_SHADER_USER_DATA_HS_23",
15012 "type_ref": "COMPUTE_PGM_LO"
15013 },
15014 {
15015 "chips": ["gfx10"],
15016 "map": {"at": 46224, "to": "mm"},
15017 "name": "SPI_SHADER_USER_DATA_HS_24",
15018 "type_ref": "COMPUTE_PGM_LO"
15019 },
15020 {
15021 "chips": ["gfx10"],
15022 "map": {"at": 46228, "to": "mm"},
15023 "name": "SPI_SHADER_USER_DATA_HS_25",
15024 "type_ref": "COMPUTE_PGM_LO"
15025 },
15026 {
15027 "chips": ["gfx10"],
15028 "map": {"at": 46232, "to": "mm"},
15029 "name": "SPI_SHADER_USER_DATA_HS_26",
15030 "type_ref": "COMPUTE_PGM_LO"
15031 },
15032 {
15033 "chips": ["gfx10"],
15034 "map": {"at": 46236, "to": "mm"},
15035 "name": "SPI_SHADER_USER_DATA_HS_27",
15036 "type_ref": "COMPUTE_PGM_LO"
15037 },
15038 {
15039 "chips": ["gfx10"],
15040 "map": {"at": 46240, "to": "mm"},
15041 "name": "SPI_SHADER_USER_DATA_HS_28",
15042 "type_ref": "COMPUTE_PGM_LO"
15043 },
15044 {
15045 "chips": ["gfx10"],
15046 "map": {"at": 46244, "to": "mm"},
15047 "name": "SPI_SHADER_USER_DATA_HS_29",
15048 "type_ref": "COMPUTE_PGM_LO"
15049 },
15050 {
15051 "chips": ["gfx10"],
15052 "map": {"at": 46140, "to": "mm"},
15053 "name": "SPI_SHADER_USER_DATA_HS_3",
15054 "type_ref": "COMPUTE_PGM_LO"
15055 },
15056 {
15057 "chips": ["gfx10"],
15058 "map": {"at": 46248, "to": "mm"},
15059 "name": "SPI_SHADER_USER_DATA_HS_30",
15060 "type_ref": "COMPUTE_PGM_LO"
15061 },
15062 {
15063 "chips": ["gfx10"],
15064 "map": {"at": 46252, "to": "mm"},
15065 "name": "SPI_SHADER_USER_DATA_HS_31",
15066 "type_ref": "COMPUTE_PGM_LO"
15067 },
15068 {
15069 "chips": ["gfx10"],
15070 "map": {"at": 46144, "to": "mm"},
15071 "name": "SPI_SHADER_USER_DATA_HS_4",
15072 "type_ref": "COMPUTE_PGM_LO"
15073 },
15074 {
15075 "chips": ["gfx10"],
15076 "map": {"at": 46148, "to": "mm"},
15077 "name": "SPI_SHADER_USER_DATA_HS_5",
15078 "type_ref": "COMPUTE_PGM_LO"
15079 },
15080 {
15081 "chips": ["gfx10"],
15082 "map": {"at": 46152, "to": "mm"},
15083 "name": "SPI_SHADER_USER_DATA_HS_6",
15084 "type_ref": "COMPUTE_PGM_LO"
15085 },
15086 {
15087 "chips": ["gfx10"],
15088 "map": {"at": 46156, "to": "mm"},
15089 "name": "SPI_SHADER_USER_DATA_HS_7",
15090 "type_ref": "COMPUTE_PGM_LO"
15091 },
15092 {
15093 "chips": ["gfx10"],
15094 "map": {"at": 46160, "to": "mm"},
15095 "name": "SPI_SHADER_USER_DATA_HS_8",
15096 "type_ref": "COMPUTE_PGM_LO"
15097 },
15098 {
15099 "chips": ["gfx10"],
15100 "map": {"at": 46164, "to": "mm"},
15101 "name": "SPI_SHADER_USER_DATA_HS_9",
15102 "type_ref": "COMPUTE_PGM_LO"
15103 },
15104 {
15105 "chips": ["gfx10"],
15106 "map": {"at": 46384, "to": "mm"},
15107 "name": "SPI_SHADER_USER_DATA_LS_0",
15108 "type_ref": "COMPUTE_PGM_LO"
15109 },
15110 {
15111 "chips": ["gfx10"],
15112 "map": {"at": 46388, "to": "mm"},
15113 "name": "SPI_SHADER_USER_DATA_LS_1",
15114 "type_ref": "COMPUTE_PGM_LO"
15115 },
15116 {
15117 "chips": ["gfx10"],
15118 "map": {"at": 46424, "to": "mm"},
15119 "name": "SPI_SHADER_USER_DATA_LS_10",
15120 "type_ref": "COMPUTE_PGM_LO"
15121 },
15122 {
15123 "chips": ["gfx10"],
15124 "map": {"at": 46428, "to": "mm"},
15125 "name": "SPI_SHADER_USER_DATA_LS_11",
15126 "type_ref": "COMPUTE_PGM_LO"
15127 },
15128 {
15129 "chips": ["gfx10"],
15130 "map": {"at": 46432, "to": "mm"},
15131 "name": "SPI_SHADER_USER_DATA_LS_12",
15132 "type_ref": "COMPUTE_PGM_LO"
15133 },
15134 {
15135 "chips": ["gfx10"],
15136 "map": {"at": 46436, "to": "mm"},
15137 "name": "SPI_SHADER_USER_DATA_LS_13",
15138 "type_ref": "COMPUTE_PGM_LO"
15139 },
15140 {
15141 "chips": ["gfx10"],
15142 "map": {"at": 46440, "to": "mm"},
15143 "name": "SPI_SHADER_USER_DATA_LS_14",
15144 "type_ref": "COMPUTE_PGM_LO"
15145 },
15146 {
15147 "chips": ["gfx10"],
15148 "map": {"at": 46444, "to": "mm"},
15149 "name": "SPI_SHADER_USER_DATA_LS_15",
15150 "type_ref": "COMPUTE_PGM_LO"
15151 },
15152 {
15153 "chips": ["gfx10"],
15154 "map": {"at": 46392, "to": "mm"},
15155 "name": "SPI_SHADER_USER_DATA_LS_2",
15156 "type_ref": "COMPUTE_PGM_LO"
15157 },
15158 {
15159 "chips": ["gfx10"],
15160 "map": {"at": 46396, "to": "mm"},
15161 "name": "SPI_SHADER_USER_DATA_LS_3",
15162 "type_ref": "COMPUTE_PGM_LO"
15163 },
15164 {
15165 "chips": ["gfx10"],
15166 "map": {"at": 46400, "to": "mm"},
15167 "name": "SPI_SHADER_USER_DATA_LS_4",
15168 "type_ref": "COMPUTE_PGM_LO"
15169 },
15170 {
15171 "chips": ["gfx10"],
15172 "map": {"at": 46404, "to": "mm"},
15173 "name": "SPI_SHADER_USER_DATA_LS_5",
15174 "type_ref": "COMPUTE_PGM_LO"
15175 },
15176 {
15177 "chips": ["gfx10"],
15178 "map": {"at": 46408, "to": "mm"},
15179 "name": "SPI_SHADER_USER_DATA_LS_6",
15180 "type_ref": "COMPUTE_PGM_LO"
15181 },
15182 {
15183 "chips": ["gfx10"],
15184 "map": {"at": 46412, "to": "mm"},
15185 "name": "SPI_SHADER_USER_DATA_LS_7",
15186 "type_ref": "COMPUTE_PGM_LO"
15187 },
15188 {
15189 "chips": ["gfx10"],
15190 "map": {"at": 46416, "to": "mm"},
15191 "name": "SPI_SHADER_USER_DATA_LS_8",
15192 "type_ref": "COMPUTE_PGM_LO"
15193 },
15194 {
15195 "chips": ["gfx10"],
15196 "map": {"at": 46420, "to": "mm"},
15197 "name": "SPI_SHADER_USER_DATA_LS_9",
15198 "type_ref": "COMPUTE_PGM_LO"
15199 },
15200 {
15201 "chips": ["gfx10"],
15202 "map": {"at": 45104, "to": "mm"},
15203 "name": "SPI_SHADER_USER_DATA_PS_0",
15204 "type_ref": "COMPUTE_PGM_LO"
15205 },
15206 {
15207 "chips": ["gfx10"],
15208 "map": {"at": 45108, "to": "mm"},
15209 "name": "SPI_SHADER_USER_DATA_PS_1",
15210 "type_ref": "COMPUTE_PGM_LO"
15211 },
15212 {
15213 "chips": ["gfx10"],
15214 "map": {"at": 45144, "to": "mm"},
15215 "name": "SPI_SHADER_USER_DATA_PS_10",
15216 "type_ref": "COMPUTE_PGM_LO"
15217 },
15218 {
15219 "chips": ["gfx10"],
15220 "map": {"at": 45148, "to": "mm"},
15221 "name": "SPI_SHADER_USER_DATA_PS_11",
15222 "type_ref": "COMPUTE_PGM_LO"
15223 },
15224 {
15225 "chips": ["gfx10"],
15226 "map": {"at": 45152, "to": "mm"},
15227 "name": "SPI_SHADER_USER_DATA_PS_12",
15228 "type_ref": "COMPUTE_PGM_LO"
15229 },
15230 {
15231 "chips": ["gfx10"],
15232 "map": {"at": 45156, "to": "mm"},
15233 "name": "SPI_SHADER_USER_DATA_PS_13",
15234 "type_ref": "COMPUTE_PGM_LO"
15235 },
15236 {
15237 "chips": ["gfx10"],
15238 "map": {"at": 45160, "to": "mm"},
15239 "name": "SPI_SHADER_USER_DATA_PS_14",
15240 "type_ref": "COMPUTE_PGM_LO"
15241 },
15242 {
15243 "chips": ["gfx10"],
15244 "map": {"at": 45164, "to": "mm"},
15245 "name": "SPI_SHADER_USER_DATA_PS_15",
15246 "type_ref": "COMPUTE_PGM_LO"
15247 },
15248 {
15249 "chips": ["gfx10"],
15250 "map": {"at": 45168, "to": "mm"},
15251 "name": "SPI_SHADER_USER_DATA_PS_16",
15252 "type_ref": "COMPUTE_PGM_LO"
15253 },
15254 {
15255 "chips": ["gfx10"],
15256 "map": {"at": 45172, "to": "mm"},
15257 "name": "SPI_SHADER_USER_DATA_PS_17",
15258 "type_ref": "COMPUTE_PGM_LO"
15259 },
15260 {
15261 "chips": ["gfx10"],
15262 "map": {"at": 45176, "to": "mm"},
15263 "name": "SPI_SHADER_USER_DATA_PS_18",
15264 "type_ref": "COMPUTE_PGM_LO"
15265 },
15266 {
15267 "chips": ["gfx10"],
15268 "map": {"at": 45180, "to": "mm"},
15269 "name": "SPI_SHADER_USER_DATA_PS_19",
15270 "type_ref": "COMPUTE_PGM_LO"
15271 },
15272 {
15273 "chips": ["gfx10"],
15274 "map": {"at": 45112, "to": "mm"},
15275 "name": "SPI_SHADER_USER_DATA_PS_2",
15276 "type_ref": "COMPUTE_PGM_LO"
15277 },
15278 {
15279 "chips": ["gfx10"],
15280 "map": {"at": 45184, "to": "mm"},
15281 "name": "SPI_SHADER_USER_DATA_PS_20",
15282 "type_ref": "COMPUTE_PGM_LO"
15283 },
15284 {
15285 "chips": ["gfx10"],
15286 "map": {"at": 45188, "to": "mm"},
15287 "name": "SPI_SHADER_USER_DATA_PS_21",
15288 "type_ref": "COMPUTE_PGM_LO"
15289 },
15290 {
15291 "chips": ["gfx10"],
15292 "map": {"at": 45192, "to": "mm"},
15293 "name": "SPI_SHADER_USER_DATA_PS_22",
15294 "type_ref": "COMPUTE_PGM_LO"
15295 },
15296 {
15297 "chips": ["gfx10"],
15298 "map": {"at": 45196, "to": "mm"},
15299 "name": "SPI_SHADER_USER_DATA_PS_23",
15300 "type_ref": "COMPUTE_PGM_LO"
15301 },
15302 {
15303 "chips": ["gfx10"],
15304 "map": {"at": 45200, "to": "mm"},
15305 "name": "SPI_SHADER_USER_DATA_PS_24",
15306 "type_ref": "COMPUTE_PGM_LO"
15307 },
15308 {
15309 "chips": ["gfx10"],
15310 "map": {"at": 45204, "to": "mm"},
15311 "name": "SPI_SHADER_USER_DATA_PS_25",
15312 "type_ref": "COMPUTE_PGM_LO"
15313 },
15314 {
15315 "chips": ["gfx10"],
15316 "map": {"at": 45208, "to": "mm"},
15317 "name": "SPI_SHADER_USER_DATA_PS_26",
15318 "type_ref": "COMPUTE_PGM_LO"
15319 },
15320 {
15321 "chips": ["gfx10"],
15322 "map": {"at": 45212, "to": "mm"},
15323 "name": "SPI_SHADER_USER_DATA_PS_27",
15324 "type_ref": "COMPUTE_PGM_LO"
15325 },
15326 {
15327 "chips": ["gfx10"],
15328 "map": {"at": 45216, "to": "mm"},
15329 "name": "SPI_SHADER_USER_DATA_PS_28",
15330 "type_ref": "COMPUTE_PGM_LO"
15331 },
15332 {
15333 "chips": ["gfx10"],
15334 "map": {"at": 45220, "to": "mm"},
15335 "name": "SPI_SHADER_USER_DATA_PS_29",
15336 "type_ref": "COMPUTE_PGM_LO"
15337 },
15338 {
15339 "chips": ["gfx10"],
15340 "map": {"at": 45116, "to": "mm"},
15341 "name": "SPI_SHADER_USER_DATA_PS_3",
15342 "type_ref": "COMPUTE_PGM_LO"
15343 },
15344 {
15345 "chips": ["gfx10"],
15346 "map": {"at": 45224, "to": "mm"},
15347 "name": "SPI_SHADER_USER_DATA_PS_30",
15348 "type_ref": "COMPUTE_PGM_LO"
15349 },
15350 {
15351 "chips": ["gfx10"],
15352 "map": {"at": 45228, "to": "mm"},
15353 "name": "SPI_SHADER_USER_DATA_PS_31",
15354 "type_ref": "COMPUTE_PGM_LO"
15355 },
15356 {
15357 "chips": ["gfx10"],
15358 "map": {"at": 45120, "to": "mm"},
15359 "name": "SPI_SHADER_USER_DATA_PS_4",
15360 "type_ref": "COMPUTE_PGM_LO"
15361 },
15362 {
15363 "chips": ["gfx10"],
15364 "map": {"at": 45124, "to": "mm"},
15365 "name": "SPI_SHADER_USER_DATA_PS_5",
15366 "type_ref": "COMPUTE_PGM_LO"
15367 },
15368 {
15369 "chips": ["gfx10"],
15370 "map": {"at": 45128, "to": "mm"},
15371 "name": "SPI_SHADER_USER_DATA_PS_6",
15372 "type_ref": "COMPUTE_PGM_LO"
15373 },
15374 {
15375 "chips": ["gfx10"],
15376 "map": {"at": 45132, "to": "mm"},
15377 "name": "SPI_SHADER_USER_DATA_PS_7",
15378 "type_ref": "COMPUTE_PGM_LO"
15379 },
15380 {
15381 "chips": ["gfx10"],
15382 "map": {"at": 45136, "to": "mm"},
15383 "name": "SPI_SHADER_USER_DATA_PS_8",
15384 "type_ref": "COMPUTE_PGM_LO"
15385 },
15386 {
15387 "chips": ["gfx10"],
15388 "map": {"at": 45140, "to": "mm"},
15389 "name": "SPI_SHADER_USER_DATA_PS_9",
15390 "type_ref": "COMPUTE_PGM_LO"
15391 },
15392 {
15393 "chips": ["gfx10"],
15394 "map": {"at": 45360, "to": "mm"},
15395 "name": "SPI_SHADER_USER_DATA_VS_0",
15396 "type_ref": "COMPUTE_PGM_LO"
15397 },
15398 {
15399 "chips": ["gfx10"],
15400 "map": {"at": 45364, "to": "mm"},
15401 "name": "SPI_SHADER_USER_DATA_VS_1",
15402 "type_ref": "COMPUTE_PGM_LO"
15403 },
15404 {
15405 "chips": ["gfx10"],
15406 "map": {"at": 45400, "to": "mm"},
15407 "name": "SPI_SHADER_USER_DATA_VS_10",
15408 "type_ref": "COMPUTE_PGM_LO"
15409 },
15410 {
15411 "chips": ["gfx10"],
15412 "map": {"at": 45404, "to": "mm"},
15413 "name": "SPI_SHADER_USER_DATA_VS_11",
15414 "type_ref": "COMPUTE_PGM_LO"
15415 },
15416 {
15417 "chips": ["gfx10"],
15418 "map": {"at": 45408, "to": "mm"},
15419 "name": "SPI_SHADER_USER_DATA_VS_12",
15420 "type_ref": "COMPUTE_PGM_LO"
15421 },
15422 {
15423 "chips": ["gfx10"],
15424 "map": {"at": 45412, "to": "mm"},
15425 "name": "SPI_SHADER_USER_DATA_VS_13",
15426 "type_ref": "COMPUTE_PGM_LO"
15427 },
15428 {
15429 "chips": ["gfx10"],
15430 "map": {"at": 45416, "to": "mm"},
15431 "name": "SPI_SHADER_USER_DATA_VS_14",
15432 "type_ref": "COMPUTE_PGM_LO"
15433 },
15434 {
15435 "chips": ["gfx10"],
15436 "map": {"at": 45420, "to": "mm"},
15437 "name": "SPI_SHADER_USER_DATA_VS_15",
15438 "type_ref": "COMPUTE_PGM_LO"
15439 },
15440 {
15441 "chips": ["gfx10"],
15442 "map": {"at": 45424, "to": "mm"},
15443 "name": "SPI_SHADER_USER_DATA_VS_16",
15444 "type_ref": "COMPUTE_PGM_LO"
15445 },
15446 {
15447 "chips": ["gfx10"],
15448 "map": {"at": 45428, "to": "mm"},
15449 "name": "SPI_SHADER_USER_DATA_VS_17",
15450 "type_ref": "COMPUTE_PGM_LO"
15451 },
15452 {
15453 "chips": ["gfx10"],
15454 "map": {"at": 45432, "to": "mm"},
15455 "name": "SPI_SHADER_USER_DATA_VS_18",
15456 "type_ref": "COMPUTE_PGM_LO"
15457 },
15458 {
15459 "chips": ["gfx10"],
15460 "map": {"at": 45436, "to": "mm"},
15461 "name": "SPI_SHADER_USER_DATA_VS_19",
15462 "type_ref": "COMPUTE_PGM_LO"
15463 },
15464 {
15465 "chips": ["gfx10"],
15466 "map": {"at": 45368, "to": "mm"},
15467 "name": "SPI_SHADER_USER_DATA_VS_2",
15468 "type_ref": "COMPUTE_PGM_LO"
15469 },
15470 {
15471 "chips": ["gfx10"],
15472 "map": {"at": 45440, "to": "mm"},
15473 "name": "SPI_SHADER_USER_DATA_VS_20",
15474 "type_ref": "COMPUTE_PGM_LO"
15475 },
15476 {
15477 "chips": ["gfx10"],
15478 "map": {"at": 45444, "to": "mm"},
15479 "name": "SPI_SHADER_USER_DATA_VS_21",
15480 "type_ref": "COMPUTE_PGM_LO"
15481 },
15482 {
15483 "chips": ["gfx10"],
15484 "map": {"at": 45448, "to": "mm"},
15485 "name": "SPI_SHADER_USER_DATA_VS_22",
15486 "type_ref": "COMPUTE_PGM_LO"
15487 },
15488 {
15489 "chips": ["gfx10"],
15490 "map": {"at": 45452, "to": "mm"},
15491 "name": "SPI_SHADER_USER_DATA_VS_23",
15492 "type_ref": "COMPUTE_PGM_LO"
15493 },
15494 {
15495 "chips": ["gfx10"],
15496 "map": {"at": 45456, "to": "mm"},
15497 "name": "SPI_SHADER_USER_DATA_VS_24",
15498 "type_ref": "COMPUTE_PGM_LO"
15499 },
15500 {
15501 "chips": ["gfx10"],
15502 "map": {"at": 45460, "to": "mm"},
15503 "name": "SPI_SHADER_USER_DATA_VS_25",
15504 "type_ref": "COMPUTE_PGM_LO"
15505 },
15506 {
15507 "chips": ["gfx10"],
15508 "map": {"at": 45464, "to": "mm"},
15509 "name": "SPI_SHADER_USER_DATA_VS_26",
15510 "type_ref": "COMPUTE_PGM_LO"
15511 },
15512 {
15513 "chips": ["gfx10"],
15514 "map": {"at": 45468, "to": "mm"},
15515 "name": "SPI_SHADER_USER_DATA_VS_27",
15516 "type_ref": "COMPUTE_PGM_LO"
15517 },
15518 {
15519 "chips": ["gfx10"],
15520 "map": {"at": 45472, "to": "mm"},
15521 "name": "SPI_SHADER_USER_DATA_VS_28",
15522 "type_ref": "COMPUTE_PGM_LO"
15523 },
15524 {
15525 "chips": ["gfx10"],
15526 "map": {"at": 45476, "to": "mm"},
15527 "name": "SPI_SHADER_USER_DATA_VS_29",
15528 "type_ref": "COMPUTE_PGM_LO"
15529 },
15530 {
15531 "chips": ["gfx10"],
15532 "map": {"at": 45372, "to": "mm"},
15533 "name": "SPI_SHADER_USER_DATA_VS_3",
15534 "type_ref": "COMPUTE_PGM_LO"
15535 },
15536 {
15537 "chips": ["gfx10"],
15538 "map": {"at": 45480, "to": "mm"},
15539 "name": "SPI_SHADER_USER_DATA_VS_30",
15540 "type_ref": "COMPUTE_PGM_LO"
15541 },
15542 {
15543 "chips": ["gfx10"],
15544 "map": {"at": 45484, "to": "mm"},
15545 "name": "SPI_SHADER_USER_DATA_VS_31",
15546 "type_ref": "COMPUTE_PGM_LO"
15547 },
15548 {
15549 "chips": ["gfx10"],
15550 "map": {"at": 45376, "to": "mm"},
15551 "name": "SPI_SHADER_USER_DATA_VS_4",
15552 "type_ref": "COMPUTE_PGM_LO"
15553 },
15554 {
15555 "chips": ["gfx10"],
15556 "map": {"at": 45380, "to": "mm"},
15557 "name": "SPI_SHADER_USER_DATA_VS_5",
15558 "type_ref": "COMPUTE_PGM_LO"
15559 },
15560 {
15561 "chips": ["gfx10"],
15562 "map": {"at": 45384, "to": "mm"},
15563 "name": "SPI_SHADER_USER_DATA_VS_6",
15564 "type_ref": "COMPUTE_PGM_LO"
15565 },
15566 {
15567 "chips": ["gfx10"],
15568 "map": {"at": 45388, "to": "mm"},
15569 "name": "SPI_SHADER_USER_DATA_VS_7",
15570 "type_ref": "COMPUTE_PGM_LO"
15571 },
15572 {
15573 "chips": ["gfx10"],
15574 "map": {"at": 45392, "to": "mm"},
15575 "name": "SPI_SHADER_USER_DATA_VS_8",
15576 "type_ref": "COMPUTE_PGM_LO"
15577 },
15578 {
15579 "chips": ["gfx10"],
15580 "map": {"at": 45396, "to": "mm"},
15581 "name": "SPI_SHADER_USER_DATA_VS_9",
15582 "type_ref": "COMPUTE_PGM_LO"
15583 },
15584 {
15585 "chips": ["gfx10"],
15586 "map": {"at": 165648, "to": "mm"},
15587 "name": "SPI_SHADER_Z_FORMAT",
15588 "type_ref": "SPI_SHADER_Z_FORMAT"
15589 },
15590 {
15591 "chips": ["gfx10"],
15592 "map": {"at": 37708, "to": "mm"},
15593 "name": "SPI_SLAVE_DEBUG_BUSY",
15594 "type_ref": "SPI_SLAVE_DEBUG_BUSY"
15595 },
15596 {
15597 "chips": ["gfx10"],
15598 "map": {"at": 37100, "to": "mm"},
15599 "name": "SPI_START_PHASE",
15600 "type_ref": "SPI_START_PHASE"
15601 },
15602 {
15603 "chips": ["gfx10"],
15604 "map": {"at": 37732, "to": "mm"},
15605 "name": "SPI_SX_EXPORT_BUFFER_SIZES",
15606 "type_ref": "SPI_SX_EXPORT_BUFFER_SIZES"
15607 },
15608 {
15609 "chips": ["gfx10"],
15610 "map": {"at": 37736, "to": "mm"},
15611 "name": "SPI_SX_SCOREBOARD_BUFFER_SIZES",
15612 "type_ref": "SPI_SX_SCOREBOARD_BUFFER_SIZES"
15613 },
15614 {
15615 "chips": ["gfx10"],
15616 "map": {"at": 165608, "to": "mm"},
15617 "name": "SPI_TMPRING_SIZE",
15618 "type_ref": "COMPUTE_TMPRING_SIZE"
15619 },
15620 {
15621 "chips": ["gfx10"],
15622 "map": {"at": 165572, "to": "mm"},
15623 "name": "SPI_VS_OUT_CONFIG",
15624 "type_ref": "SPI_VS_OUT_CONFIG"
15625 },
15626 {
15627 "chips": ["gfx10"],
15628 "map": {"at": 37172, "to": "mm"},
15629 "name": "SPI_WAVE_LIMIT_CNTL",
15630 "type_ref": "SPI_WAVE_LIMIT_CNTL"
15631 },
15632 {
15633 "chips": ["gfx10"],
15634 "map": {"at": 200972, "to": "mm"},
15635 "name": "SPI_WAVE_LIMIT_CNTL_REMAP",
15636 "type_ref": "SPI_CONFIG_CNTL_REMAP"
15637 },
15638 {
15639 "chips": ["gfx10"],
15640 "map": {"at": 37544, "to": "mm"},
15641 "name": "SPI_WF_LIFETIME_CNTL",
15642 "type_ref": "SPI_WF_LIFETIME_CNTL"
15643 },
15644 {
15645 "chips": ["gfx10"],
15646 "map": {"at": 37672, "to": "mm"},
15647 "name": "SPI_WF_LIFETIME_DEBUG",
15648 "type_ref": "SPI_WF_LIFETIME_DEBUG"
15649 },
15650 {
15651 "chips": ["gfx10"],
15652 "map": {"at": 37548, "to": "mm"},
15653 "name": "SPI_WF_LIFETIME_LIMIT_0",
15654 "type_ref": "SPI_WF_LIFETIME_LIMIT_0"
15655 },
15656 {
15657 "chips": ["gfx10"],
15658 "map": {"at": 37552, "to": "mm"},
15659 "name": "SPI_WF_LIFETIME_LIMIT_1",
15660 "type_ref": "SPI_WF_LIFETIME_LIMIT_0"
15661 },
15662 {
15663 "chips": ["gfx10"],
15664 "map": {"at": 37556, "to": "mm"},
15665 "name": "SPI_WF_LIFETIME_LIMIT_2",
15666 "type_ref": "SPI_WF_LIFETIME_LIMIT_0"
15667 },
15668 {
15669 "chips": ["gfx10"],
15670 "map": {"at": 37560, "to": "mm"},
15671 "name": "SPI_WF_LIFETIME_LIMIT_3",
15672 "type_ref": "SPI_WF_LIFETIME_LIMIT_0"
15673 },
15674 {
15675 "chips": ["gfx10"],
15676 "map": {"at": 37564, "to": "mm"},
15677 "name": "SPI_WF_LIFETIME_LIMIT_4",
15678 "type_ref": "SPI_WF_LIFETIME_LIMIT_0"
15679 },
15680 {
15681 "chips": ["gfx10"],
15682 "map": {"at": 37568, "to": "mm"},
15683 "name": "SPI_WF_LIFETIME_LIMIT_5",
15684 "type_ref": "SPI_WF_LIFETIME_LIMIT_0"
15685 },
15686 {
15687 "chips": ["gfx10"],
15688 "map": {"at": 37572, "to": "mm"},
15689 "name": "SPI_WF_LIFETIME_LIMIT_6",
15690 "type_ref": "SPI_WF_LIFETIME_LIMIT_0"
15691 },
15692 {
15693 "chips": ["gfx10"],
15694 "map": {"at": 37576, "to": "mm"},
15695 "name": "SPI_WF_LIFETIME_LIMIT_7",
15696 "type_ref": "SPI_WF_LIFETIME_LIMIT_0"
15697 },
15698 {
15699 "chips": ["gfx10"],
15700 "map": {"at": 37580, "to": "mm"},
15701 "name": "SPI_WF_LIFETIME_LIMIT_8",
15702 "type_ref": "SPI_WF_LIFETIME_LIMIT_0"
15703 },
15704 {
15705 "chips": ["gfx10"],
15706 "map": {"at": 37584, "to": "mm"},
15707 "name": "SPI_WF_LIFETIME_LIMIT_9",
15708 "type_ref": "SPI_WF_LIFETIME_LIMIT_0"
15709 },
15710 {
15711 "chips": ["gfx10"],
15712 "map": {"at": 37588, "to": "mm"},
15713 "name": "SPI_WF_LIFETIME_STATUS_0",
15714 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15715 },
15716 {
15717 "chips": ["gfx10"],
15718 "map": {"at": 37592, "to": "mm"},
15719 "name": "SPI_WF_LIFETIME_STATUS_1",
15720 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15721 },
15722 {
15723 "chips": ["gfx10"],
15724 "map": {"at": 37628, "to": "mm"},
15725 "name": "SPI_WF_LIFETIME_STATUS_10",
15726 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15727 },
15728 {
15729 "chips": ["gfx10"],
15730 "map": {"at": 37632, "to": "mm"},
15731 "name": "SPI_WF_LIFETIME_STATUS_11",
15732 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15733 },
15734 {
15735 "chips": ["gfx10"],
15736 "map": {"at": 37636, "to": "mm"},
15737 "name": "SPI_WF_LIFETIME_STATUS_12",
15738 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15739 },
15740 {
15741 "chips": ["gfx10"],
15742 "map": {"at": 37640, "to": "mm"},
15743 "name": "SPI_WF_LIFETIME_STATUS_13",
15744 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15745 },
15746 {
15747 "chips": ["gfx10"],
15748 "map": {"at": 37644, "to": "mm"},
15749 "name": "SPI_WF_LIFETIME_STATUS_14",
15750 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15751 },
15752 {
15753 "chips": ["gfx10"],
15754 "map": {"at": 37648, "to": "mm"},
15755 "name": "SPI_WF_LIFETIME_STATUS_15",
15756 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15757 },
15758 {
15759 "chips": ["gfx10"],
15760 "map": {"at": 37652, "to": "mm"},
15761 "name": "SPI_WF_LIFETIME_STATUS_16",
15762 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15763 },
15764 {
15765 "chips": ["gfx10"],
15766 "map": {"at": 37656, "to": "mm"},
15767 "name": "SPI_WF_LIFETIME_STATUS_17",
15768 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15769 },
15770 {
15771 "chips": ["gfx10"],
15772 "map": {"at": 37660, "to": "mm"},
15773 "name": "SPI_WF_LIFETIME_STATUS_18",
15774 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15775 },
15776 {
15777 "chips": ["gfx10"],
15778 "map": {"at": 37664, "to": "mm"},
15779 "name": "SPI_WF_LIFETIME_STATUS_19",
15780 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15781 },
15782 {
15783 "chips": ["gfx10"],
15784 "map": {"at": 37596, "to": "mm"},
15785 "name": "SPI_WF_LIFETIME_STATUS_2",
15786 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15787 },
15788 {
15789 "chips": ["gfx10"],
15790 "map": {"at": 37668, "to": "mm"},
15791 "name": "SPI_WF_LIFETIME_STATUS_20",
15792 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15793 },
15794 {
15795 "chips": ["gfx10"],
15796 "map": {"at": 37600, "to": "mm"},
15797 "name": "SPI_WF_LIFETIME_STATUS_3",
15798 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15799 },
15800 {
15801 "chips": ["gfx10"],
15802 "map": {"at": 37604, "to": "mm"},
15803 "name": "SPI_WF_LIFETIME_STATUS_4",
15804 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15805 },
15806 {
15807 "chips": ["gfx10"],
15808 "map": {"at": 37608, "to": "mm"},
15809 "name": "SPI_WF_LIFETIME_STATUS_5",
15810 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15811 },
15812 {
15813 "chips": ["gfx10"],
15814 "map": {"at": 37612, "to": "mm"},
15815 "name": "SPI_WF_LIFETIME_STATUS_6",
15816 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15817 },
15818 {
15819 "chips": ["gfx10"],
15820 "map": {"at": 37616, "to": "mm"},
15821 "name": "SPI_WF_LIFETIME_STATUS_7",
15822 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15823 },
15824 {
15825 "chips": ["gfx10"],
15826 "map": {"at": 37620, "to": "mm"},
15827 "name": "SPI_WF_LIFETIME_STATUS_8",
15828 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15829 },
15830 {
15831 "chips": ["gfx10"],
15832 "map": {"at": 37624, "to": "mm"},
15833 "name": "SPI_WF_LIFETIME_STATUS_9",
15834 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15835 },
15836 {
15837 "chips": ["gfx10"],
15838 "map": {"at": 199968, "to": "mm"},
15839 "name": "SQC_CACHES",
15840 "type_ref": "SQC_CACHES"
15841 },
15842 {
15843 "chips": ["gfx10"],
15844 "map": {"at": 199972, "to": "mm"},
15845 "name": "SQC_WRITEBACK",
15846 "type_ref": "SQC_WRITEBACK"
15847 },
15848 {
15849 "chips": ["gfx10"],
15850 "map": {"at": 214788, "to": "mm"},
15851 "name": "SQ_PERFCOUNTER0_HI",
15852 "type_ref": "CB_PERFCOUNTER0_HI"
15853 },
15854 {
15855 "chips": ["gfx10"],
15856 "map": {"at": 214784, "to": "mm"},
15857 "name": "SQ_PERFCOUNTER0_LO",
15858 "type_ref": "CB_PERFCOUNTER0_LO"
15859 },
15860 {
15861 "chips": ["gfx10"],
15862 "map": {"at": 222976, "to": "mm"},
15863 "name": "SQ_PERFCOUNTER0_SELECT",
15864 "type_ref": "SQ_PERFCOUNTER0_SELECT"
15865 },
15866 {
15867 "chips": ["gfx10"],
15868 "map": {"at": 214868, "to": "mm"},
15869 "name": "SQ_PERFCOUNTER10_HI",
15870 "type_ref": "CB_PERFCOUNTER0_HI"
15871 },
15872 {
15873 "chips": ["gfx10"],
15874 "map": {"at": 214864, "to": "mm"},
15875 "name": "SQ_PERFCOUNTER10_LO",
15876 "type_ref": "CB_PERFCOUNTER0_LO"
15877 },
15878 {
15879 "chips": ["gfx10"],
15880 "map": {"at": 223016, "to": "mm"},
15881 "name": "SQ_PERFCOUNTER10_SELECT",
15882 "type_ref": "SQ_PERFCOUNTER0_SELECT"
15883 },
15884 {
15885 "chips": ["gfx10"],
15886 "map": {"at": 214876, "to": "mm"},
15887 "name": "SQ_PERFCOUNTER11_HI",
15888 "type_ref": "CB_PERFCOUNTER0_HI"
15889 },
15890 {
15891 "chips": ["gfx10"],
15892 "map": {"at": 214872, "to": "mm"},
15893 "name": "SQ_PERFCOUNTER11_LO",
15894 "type_ref": "CB_PERFCOUNTER0_LO"
15895 },
15896 {
15897 "chips": ["gfx10"],
15898 "map": {"at": 223020, "to": "mm"},
15899 "name": "SQ_PERFCOUNTER11_SELECT",
15900 "type_ref": "SQ_PERFCOUNTER0_SELECT"
15901 },
15902 {
15903 "chips": ["gfx10"],
15904 "map": {"at": 214884, "to": "mm"},
15905 "name": "SQ_PERFCOUNTER12_HI",
15906 "type_ref": "CB_PERFCOUNTER0_HI"
15907 },
15908 {
15909 "chips": ["gfx10"],
15910 "map": {"at": 214880, "to": "mm"},
15911 "name": "SQ_PERFCOUNTER12_LO",
15912 "type_ref": "CB_PERFCOUNTER0_LO"
15913 },
15914 {
15915 "chips": ["gfx10"],
15916 "map": {"at": 223024, "to": "mm"},
15917 "name": "SQ_PERFCOUNTER12_SELECT",
15918 "type_ref": "SQ_PERFCOUNTER0_SELECT"
15919 },
15920 {
15921 "chips": ["gfx10"],
15922 "map": {"at": 214892, "to": "mm"},
15923 "name": "SQ_PERFCOUNTER13_HI",
15924 "type_ref": "CB_PERFCOUNTER0_HI"
15925 },
15926 {
15927 "chips": ["gfx10"],
15928 "map": {"at": 214888, "to": "mm"},
15929 "name": "SQ_PERFCOUNTER13_LO",
15930 "type_ref": "CB_PERFCOUNTER0_LO"
15931 },
15932 {
15933 "chips": ["gfx10"],
15934 "map": {"at": 223028, "to": "mm"},
15935 "name": "SQ_PERFCOUNTER13_SELECT",
15936 "type_ref": "SQ_PERFCOUNTER0_SELECT"
15937 },
15938 {
15939 "chips": ["gfx10"],
15940 "map": {"at": 214900, "to": "mm"},
15941 "name": "SQ_PERFCOUNTER14_HI",
15942 "type_ref": "CB_PERFCOUNTER0_HI"
15943 },
15944 {
15945 "chips": ["gfx10"],
15946 "map": {"at": 214896, "to": "mm"},
15947 "name": "SQ_PERFCOUNTER14_LO",
15948 "type_ref": "CB_PERFCOUNTER0_LO"
15949 },
15950 {
15951 "chips": ["gfx10"],
15952 "map": {"at": 223032, "to": "mm"},
15953 "name": "SQ_PERFCOUNTER14_SELECT",
15954 "type_ref": "SQ_PERFCOUNTER0_SELECT"
15955 },
15956 {
15957 "chips": ["gfx10"],
15958 "map": {"at": 214908, "to": "mm"},
15959 "name": "SQ_PERFCOUNTER15_HI",
15960 "type_ref": "CB_PERFCOUNTER0_HI"
15961 },
15962 {
15963 "chips": ["gfx10"],
15964 "map": {"at": 214904, "to": "mm"},
15965 "name": "SQ_PERFCOUNTER15_LO",
15966 "type_ref": "CB_PERFCOUNTER0_LO"
15967 },
15968 {
15969 "chips": ["gfx10"],
15970 "map": {"at": 223036, "to": "mm"},
15971 "name": "SQ_PERFCOUNTER15_SELECT",
15972 "type_ref": "SQ_PERFCOUNTER0_SELECT"
15973 },
15974 {
15975 "chips": ["gfx10"],
15976 "map": {"at": 214796, "to": "mm"},
15977 "name": "SQ_PERFCOUNTER1_HI",
15978 "type_ref": "CB_PERFCOUNTER0_HI"
15979 },
15980 {
15981 "chips": ["gfx10"],
15982 "map": {"at": 214792, "to": "mm"},
15983 "name": "SQ_PERFCOUNTER1_LO",
15984 "type_ref": "CB_PERFCOUNTER0_LO"
15985 },
15986 {
15987 "chips": ["gfx10"],
15988 "map": {"at": 222980, "to": "mm"},
15989 "name": "SQ_PERFCOUNTER1_SELECT",
15990 "type_ref": "SQ_PERFCOUNTER0_SELECT"
15991 },
15992 {
15993 "chips": ["gfx10"],
15994 "map": {"at": 214804, "to": "mm"},
15995 "name": "SQ_PERFCOUNTER2_HI",
15996 "type_ref": "CB_PERFCOUNTER0_HI"
15997 },
15998 {
15999 "chips": ["gfx10"],
16000 "map": {"at": 214800, "to": "mm"},
16001 "name": "SQ_PERFCOUNTER2_LO",
16002 "type_ref": "CB_PERFCOUNTER0_LO"
16003 },
16004 {
16005 "chips": ["gfx10"],
16006 "map": {"at": 222984, "to": "mm"},
16007 "name": "SQ_PERFCOUNTER2_SELECT",
16008 "type_ref": "SQ_PERFCOUNTER0_SELECT"
16009 },
16010 {
16011 "chips": ["gfx10"],
16012 "map": {"at": 214812, "to": "mm"},
16013 "name": "SQ_PERFCOUNTER3_HI",
16014 "type_ref": "CB_PERFCOUNTER0_HI"
16015 },
16016 {
16017 "chips": ["gfx10"],
16018 "map": {"at": 214808, "to": "mm"},
16019 "name": "SQ_PERFCOUNTER3_LO",
16020 "type_ref": "CB_PERFCOUNTER0_LO"
16021 },
16022 {
16023 "chips": ["gfx10"],
16024 "map": {"at": 222988, "to": "mm"},
16025 "name": "SQ_PERFCOUNTER3_SELECT",
16026 "type_ref": "SQ_PERFCOUNTER0_SELECT"
16027 },
16028 {
16029 "chips": ["gfx10"],
16030 "map": {"at": 214820, "to": "mm"},
16031 "name": "SQ_PERFCOUNTER4_HI",
16032 "type_ref": "CB_PERFCOUNTER0_HI"
16033 },
16034 {
16035 "chips": ["gfx10"],
16036 "map": {"at": 214816, "to": "mm"},
16037 "name": "SQ_PERFCOUNTER4_LO",
16038 "type_ref": "CB_PERFCOUNTER0_LO"
16039 },
16040 {
16041 "chips": ["gfx10"],
16042 "map": {"at": 222992, "to": "mm"},
16043 "name": "SQ_PERFCOUNTER4_SELECT",
16044 "type_ref": "SQ_PERFCOUNTER0_SELECT"
16045 },
16046 {
16047 "chips": ["gfx10"],
16048 "map": {"at": 214828, "to": "mm"},
16049 "name": "SQ_PERFCOUNTER5_HI",
16050 "type_ref": "CB_PERFCOUNTER0_HI"
16051 },
16052 {
16053 "chips": ["gfx10"],
16054 "map": {"at": 214824, "to": "mm"},
16055 "name": "SQ_PERFCOUNTER5_LO",
16056 "type_ref": "CB_PERFCOUNTER0_LO"
16057 },
16058 {
16059 "chips": ["gfx10"],
16060 "map": {"at": 222996, "to": "mm"},
16061 "name": "SQ_PERFCOUNTER5_SELECT",
16062 "type_ref": "SQ_PERFCOUNTER0_SELECT"
16063 },
16064 {
16065 "chips": ["gfx10"],
16066 "map": {"at": 214836, "to": "mm"},
16067 "name": "SQ_PERFCOUNTER6_HI",
16068 "type_ref": "CB_PERFCOUNTER0_HI"
16069 },
16070 {
16071 "chips": ["gfx10"],
16072 "map": {"at": 214832, "to": "mm"},
16073 "name": "SQ_PERFCOUNTER6_LO",
16074 "type_ref": "CB_PERFCOUNTER0_LO"
16075 },
16076 {
16077 "chips": ["gfx10"],
16078 "map": {"at": 223000, "to": "mm"},
16079 "name": "SQ_PERFCOUNTER6_SELECT",
16080 "type_ref": "SQ_PERFCOUNTER0_SELECT"
16081 },
16082 {
16083 "chips": ["gfx10"],
16084 "map": {"at": 214844, "to": "mm"},
16085 "name": "SQ_PERFCOUNTER7_HI",
16086 "type_ref": "CB_PERFCOUNTER0_HI"
16087 },
16088 {
16089 "chips": ["gfx10"],
16090 "map": {"at": 214840, "to": "mm"},
16091 "name": "SQ_PERFCOUNTER7_LO",
16092 "type_ref": "CB_PERFCOUNTER0_LO"
16093 },
16094 {
16095 "chips": ["gfx10"],
16096 "map": {"at": 223004, "to": "mm"},
16097 "name": "SQ_PERFCOUNTER7_SELECT",
16098 "type_ref": "SQ_PERFCOUNTER0_SELECT"
16099 },
16100 {
16101 "chips": ["gfx10"],
16102 "map": {"at": 214852, "to": "mm"},
16103 "name": "SQ_PERFCOUNTER8_HI",
16104 "type_ref": "CB_PERFCOUNTER0_HI"
16105 },
16106 {
16107 "chips": ["gfx10"],
16108 "map": {"at": 214848, "to": "mm"},
16109 "name": "SQ_PERFCOUNTER8_LO",
16110 "type_ref": "CB_PERFCOUNTER0_LO"
16111 },
16112 {
16113 "chips": ["gfx10"],
16114 "map": {"at": 223008, "to": "mm"},
16115 "name": "SQ_PERFCOUNTER8_SELECT",
16116 "type_ref": "SQ_PERFCOUNTER0_SELECT"
16117 },
16118 {
16119 "chips": ["gfx10"],
16120 "map": {"at": 214860, "to": "mm"},
16121 "name": "SQ_PERFCOUNTER9_HI",
16122 "type_ref": "CB_PERFCOUNTER0_HI"
16123 },
16124 {
16125 "chips": ["gfx10"],
16126 "map": {"at": 214856, "to": "mm"},
16127 "name": "SQ_PERFCOUNTER9_LO",
16128 "type_ref": "CB_PERFCOUNTER0_LO"
16129 },
16130 {
16131 "chips": ["gfx10"],
16132 "map": {"at": 223012, "to": "mm"},
16133 "name": "SQ_PERFCOUNTER9_SELECT",
16134 "type_ref": "SQ_PERFCOUNTER0_SELECT"
16135 },
16136 {
16137 "chips": ["gfx10"],
16138 "map": {"at": 223104, "to": "mm"},
16139 "name": "SQ_PERFCOUNTER_CTRL",
16140 "type_ref": "SQ_PERFCOUNTER_CTRL"
16141 },
16142 {
16143 "chips": ["gfx10"],
16144 "map": {"at": 223112, "to": "mm"},
16145 "name": "SQ_PERFCOUNTER_CTRL2",
16146 "type_ref": "SQ_PERFCOUNTER_CTRL2"
16147 },
16148 {
16149 "chips": ["gfx10"],
16150 "map": {"at": 199936, "to": "mm"},
16151 "name": "SQ_THREAD_TRACE_USERDATA_0",
16152 "type_ref": "COMPUTE_PGM_LO"
16153 },
16154 {
16155 "chips": ["gfx10"],
16156 "map": {"at": 199940, "to": "mm"},
16157 "name": "SQ_THREAD_TRACE_USERDATA_1",
16158 "type_ref": "COMPUTE_PGM_LO"
16159 },
16160 {
16161 "chips": ["gfx10"],
16162 "map": {"at": 199944, "to": "mm"},
16163 "name": "SQ_THREAD_TRACE_USERDATA_2",
16164 "type_ref": "COMPUTE_PGM_LO"
16165 },
16166 {
16167 "chips": ["gfx10"],
16168 "map": {"at": 199948, "to": "mm"},
16169 "name": "SQ_THREAD_TRACE_USERDATA_3",
16170 "type_ref": "COMPUTE_PGM_LO"
16171 },
16172 {
16173 "chips": ["gfx10"],
16174 "map": {"at": 199952, "to": "mm"},
16175 "name": "SQ_THREAD_TRACE_USERDATA_4",
16176 "type_ref": "COMPUTE_PGM_LO"
16177 },
16178 {
16179 "chips": ["gfx10"],
16180 "map": {"at": 199956, "to": "mm"},
16181 "name": "SQ_THREAD_TRACE_USERDATA_5",
16182 "type_ref": "COMPUTE_PGM_LO"
16183 },
16184 {
16185 "chips": ["gfx10"],
16186 "map": {"at": 199960, "to": "mm"},
16187 "name": "SQ_THREAD_TRACE_USERDATA_6",
16188 "type_ref": "COMPUTE_PGM_LO"
16189 },
16190 {
16191 "chips": ["gfx10"],
16192 "map": {"at": 199964, "to": "mm"},
16193 "name": "SQ_THREAD_TRACE_USERDATA_7",
16194 "type_ref": "COMPUTE_PGM_LO"
16195 },
16196 {
16197 "chips": ["gfx10"],
16198 "map": {"at": 165724, "to": "mm"},
16199 "name": "SX_BLEND_OPT_CONTROL",
16200 "type_ref": "SX_BLEND_OPT_CONTROL"
16201 },
16202 {
16203 "chips": ["gfx10"],
16204 "map": {"at": 165720, "to": "mm"},
16205 "name": "SX_BLEND_OPT_EPSILON",
16206 "type_ref": "SX_BLEND_OPT_EPSILON"
16207 },
16208 {
16209 "chips": ["gfx10"],
16210 "map": {"at": 36960, "to": "mm"},
16211 "name": "SX_DEBUG_1",
16212 "type_ref": "SX_DEBUG_1"
16213 },
16214 {
16215 "chips": ["gfx10"],
16216 "map": {"at": 36944, "to": "mm"},
16217 "name": "SX_DEBUG_BUSY",
16218 "type_ref": "SX_DEBUG_BUSY"
16219 },
16220 {
16221 "chips": ["gfx10"],
16222 "map": {"at": 36948, "to": "mm"},
16223 "name": "SX_DEBUG_BUSY_2",
16224 "type_ref": "SX_DEBUG_BUSY_2"
16225 },
16226 {
16227 "chips": ["gfx10"],
16228 "map": {"at": 36952, "to": "mm"},
16229 "name": "SX_DEBUG_BUSY_3",
16230 "type_ref": "SX_DEBUG_BUSY_3"
16231 },
16232 {
16233 "chips": ["gfx10"],
16234 "map": {"at": 36956, "to": "mm"},
16235 "name": "SX_DEBUG_BUSY_4",
16236 "type_ref": "SX_DEBUG_BUSY_4"
16237 },
16238 {
16239 "chips": ["gfx10"],
16240 "map": {"at": 36964, "to": "mm"},
16241 "name": "SX_DEBUG_BUSY_5",
16242 "type_ref": "SX_DEBUG_BUSY_5"
16243 },
16244 {
16245 "chips": ["gfx10"],
16246 "map": {"at": 36968, "to": "mm"},
16247 "name": "SX_DEBUG_BUSY_6",
16248 "type_ref": "SX_DEBUG_BUSY_6"
16249 },
16250 {
16251 "chips": ["gfx10"],
16252 "map": {"at": 36972, "to": "mm"},
16253 "name": "SX_DEBUG_BUSY_7",
16254 "type_ref": "SX_DEBUG_BUSY_7"
16255 },
16256 {
16257 "chips": ["gfx10"],
16258 "map": {"at": 36976, "to": "mm"},
16259 "name": "SX_DEBUG_BUSY_8",
16260 "type_ref": "SX_DEBUG_BUSY_8"
16261 },
16262 {
16263 "chips": ["gfx10"],
16264 "map": {"at": 36980, "to": "mm"},
16265 "name": "SX_DEBUG_BUSY_9",
16266 "type_ref": "SX_DEBUG_BUSY_9"
16267 },
16268 {
16269 "chips": ["gfx10"],
16270 "map": {"at": 165728, "to": "mm"},
16271 "name": "SX_MRT0_BLEND_OPT",
16272 "type_ref": "SX_MRT0_BLEND_OPT"
16273 },
16274 {
16275 "chips": ["gfx10"],
16276 "map": {"at": 165732, "to": "mm"},
16277 "name": "SX_MRT1_BLEND_OPT",
16278 "type_ref": "SX_MRT0_BLEND_OPT"
16279 },
16280 {
16281 "chips": ["gfx10"],
16282 "map": {"at": 165736, "to": "mm"},
16283 "name": "SX_MRT2_BLEND_OPT",
16284 "type_ref": "SX_MRT0_BLEND_OPT"
16285 },
16286 {
16287 "chips": ["gfx10"],
16288 "map": {"at": 165740, "to": "mm"},
16289 "name": "SX_MRT3_BLEND_OPT",
16290 "type_ref": "SX_MRT0_BLEND_OPT"
16291 },
16292 {
16293 "chips": ["gfx10"],
16294 "map": {"at": 165744, "to": "mm"},
16295 "name": "SX_MRT4_BLEND_OPT",
16296 "type_ref": "SX_MRT0_BLEND_OPT"
16297 },
16298 {
16299 "chips": ["gfx10"],
16300 "map": {"at": 165748, "to": "mm"},
16301 "name": "SX_MRT5_BLEND_OPT",
16302 "type_ref": "SX_MRT0_BLEND_OPT"
16303 },
16304 {
16305 "chips": ["gfx10"],
16306 "map": {"at": 165752, "to": "mm"},
16307 "name": "SX_MRT6_BLEND_OPT",
16308 "type_ref": "SX_MRT0_BLEND_OPT"
16309 },
16310 {
16311 "chips": ["gfx10"],
16312 "map": {"at": 165756, "to": "mm"},
16313 "name": "SX_MRT7_BLEND_OPT",
16314 "type_ref": "SX_MRT0_BLEND_OPT"
16315 },
16316 {
16317 "chips": ["gfx10"],
16318 "map": {"at": 215300, "overlap": true, "to": "mm"},
16319 "name": "SX_PERFCOUNTER0_HI",
16320 "type_ref": "CB_PERFCOUNTER0_HI"
16321 },
16322 {
16323 "chips": ["gfx10"],
16324 "map": {"at": 215296, "overlap": true, "to": "mm"},
16325 "name": "SX_PERFCOUNTER0_LO",
16326 "type_ref": "CB_PERFCOUNTER0_LO"
16327 },
16328 {
16329 "chips": ["gfx10"],
16330 "map": {"at": 223488, "to": "mm"},
16331 "name": "SX_PERFCOUNTER0_SELECT",
16332 "type_ref": "SX_PERFCOUNTER0_SELECT"
16333 },
16334 {
16335 "chips": ["gfx10"],
16336 "map": {"at": 223504, "to": "mm"},
16337 "name": "SX_PERFCOUNTER0_SELECT1",
16338 "type_ref": "SX_PERFCOUNTER0_SELECT1"
16339 },
16340 {
16341 "chips": ["gfx10"],
16342 "map": {"at": 215308, "overlap": true, "to": "mm"},
16343 "name": "SX_PERFCOUNTER1_HI",
16344 "type_ref": "CB_PERFCOUNTER0_HI"
16345 },
16346 {
16347 "chips": ["gfx10"],
16348 "map": {"at": 215304, "overlap": true, "to": "mm"},
16349 "name": "SX_PERFCOUNTER1_LO",
16350 "type_ref": "CB_PERFCOUNTER0_LO"
16351 },
16352 {
16353 "chips": ["gfx10"],
16354 "map": {"at": 223492, "to": "mm"},
16355 "name": "SX_PERFCOUNTER1_SELECT",
16356 "type_ref": "SX_PERFCOUNTER0_SELECT"
16357 },
16358 {
16359 "chips": ["gfx10"],
16360 "map": {"at": 223508, "to": "mm"},
16361 "name": "SX_PERFCOUNTER1_SELECT1",
16362 "type_ref": "SX_PERFCOUNTER0_SELECT1"
16363 },
16364 {
16365 "chips": ["gfx10"],
16366 "map": {"at": 215316, "overlap": true, "to": "mm"},
16367 "name": "SX_PERFCOUNTER2_HI",
16368 "type_ref": "CB_PERFCOUNTER0_HI"
16369 },
16370 {
16371 "chips": ["gfx10"],
16372 "map": {"at": 215312, "overlap": true, "to": "mm"},
16373 "name": "SX_PERFCOUNTER2_LO",
16374 "type_ref": "CB_PERFCOUNTER0_LO"
16375 },
16376 {
16377 "chips": ["gfx10"],
16378 "map": {"at": 223496, "to": "mm"},
16379 "name": "SX_PERFCOUNTER2_SELECT",
16380 "type_ref": "SX_PERFCOUNTER0_SELECT"
16381 },
16382 {
16383 "chips": ["gfx10"],
16384 "map": {"at": 215324, "overlap": true, "to": "mm"},
16385 "name": "SX_PERFCOUNTER3_HI",
16386 "type_ref": "CB_PERFCOUNTER0_HI"
16387 },
16388 {
16389 "chips": ["gfx10"],
16390 "map": {"at": 215320, "overlap": true, "to": "mm"},
16391 "name": "SX_PERFCOUNTER3_LO",
16392 "type_ref": "CB_PERFCOUNTER0_LO"
16393 },
16394 {
16395 "chips": ["gfx10"],
16396 "map": {"at": 223500, "to": "mm"},
16397 "name": "SX_PERFCOUNTER3_SELECT",
16398 "type_ref": "SX_PERFCOUNTER0_SELECT"
16399 },
16400 {
16401 "chips": ["gfx10"],
16402 "map": {"at": 165712, "to": "mm"},
16403 "name": "SX_PS_DOWNCONVERT_CONTROL_GFX103",
16404 "type_ref": "SX_PS_DOWNCONVERT_CONTROL"
16405 },
16406 {
16407 "chips": ["gfx10"],
16408 "map": {"at": 165716, "to": "mm"},
16409 "name": "SX_PS_DOWNCONVERT",
16410 "type_ref": "SX_PS_DOWNCONVERT"
16411 },
16412 {
16413 "chips": ["gfx10"],
16414 "map": {"at": 163968, "to": "mm"},
16415 "name": "TA_BC_BASE_ADDR",
16416 "type_ref": "RLC_CSIB_ADDR_LO"
16417 },
16418 {
16419 "chips": ["gfx10"],
16420 "map": {"at": 163972, "to": "mm"},
16421 "name": "TA_BC_BASE_ADDR_HI",
16422 "type_ref": "TA_BC_BASE_ADDR_HI"
16423 },
16424 {
16425 "chips": ["gfx10"],
16426 "map": {"at": 200192, "to": "mm"},
16427 "name": "TA_CS_BC_BASE_ADDR",
16428 "type_ref": "RLC_CSIB_ADDR_LO"
16429 },
16430 {
16431 "chips": ["gfx10"],
16432 "map": {"at": 200196, "to": "mm"},
16433 "name": "TA_CS_BC_BASE_ADDR_HI",
16434 "type_ref": "TA_BC_BASE_ADDR_HI"
16435 },
16436 {
16437 "chips": ["gfx10"],
16438 "map": {"at": 215812, "to": "mm"},
16439 "name": "TA_PERFCOUNTER0_HI",
16440 "type_ref": "CB_PERFCOUNTER0_HI"
16441 },
16442 {
16443 "chips": ["gfx10"],
16444 "map": {"at": 215808, "to": "mm"},
16445 "name": "TA_PERFCOUNTER0_LO",
16446 "type_ref": "CB_PERFCOUNTER0_LO"
16447 },
16448 {
16449 "chips": ["gfx10"],
16450 "map": {"at": 224000, "to": "mm"},
16451 "name": "TA_PERFCOUNTER0_SELECT",
16452 "type_ref": "TA_PERFCOUNTER0_SELECT"
16453 },
16454 {
16455 "chips": ["gfx10"],
16456 "map": {"at": 224004, "to": "mm"},
16457 "name": "TA_PERFCOUNTER0_SELECT1",
16458 "type_ref": "TA_PERFCOUNTER0_SELECT1"
16459 },
16460 {
16461 "chips": ["gfx10"],
16462 "map": {"at": 215820, "to": "mm"},
16463 "name": "TA_PERFCOUNTER1_HI",
16464 "type_ref": "CB_PERFCOUNTER0_HI"
16465 },
16466 {
16467 "chips": ["gfx10"],
16468 "map": {"at": 215816, "to": "mm"},
16469 "name": "TA_PERFCOUNTER1_LO",
16470 "type_ref": "CB_PERFCOUNTER0_LO"
16471 },
16472 {
16473 "chips": ["gfx10"],
16474 "map": {"at": 224008, "to": "mm"},
16475 "name": "TA_PERFCOUNTER1_SELECT",
16476 "type_ref": "TA_PERFCOUNTER1_SELECT"
16477 },
16478 {
16479 "chips": ["gfx10"],
16480 "map": {"at": 216324, "to": "mm"},
16481 "name": "TCP_PERFCOUNTER0_HI",
16482 "type_ref": "CB_PERFCOUNTER0_HI"
16483 },
16484 {
16485 "chips": ["gfx10"],
16486 "map": {"at": 216320, "to": "mm"},
16487 "name": "TCP_PERFCOUNTER0_LO",
16488 "type_ref": "CB_PERFCOUNTER0_LO"
16489 },
16490 {
16491 "chips": ["gfx10"],
16492 "map": {"at": 224512, "to": "mm"},
16493 "name": "TCP_PERFCOUNTER0_SELECT",
16494 "type_ref": "TCP_PERFCOUNTER0_SELECT"
16495 },
16496 {
16497 "chips": ["gfx10"],
16498 "map": {"at": 224516, "to": "mm"},
16499 "name": "TCP_PERFCOUNTER0_SELECT1",
16500 "type_ref": "TCP_PERFCOUNTER0_SELECT1"
16501 },
16502 {
16503 "chips": ["gfx10"],
16504 "map": {"at": 216332, "to": "mm"},
16505 "name": "TCP_PERFCOUNTER1_HI",
16506 "type_ref": "CB_PERFCOUNTER0_HI"
16507 },
16508 {
16509 "chips": ["gfx10"],
16510 "map": {"at": 216328, "to": "mm"},
16511 "name": "TCP_PERFCOUNTER1_LO",
16512 "type_ref": "CB_PERFCOUNTER0_LO"
16513 },
16514 {
16515 "chips": ["gfx10"],
16516 "map": {"at": 224520, "to": "mm"},
16517 "name": "TCP_PERFCOUNTER1_SELECT",
16518 "type_ref": "TCP_PERFCOUNTER0_SELECT"
16519 },
16520 {
16521 "chips": ["gfx10"],
16522 "map": {"at": 224524, "to": "mm"},
16523 "name": "TCP_PERFCOUNTER1_SELECT1",
16524 "type_ref": "TCP_PERFCOUNTER0_SELECT1"
16525 },
16526 {
16527 "chips": ["gfx10"],
16528 "map": {"at": 216340, "to": "mm"},
16529 "name": "TCP_PERFCOUNTER2_HI",
16530 "type_ref": "CB_PERFCOUNTER0_HI"
16531 },
16532 {
16533 "chips": ["gfx10"],
16534 "map": {"at": 216336, "to": "mm"},
16535 "name": "TCP_PERFCOUNTER2_LO",
16536 "type_ref": "CB_PERFCOUNTER0_LO"
16537 },
16538 {
16539 "chips": ["gfx10"],
16540 "map": {"at": 224528, "to": "mm"},
16541 "name": "TCP_PERFCOUNTER2_SELECT",
16542 "type_ref": "TCP_PERFCOUNTER2_SELECT"
16543 },
16544 {
16545 "chips": ["gfx10"],
16546 "map": {"at": 216348, "to": "mm"},
16547 "name": "TCP_PERFCOUNTER3_HI",
16548 "type_ref": "CB_PERFCOUNTER0_HI"
16549 },
16550 {
16551 "chips": ["gfx10"],
16552 "map": {"at": 216344, "to": "mm"},
16553 "name": "TCP_PERFCOUNTER3_LO",
16554 "type_ref": "CB_PERFCOUNTER0_LO"
16555 },
16556 {
16557 "chips": ["gfx10"],
16558 "map": {"at": 224532, "to": "mm"},
16559 "name": "TCP_PERFCOUNTER3_SELECT",
16560 "type_ref": "TCP_PERFCOUNTER2_SELECT"
16561 },
16562 {
16563 "chips": ["gfx10"],
16564 "map": {"at": 216068, "to": "mm"},
16565 "name": "TD_PERFCOUNTER0_HI",
16566 "type_ref": "CB_PERFCOUNTER0_HI"
16567 },
16568 {
16569 "chips": ["gfx10"],
16570 "map": {"at": 216064, "to": "mm"},
16571 "name": "TD_PERFCOUNTER0_LO",
16572 "type_ref": "CB_PERFCOUNTER0_LO"
16573 },
16574 {
16575 "chips": ["gfx10"],
16576 "map": {"at": 224256, "to": "mm"},
16577 "name": "TD_PERFCOUNTER0_SELECT",
16578 "type_ref": "TD_PERFCOUNTER0_SELECT"
16579 },
16580 {
16581 "chips": ["gfx10"],
16582 "map": {"at": 224260, "to": "mm"},
16583 "name": "TD_PERFCOUNTER0_SELECT1",
16584 "type_ref": "TD_PERFCOUNTER0_SELECT1"
16585 },
16586 {
16587 "chips": ["gfx10"],
16588 "map": {"at": 216076, "to": "mm"},
16589 "name": "TD_PERFCOUNTER1_HI",
16590 "type_ref": "CB_PERFCOUNTER0_HI"
16591 },
16592 {
16593 "chips": ["gfx10"],
16594 "map": {"at": 216072, "to": "mm"},
16595 "name": "TD_PERFCOUNTER1_LO",
16596 "type_ref": "CB_PERFCOUNTER0_LO"
16597 },
16598 {
16599 "chips": ["gfx10"],
16600 "map": {"at": 224264, "to": "mm"},
16601 "name": "TD_PERFCOUNTER1_SELECT",
16602 "type_ref": "TD_PERFCOUNTER1_SELECT"
16603 },
16604 {
16605 "chips": ["gfx10"],
16606 "map": {"at": 218228, "to": "mm"},
16607 "name": "UTCL1_PERFCOUNTER0_HI",
16608 "type_ref": "CB_PERFCOUNTER0_HI"
16609 },
16610 {
16611 "chips": ["gfx10"],
16612 "map": {"at": 218224, "to": "mm"},
16613 "name": "UTCL1_PERFCOUNTER0_LO",
16614 "type_ref": "CB_PERFCOUNTER0_LO"
16615 },
16616 {
16617 "chips": ["gfx10"],
16618 "map": {"at": 226700, "to": "mm"},
16619 "name": "UTCL1_PERFCOUNTER0_SELECT",
16620 "type_ref": "UTCL1_PERFCOUNTER0_SELECT"
16621 },
16622 {
16623 "chips": ["gfx10"],
16624 "map": {"at": 218236, "to": "mm"},
16625 "name": "UTCL1_PERFCOUNTER1_HI",
16626 "type_ref": "CB_PERFCOUNTER0_HI"
16627 },
16628 {
16629 "chips": ["gfx10"],
16630 "map": {"at": 218232, "to": "mm"},
16631 "name": "UTCL1_PERFCOUNTER1_LO",
16632 "type_ref": "CB_PERFCOUNTER0_LO"
16633 },
16634 {
16635 "chips": ["gfx10"],
16636 "map": {"at": 226704, "to": "mm"},
16637 "name": "UTCL1_PERFCOUNTER1_SELECT",
16638 "type_ref": "UTCL1_PERFCOUNTER0_SELECT"
16639 },
16640 {
16641 "chips": ["gfx10"],
16642 "map": {"at": 166772, "to": "mm"},
16643 "name": "VGT_DISPATCH_DRAW_INDEX",
16644 "type_ref": "VGT_DISPATCH_DRAW_INDEX"
16645 },
16646 {
16647 "chips": ["gfx10"],
16648 "map": {"at": 165864, "to": "mm"},
16649 "name": "VGT_DMA_BASE",
16650 "type_ref": "CP_HQD_EOP_BASE_ADDR"
16651 },
16652 {
16653 "chips": ["gfx10"],
16654 "map": {"at": 165860, "to": "mm"},
16655 "name": "VGT_DMA_BASE_HI",
16656 "type_ref": "VGT_DMA_BASE_HI"
16657 },
16658 {
16659 "chips": ["gfx10"],
16660 "map": {"at": 166812, "to": "mm"},
16661 "name": "VGT_DMA_EVENT_INITIATOR",
16662 "type_ref": "VGT_EVENT_INITIATOR"
16663 },
16664 {
16665 "chips": ["gfx10"],
16666 "map": {"at": 166524, "to": "mm"},
16667 "name": "VGT_DMA_INDEX_TYPE",
16668 "type_ref": "VGT_DMA_INDEX_TYPE"
16669 },
16670 {
16671 "chips": ["gfx10"],
16672 "map": {"at": 166520, "to": "mm"},
16673 "name": "VGT_DMA_MAX_SIZE",
16674 "type_ref": "VGT_DMA_MAX_SIZE"
16675 },
16676 {
16677 "chips": ["gfx10"],
16678 "map": {"at": 166536, "to": "mm"},
16679 "name": "VGT_DMA_NUM_INSTANCES",
16680 "type_ref": "VGT_DMA_NUM_INSTANCES"
16681 },
16682 {
16683 "chips": ["gfx10"],
16684 "map": {"at": 166516, "to": "mm"},
16685 "name": "VGT_DMA_SIZE",
16686 "type_ref": "VGT_DMA_SIZE"
16687 },
16688 {
16689 "chips": ["gfx10"],
16690 "map": {"at": 165872, "to": "mm"},
16691 "name": "VGT_DRAW_INITIATOR",
16692 "type_ref": "VGT_DRAW_INITIATOR"
16693 },
16694 {
16695 "chips": ["gfx10"],
16696 "map": {"at": 166552, "to": "mm"},
16697 "name": "VGT_DRAW_PAYLOAD_CNTL",
16698 "type_ref": "VGT_DRAW_PAYLOAD_CNTL"
16699 },
16700 {
16701 "chips": ["gfx10"],
16702 "map": {"at": 166480, "to": "mm"},
16703 "name": "VGT_ENHANCE",
16704 "type_ref": "IA_ENHANCE"
16705 },
16706 {
16707 "chips": ["gfx10"],
16708 "map": {"at": 166572, "to": "mm"},
16709 "name": "VGT_ESGS_RING_ITEMSIZE",
16710 "type_ref": "VGT_ESGS_RING_ITEMSIZE"
16711 },
16712 {
16713 "chips": ["gfx10"],
16714 "map": {"at": 198912, "to": "mm"},
16715 "name": "VGT_ESGS_RING_SIZE_UMD",
16716 "type_ref": "VGT_ESGS_RING_SIZE"
16717 },
16718 {
16719 "chips": ["gfx10"],
16720 "map": {"at": 166488, "to": "mm"},
16721 "name": "VGT_ES_PER_GS",
16722 "type_ref": "VGT_ES_PER_GS"
16723 },
16724 {
16725 "chips": ["gfx10"],
16726 "map": {"at": 165880, "to": "mm"},
16727 "name": "VGT_EVENT_ADDRESS_REG",
16728 "type_ref": "VGT_EVENT_ADDRESS_REG"
16729 },
16730 {
16731 "chips": ["gfx10"],
16732 "map": {"at": 166544, "to": "mm"},
16733 "name": "VGT_EVENT_INITIATOR",
16734 "type_ref": "VGT_EVENT_INITIATOR"
16735 },
16736 {
16737 "chips": ["gfx10"],
16738 "map": {"at": 166576, "to": "mm"},
16739 "name": "VGT_GSVS_RING_ITEMSIZE",
16740 "type_ref": "VGT_ESGS_RING_ITEMSIZE"
16741 },
16742 {
16743 "chips": ["gfx10"],
16744 "map": {"at": 166496, "to": "mm"},
16745 "name": "VGT_GSVS_RING_OFFSET_1",
16746 "type_ref": "VGT_GSVS_RING_OFFSET_1"
16747 },
16748 {
16749 "chips": ["gfx10"],
16750 "map": {"at": 166500, "to": "mm"},
16751 "name": "VGT_GSVS_RING_OFFSET_2",
16752 "type_ref": "VGT_GSVS_RING_OFFSET_1"
16753 },
16754 {
16755 "chips": ["gfx10"],
16756 "map": {"at": 166504, "to": "mm"},
16757 "name": "VGT_GSVS_RING_OFFSET_3",
16758 "type_ref": "VGT_GSVS_RING_OFFSET_1"
16759 },
16760 {
16761 "chips": ["gfx10"],
16762 "map": {"at": 198916, "to": "mm"},
16763 "name": "VGT_GSVS_RING_SIZE_UMD",
16764 "type_ref": "VGT_ESGS_RING_SIZE"
16765 },
16766 {
16767 "chips": ["gfx10"],
16768 "map": {"at": 166800, "to": "mm"},
16769 "name": "VGT_GS_INSTANCE_CNT",
16770 "type_ref": "VGT_GS_INSTANCE_CNT"
16771 },
16772 {
16773 "chips": ["gfx10"],
16774 "map": {"at": 166712, "to": "mm"},
16775 "name": "VGT_GS_MAX_VERT_OUT",
16776 "type_ref": "VGT_GS_MAX_VERT_OUT"
16777 },
16778 {
16779 "chips": ["gfx10"],
16780 "map": {"at": 166464, "to": "mm"},
16781 "name": "VGT_GS_MODE",
16782 "type_ref": "VGT_GS_MODE"
16783 },
16784 {
16785 "chips": ["gfx10"],
16786 "map": {"at": 166468, "to": "mm"},
16787 "name": "VGT_GS_ONCHIP_CNTL",
16788 "type_ref": "VGT_GS_ONCHIP_CNTL"
16789 },
16790 {
16791 "chips": ["gfx10"],
16792 "map": {"at": 166508, "to": "mm"},
16793 "name": "VGT_GS_OUT_PRIM_TYPE",
16794 "type_ref": "VGT_GS_OUT_PRIM_TYPE"
16795 },
16796 {
16797 "chips": ["gfx10"],
16798 "map": {"at": 166484, "to": "mm"},
16799 "name": "VGT_GS_PER_ES",
16800 "type_ref": "VGT_GS_PER_ES"
16801 },
16802 {
16803 "chips": ["gfx10"],
16804 "map": {"at": 166492, "to": "mm"},
16805 "name": "VGT_GS_PER_VS",
16806 "type_ref": "VGT_GS_PER_VS"
16807 },
16808 {
16809 "chips": ["gfx10"],
16810 "map": {"at": 166748, "to": "mm"},
16811 "name": "VGT_GS_VERT_ITEMSIZE",
16812 "type_ref": "VGT_ESGS_RING_ITEMSIZE"
16813 },
16814 {
16815 "chips": ["gfx10"],
16816 "map": {"at": 166752, "to": "mm"},
16817 "name": "VGT_GS_VERT_ITEMSIZE_1",
16818 "type_ref": "VGT_ESGS_RING_ITEMSIZE"
16819 },
16820 {
16821 "chips": ["gfx10"],
16822 "map": {"at": 166756, "to": "mm"},
16823 "name": "VGT_GS_VERT_ITEMSIZE_2",
16824 "type_ref": "VGT_ESGS_RING_ITEMSIZE"
16825 },
16826 {
16827 "chips": ["gfx10"],
16828 "map": {"at": 166760, "to": "mm"},
16829 "name": "VGT_GS_VERT_ITEMSIZE_3",
16830 "type_ref": "VGT_ESGS_RING_ITEMSIZE"
16831 },
16832 {
16833 "chips": ["gfx10"],
16834 "map": {"at": 166424, "to": "mm"},
16835 "name": "VGT_HOS_MAX_TESS_LEVEL",
16836 "type_ref": "VGT_HOS_MAX_TESS_LEVEL"
16837 },
16838 {
16839 "chips": ["gfx10"],
16840 "map": {"at": 166428, "to": "mm"},
16841 "name": "VGT_HOS_MIN_TESS_LEVEL",
16842 "type_ref": "VGT_HOS_MIN_TESS_LEVEL"
16843 },
16844 {
16845 "chips": ["gfx10"],
16846 "map": {"at": 198972, "to": "mm"},
16847 "name": "VGT_HS_OFFCHIP_PARAM_UMD",
16848 "type_ref": "VGT_HS_OFFCHIP_PARAM_UMD"
16849 },
16850 {
16851 "chips": ["gfx10"],
16852 "map": {"at": 165876, "to": "mm"},
16853 "name": "VGT_IMMED_DATA",
16854 "type_ref": "COMPUTE_PGM_LO"
16855 },
16856 {
16857 "chips": ["gfx10"],
16858 "map": {"at": 198924, "to": "mm"},
16859 "name": "VGT_INDEX_TYPE",
16860 "type_ref": "CP_INDEX_TYPE"
16861 },
16862 {
16863 "chips": ["gfx10"],
16864 "map": {"at": 164872, "to": "mm"},
16865 "name": "VGT_INDX_OFFSET",
16866 "type_ref": "GE_INDX_OFFSET"
16867 },
16868 {
16869 "chips": ["gfx10"],
16870 "map": {"at": 199016, "to": "mm"},
16871 "name": "VGT_INSTANCE_BASE_ID",
16872 "type_ref": "VGT_INSTANCE_BASE_ID"
16873 },
16874 {
16875 "chips": ["gfx10"],
16876 "map": {"at": 166560, "to": "mm"},
16877 "name": "VGT_INSTANCE_STEP_RATE_0",
16878 "type_ref": "VGT_INSTANCE_STEP_RATE_0"
16879 },
16880 {
16881 "chips": ["gfx10"],
16882 "map": {"at": 166564, "to": "mm"},
16883 "name": "VGT_INSTANCE_STEP_RATE_1",
16884 "type_ref": "VGT_INSTANCE_STEP_RATE_0"
16885 },
16886 {
16887 "chips": ["gfx10"],
16888 "map": {"at": 166744, "to": "mm"},
16889 "name": "VGT_LS_HS_CONFIG",
16890 "type_ref": "VGT_LS_HS_CONFIG"
16891 },
16892 {
16893 "chips": ["gfx10"],
16894 "map": {"at": 164864, "to": "mm"},
16895 "name": "VGT_MAX_VTX_INDX",
16896 "type_ref": "GE_MAX_VTX_INDX"
16897 },
16898 {
16899 "chips": ["gfx10"],
16900 "map": {"at": 164868, "to": "mm"},
16901 "name": "VGT_MIN_VTX_INDX",
16902 "type_ref": "GE_MIN_VTX_INDX"
16903 },
16904 {
16905 "chips": ["gfx10"],
16906 "map": {"at": 166548, "to": "mm"},
16907 "name": "VGT_MULTI_PRIM_IB_RESET_EN",
16908 "type_ref": "GE_MULTI_PRIM_IB_RESET_EN"
16909 },
16910 {
16911 "chips": ["gfx10"],
16912 "map": {"at": 164876, "to": "mm"},
16913 "name": "VGT_MULTI_PRIM_IB_RESET_INDX",
16914 "type_ref": "VGT_MULTI_PRIM_IB_RESET_INDX"
16915 },
16916 {
16917 "chips": ["gfx10"],
16918 "map": {"at": 198960, "to": "mm"},
16919 "name": "VGT_NUM_INDICES",
16920 "type_ref": "VGT_DMA_SIZE"
16921 },
16922 {
16923 "chips": ["gfx10"],
16924 "map": {"at": 198964, "to": "mm"},
16925 "name": "VGT_NUM_INSTANCES",
16926 "type_ref": "VGT_DMA_NUM_INSTANCES"
16927 },
16928 {
16929 "chips": ["gfx10"],
16930 "map": {"at": 166416, "to": "mm"},
16931 "name": "VGT_OUTPUT_PATH_CNTL",
16932 "type_ref": "VGT_OUTPUT_PATH_CNTL"
16933 },
16934 {
16935 "chips": ["gfx10"],
16936 "map": {"at": 167004, "to": "mm"},
16937 "name": "VGT_OUT_DEALLOC_CNTL",
16938 "type_ref": "VGT_OUT_DEALLOC_CNTL"
16939 },
16940 {
16941 "chips": ["gfx10"],
16942 "map": {"at": 166532, "to": "mm"},
16943 "name": "VGT_PRIMITIVEID_EN",
16944 "type_ref": "VGT_PRIMITIVEID_EN"
16945 },
16946 {
16947 "chips": ["gfx10"],
16948 "map": {"at": 166540, "to": "mm"},
16949 "name": "VGT_PRIMITIVEID_RESET",
16950 "type_ref": "VGT_PRIMITIVEID_RESET"
16951 },
16952 {
16953 "chips": ["gfx10"],
16954 "map": {"at": 198920, "to": "mm"},
16955 "name": "VGT_PRIMITIVE_TYPE",
16956 "type_ref": "VGT_PRIMITIVE_TYPE"
16957 },
16958 {
16959 "chips": ["gfx10"],
16960 "map": {"at": 166580, "to": "mm"},
16961 "name": "VGT_REUSE_OFF",
16962 "type_ref": "VGT_REUSE_OFF"
16963 },
16964 {
16965 "chips": ["gfx10"],
16966 "map": {"at": 166740, "to": "mm"},
16967 "name": "VGT_SHADER_STAGES_EN",
16968 "type_ref": "VGT_SHADER_STAGES_EN"
16969 },
16970 {
16971 "chips": ["gfx10"],
16972 "map": {"at": 166808, "to": "mm"},
16973 "name": "VGT_STRMOUT_BUFFER_CONFIG",
16974 "type_ref": "VGT_STRMOUT_BUFFER_CONFIG"
16975 },
16976 {
16977 "chips": ["gfx10"],
16978 "map": {"at": 198928, "to": "mm"},
16979 "name": "VGT_STRMOUT_BUFFER_FILLED_SIZE_0",
16980 "type_ref": "COMPUTE_DIM_X"
16981 },
16982 {
16983 "chips": ["gfx10"],
16984 "map": {"at": 198932, "to": "mm"},
16985 "name": "VGT_STRMOUT_BUFFER_FILLED_SIZE_1",
16986 "type_ref": "COMPUTE_DIM_X"
16987 },
16988 {
16989 "chips": ["gfx10"],
16990 "map": {"at": 198936, "to": "mm"},
16991 "name": "VGT_STRMOUT_BUFFER_FILLED_SIZE_2",
16992 "type_ref": "COMPUTE_DIM_X"
16993 },
16994 {
16995 "chips": ["gfx10"],
16996 "map": {"at": 198940, "to": "mm"},
16997 "name": "VGT_STRMOUT_BUFFER_FILLED_SIZE_3",
16998 "type_ref": "COMPUTE_DIM_X"
16999 },
17000 {
17001 "chips": ["gfx10"],
17002 "map": {"at": 166620, "to": "mm"},
17003 "name": "VGT_STRMOUT_BUFFER_OFFSET_0",
17004 "type_ref": "CP_HQD_PQ_WPTR_LO"
17005 },
17006 {
17007 "chips": ["gfx10"],
17008 "map": {"at": 166636, "to": "mm"},
17009 "name": "VGT_STRMOUT_BUFFER_OFFSET_1",
17010 "type_ref": "CP_HQD_PQ_WPTR_LO"
17011 },
17012 {
17013 "chips": ["gfx10"],
17014 "map": {"at": 166652, "to": "mm"},
17015 "name": "VGT_STRMOUT_BUFFER_OFFSET_2",
17016 "type_ref": "CP_HQD_PQ_WPTR_LO"
17017 },
17018 {
17019 "chips": ["gfx10"],
17020 "map": {"at": 166668, "to": "mm"},
17021 "name": "VGT_STRMOUT_BUFFER_OFFSET_3",
17022 "type_ref": "CP_HQD_PQ_WPTR_LO"
17023 },
17024 {
17025 "chips": ["gfx10"],
17026 "map": {"at": 166608, "to": "mm"},
17027 "name": "VGT_STRMOUT_BUFFER_SIZE_0",
17028 "type_ref": "COMPUTE_DIM_X"
17029 },
17030 {
17031 "chips": ["gfx10"],
17032 "map": {"at": 166624, "to": "mm"},
17033 "name": "VGT_STRMOUT_BUFFER_SIZE_1",
17034 "type_ref": "COMPUTE_DIM_X"
17035 },
17036 {
17037 "chips": ["gfx10"],
17038 "map": {"at": 166640, "to": "mm"},
17039 "name": "VGT_STRMOUT_BUFFER_SIZE_2",
17040 "type_ref": "COMPUTE_DIM_X"
17041 },
17042 {
17043 "chips": ["gfx10"],
17044 "map": {"at": 166656, "to": "mm"},
17045 "name": "VGT_STRMOUT_BUFFER_SIZE_3",
17046 "type_ref": "COMPUTE_DIM_X"
17047 },
17048 {
17049 "chips": ["gfx10"],
17050 "map": {"at": 166804, "to": "mm"},
17051 "name": "VGT_STRMOUT_CONFIG",
17052 "type_ref": "VGT_STRMOUT_CONFIG"
17053 },
17054 {
17055 "chips": ["gfx10"],
17056 "map": {"at": 166700, "to": "mm"},
17057 "name": "VGT_STRMOUT_DRAW_OPAQUE_BUFFER_FILLED_SIZE",
17058 "type_ref": "COMPUTE_DIM_X"
17059 },
17060 {
17061 "chips": ["gfx10"],
17062 "map": {"at": 166696, "to": "mm"},
17063 "name": "VGT_STRMOUT_DRAW_OPAQUE_OFFSET",
17064 "type_ref": "CP_HQD_PQ_WPTR_LO"
17065 },
17066 {
17067 "chips": ["gfx10"],
17068 "map": {"at": 166704, "to": "mm"},
17069 "name": "VGT_STRMOUT_DRAW_OPAQUE_VERTEX_STRIDE",
17070 "type_ref": "VGT_STRMOUT_DRAW_OPAQUE_VERTEX_STRIDE"
17071 },
17072 {
17073 "chips": ["gfx10"],
17074 "map": {"at": 166612, "to": "mm"},
17075 "name": "VGT_STRMOUT_VTX_STRIDE_0",
17076 "type_ref": "VGT_STRMOUT_VTX_STRIDE_0"
17077 },
17078 {
17079 "chips": ["gfx10"],
17080 "map": {"at": 166628, "to": "mm"},
17081 "name": "VGT_STRMOUT_VTX_STRIDE_1",
17082 "type_ref": "VGT_STRMOUT_VTX_STRIDE_0"
17083 },
17084 {
17085 "chips": ["gfx10"],
17086 "map": {"at": 166644, "to": "mm"},
17087 "name": "VGT_STRMOUT_VTX_STRIDE_2",
17088 "type_ref": "VGT_STRMOUT_VTX_STRIDE_0"
17089 },
17090 {
17091 "chips": ["gfx10"],
17092 "map": {"at": 166660, "to": "mm"},
17093 "name": "VGT_STRMOUT_VTX_STRIDE_3",
17094 "type_ref": "VGT_STRMOUT_VTX_STRIDE_0"
17095 },
17096 {
17097 "chips": ["gfx10"],
17098 "map": {"at": 166736, "to": "mm"},
17099 "name": "VGT_TESS_DISTRIBUTION",
17100 "type_ref": "VGT_TESS_DISTRIBUTION"
17101 },
17102 {
17103 "chips": ["gfx10"],
17104 "map": {"at": 199044, "to": "mm"},
17105 "name": "VGT_TF_MEMORY_BASE_HI_UMD",
17106 "type_ref": "DB_Z_READ_BASE_HI"
17107 },
17108 {
17109 "chips": ["gfx10"],
17110 "map": {"at": 198976, "to": "mm"},
17111 "name": "VGT_TF_MEMORY_BASE_UMD",
17112 "type_ref": "VGT_TF_MEMORY_BASE"
17113 },
17114 {
17115 "chips": ["gfx10"],
17116 "map": {"at": 166764, "to": "mm"},
17117 "name": "VGT_TF_PARAM",
17118 "type_ref": "VGT_TF_PARAM"
17119 },
17120 {
17121 "chips": ["gfx10"],
17122 "map": {"at": 198968, "to": "mm"},
17123 "name": "VGT_TF_RING_SIZE_UMD",
17124 "type_ref": "VGT_TF_RING_SIZE"
17125 },
17126 {
17127 "chips": ["gfx10"],
17128 "map": {"at": 167000, "to": "mm"},
17129 "name": "VGT_VERTEX_REUSE_BLOCK_CNTL",
17130 "type_ref": "VGT_VERTEX_REUSE_BLOCK_CNTL"
17131 },
17132 {
17133 "chips": ["gfx10"],
17134 "map": {"at": 166584, "to": "mm"},
17135 "name": "VGT_VTX_CNT_EN",
17136 "type_ref": "VGT_VTX_CNT_EN"
17137 },
17138 {
17139 "chips": ["gfx10"],
17140 "map": {"at": 198992, "to": "mm"},
17141 "name": "WD_CNTL_SB_BUF_BASE",
17142 "type_ref": "VGT_TF_MEMORY_BASE"
17143 },
17144 {
17145 "chips": ["gfx10"],
17146 "map": {"at": 198996, "to": "mm"},
17147 "name": "WD_CNTL_SB_BUF_BASE_HI",
17148 "type_ref": "DB_Z_READ_BASE_HI"
17149 },
17150 {
17151 "chips": ["gfx10"],
17152 "map": {"at": 166528, "to": "mm"},
17153 "name": "WD_ENHANCE",
17154 "type_ref": "IA_ENHANCE"
17155 },
17156 {
17157 "chips": ["gfx10"],
17158 "map": {"at": 199000, "to": "mm"},
17159 "name": "WD_INDEX_BUF_BASE",
17160 "type_ref": "VGT_TF_MEMORY_BASE"
17161 },
17162 {
17163 "chips": ["gfx10"],
17164 "map": {"at": 199004, "to": "mm"},
17165 "name": "WD_INDEX_BUF_BASE_HI",
17166 "type_ref": "DB_Z_READ_BASE_HI"
17167 },
17168 {
17169 "chips": ["gfx10"],
17170 "map": {"at": 198984, "to": "mm"},
17171 "name": "WD_POS_BUF_BASE",
17172 "type_ref": "VGT_TF_MEMORY_BASE"
17173 },
17174 {
17175 "chips": ["gfx10"],
17176 "map": {"at": 198988, "to": "mm"},
17177 "name": "WD_POS_BUF_BASE_HI",
17178 "type_ref": "DB_Z_READ_BASE_HI"
17179 }
17180 ],
17181 "register_types": {
17182 "BCI_DEBUG_READ": {
17183 "fields": [
17184 {"bits": [0, 23], "name": "DATA"}
17185 ]
17186 },
17187 "CB_BLEND0_CONTROL": {
17188 "fields": [
17189 {"bits": [0, 4], "enum_ref": "BlendOp", "name": "COLOR_SRCBLEND"},
17190 {"bits": [5, 7], "enum_ref": "CombFunc", "name": "COLOR_COMB_FCN"},
17191 {"bits": [8, 12], "enum_ref": "BlendOp", "name": "COLOR_DESTBLEND"},
17192 {"bits": [16, 20], "enum_ref": "BlendOp", "name": "ALPHA_SRCBLEND"},
17193 {"bits": [21, 23], "enum_ref": "CombFunc", "name": "ALPHA_COMB_FCN"},
17194 {"bits": [24, 28], "enum_ref": "BlendOp", "name": "ALPHA_DESTBLEND"},
17195 {"bits": [29, 29], "name": "SEPARATE_ALPHA_BLEND"},
17196 {"bits": [30, 30], "name": "ENABLE"},
17197 {"bits": [31, 31], "name": "DISABLE_ROP3"}
17198 ]
17199 },
17200 "CB_BLEND_ALPHA": {
17201 "fields": [
17202 {"bits": [0, 31], "name": "BLEND_ALPHA"}
17203 ]
17204 },
17205 "CB_BLEND_BLUE": {
17206 "fields": [
17207 {"bits": [0, 31], "name": "BLEND_BLUE"}
17208 ]
17209 },
17210 "CB_BLEND_GREEN": {
17211 "fields": [
17212 {"bits": [0, 31], "name": "BLEND_GREEN"}
17213 ]
17214 },
17215 "CB_BLEND_RED": {
17216 "fields": [
17217 {"bits": [0, 31], "name": "BLEND_RED"}
17218 ]
17219 },
17220 "CB_COLOR0_ATTRIB": {
17221 "fields": [
17222 {"bits": [0, 4], "name": "TILE_MODE_INDEX"},
17223 {"bits": [5, 9], "name": "FMASK_TILE_MODE_INDEX"},
17224 {"bits": [10, 11], "name": "FMASK_BANK_HEIGHT"},
17225 {"bits": [12, 14], "name": "NUM_SAMPLES"},
17226 {"bits": [15, 16], "name": "NUM_FRAGMENTS"},
17227 {"bits": [17, 17], "name": "FORCE_DST_ALPHA_1"},
17228 {"bits": [18, 18], "name": "DISABLE_FMASK_NOFETCH_OPT"},
17229 {"bits": [19, 19], "name": "LIMIT_COLOR_FETCH_TO_256B_MAX"}
17230 ]
17231 },
17232 "CB_COLOR0_ATTRIB2": {
17233 "fields": [
17234 {"bits": [0, 13], "name": "MIP0_HEIGHT"},
17235 {"bits": [14, 27], "name": "MIP0_WIDTH"},
17236 {"bits": [28, 31], "name": "MAX_MIP"}
17237 ]
17238 },
17239 "CB_COLOR0_ATTRIB3": {
17240 "fields": [
17241 {"bits": [0, 12], "name": "MIP0_DEPTH"},
17242 {"bits": [13, 13], "name": "META_LINEAR"},
17243 {"bits": [14, 18], "name": "COLOR_SW_MODE"},
17244 {"bits": [19, 23], "name": "FMASK_SW_MODE"},
17245 {"bits": [24, 25], "name": "RESOURCE_TYPE"},
17246 {"bits": [26, 26], "name": "CMASK_PIPE_ALIGNED"},
17247 {"bits": [27, 29], "name": "RESOURCE_LEVEL"},
17248 {"bits": [30, 30], "name": "DCC_PIPE_ALIGNED"}
17249 ]
17250 },
17251 "CB_COLOR0_BASE": {
17252 "fields": [
17253 {"bits": [0, 31], "name": "BASE_256B"}
17254 ]
17255 },
17256 "CB_COLOR0_BASE_EXT": {
17257 "fields": [
17258 {"bits": [0, 7], "name": "BASE_256B"}
17259 ]
17260 },
17261 "CB_COLOR0_CLEAR_WORD0": {
17262 "fields": [
17263 {"bits": [0, 31], "name": "CLEAR_WORD0"}
17264 ]
17265 },
17266 "CB_COLOR0_CLEAR_WORD1": {
17267 "fields": [
17268 {"bits": [0, 31], "name": "CLEAR_WORD1"}
17269 ]
17270 },
17271 "CB_COLOR0_DCC_CONTROL": {
17272 "fields": [
17273 {"bits": [0, 0], "name": "OVERWRITE_COMBINER_DISABLE"},
17274 {"bits": [1, 1], "name": "KEY_CLEAR_ENABLE"},
17275 {"bits": [2, 3], "name": "MAX_UNCOMPRESSED_BLOCK_SIZE"},
17276 {"bits": [4, 4], "name": "MIN_COMPRESSED_BLOCK_SIZE"},
17277 {"bits": [5, 6], "name": "MAX_COMPRESSED_BLOCK_SIZE"},
17278 {"bits": [7, 8], "name": "COLOR_TRANSFORM"},
17279 {"bits": [9, 9], "name": "INDEPENDENT_64B_BLOCKS"},
17280 {"bits": [10, 13], "name": "LOSSY_RGB_PRECISION"},
17281 {"bits": [14, 17], "name": "LOSSY_ALPHA_PRECISION"},
17282 {"bits": [18, 18], "name": "DISABLE_CONSTANT_ENCODE_REG"},
17283 {"bits": [19, 19], "name": "ENABLE_CONSTANT_ENCODE_REG_WRITE"},
17284 {"bits": [20, 20], "name": "INDEPENDENT_128B_BLOCKS"},
17285 {"bits": [21, 21], "name": "SKIP_LOW_COMP_RATIO_GFX103"},
17286 {"bits": [22, 22], "name": "DCC_COMPRESS_DISABLE_GFX103"}
17287 ]
17288 },
17289 "CB_COLOR0_INFO": {
17290 "fields": [
17291 {"bits": [0, 1], "name": "ENDIAN"},
17292 {"bits": [2, 6], "enum_ref": "CB_COLOR0_INFO__FORMAT", "name": "FORMAT"},
17293 {"bits": [7, 7], "name": "LINEAR_GENERAL"},
17294 {"bits": [8, 10], "name": "NUMBER_TYPE"},
17295 {"bits": [11, 12], "name": "COMP_SWAP"},
17296 {"bits": [13, 13], "name": "FAST_CLEAR"},
17297 {"bits": [14, 14], "name": "COMPRESSION"},
17298 {"bits": [15, 15], "name": "BLEND_CLAMP"},
17299 {"bits": [16, 16], "name": "BLEND_BYPASS"},
17300 {"bits": [17, 17], "name": "SIMPLE_FLOAT"},
17301 {"bits": [18, 18], "name": "ROUND_MODE"},
17302 {"bits": [19, 19], "name": "CMASK_IS_LINEAR"},
17303 {"bits": [20, 22], "enum_ref": "BlendOpt", "name": "BLEND_OPT_DONT_RD_DST"},
17304 {"bits": [23, 25], "enum_ref": "BlendOpt", "name": "BLEND_OPT_DISCARD_PIXEL"},
17305 {"bits": [26, 26], "name": "FMASK_COMPRESSION_DISABLE"},
17306 {"bits": [27, 27], "name": "FMASK_COMPRESS_1FRAG_ONLY"},
17307 {"bits": [28, 28], "name": "DCC_ENABLE"},
17308 {"bits": [29, 30], "enum_ref": "CmaskAddr", "name": "CMASK_ADDR_TYPE"},
17309 {"bits": [31, 31], "name": "ALT_TILE_MODE"}
17310 ]
17311 },
17312 "CB_COLOR0_VIEW": {
17313 "fields": [
17314 {"bits": [0, 12], "name": "SLICE_START"},
17315 {"bits": [13, 25], "name": "SLICE_MAX"},
17316 {"bits": [26, 29], "name": "MIP_LEVEL"}
17317 ]
17318 },
17319 "CB_COLOR_CONTROL": {
17320 "fields": [
17321 {"bits": [0, 0], "name": "DISABLE_DUAL_QUAD"},
17322 {"bits": [3, 3], "name": "DEGAMMA_ENABLE"},
17323 {"bits": [4, 6], "enum_ref": "CBMode", "name": "MODE"},
17324 {"bits": [16, 23], "name": "ROP3"}
17325 ]
17326 },
17327 "CB_COVERAGE_OUT_CONTROL": {
17328 "fields": [
17329 {"bits": [0, 0], "name": "COVERAGE_OUT_ENABLE"},
17330 {"bits": [1, 3], "name": "COVERAGE_OUT_MRT"},
17331 {"bits": [4, 5], "name": "COVERAGE_OUT_CHANNEL"},
17332 {"bits": [8, 11], "name": "COVERAGE_OUT_SAMPLES"}
17333 ]
17334 },
17335 "CB_DCC_CONTROL": {
17336 "fields": [
17337 {"bits": [0, 0], "name": "OVERWRITE_COMBINER_DISABLE"},
17338 {"bits": [2, 6], "name": "OVERWRITE_COMBINER_WATERMARK"},
17339 {"bits": [8, 8], "name": "DISABLE_CONSTANT_ENCODE_AC01"},
17340 {"bits": [9, 9], "name": "DISABLE_CONSTANT_ENCODE_SINGLE"},
17341 {"bits": [10, 10], "name": "DISABLE_CONSTANT_ENCODE_REG"},
17342 {"bits": [12, 12], "name": "DISABLE_ELIMFC_SKIP_OF_AC01"},
17343 {"bits": [13, 13], "name": "DISABLE_ELIMFC_SKIP_OF_SINGLE"},
17344 {"bits": [14, 14], "name": "ENABLE_ELIMFC_SKIP_OF_REG"}
17345 ]
17346 },
17347 "CB_PERFCOUNTER0_HI": {
17348 "fields": [
17349 {"bits": [0, 31], "name": "PERFCOUNTER_HI"}
17350 ]
17351 },
17352 "CB_PERFCOUNTER0_LO": {
17353 "fields": [
17354 {"bits": [0, 31], "name": "PERFCOUNTER_LO"}
17355 ]
17356 },
17357 "CB_PERFCOUNTER0_SELECT": {
17358 "fields": [
17359 {"bits": [0, 8], "enum_ref": "CBPerfSel", "name": "PERF_SEL"},
17360 {"bits": [10, 18], "enum_ref": "CBPerfSel", "name": "PERF_SEL1"},
17361 {"bits": [20, 23], "name": "CNTR_MODE"},
17362 {"bits": [24, 27], "name": "PERF_MODE1"},
17363 {"bits": [28, 31], "name": "PERF_MODE"}
17364 ]
17365 },
17366 "CB_PERFCOUNTER0_SELECT1": {
17367 "fields": [
17368 {"bits": [0, 8], "enum_ref": "CBPerfSel", "name": "PERF_SEL2"},
17369 {"bits": [10, 18], "enum_ref": "CBPerfSel", "name": "PERF_SEL3"},
17370 {"bits": [24, 27], "name": "PERF_MODE3"},
17371 {"bits": [28, 31], "name": "PERF_MODE2"}
17372 ]
17373 },
17374 "CB_PERFCOUNTER1_SELECT": {
17375 "fields": [
17376 {"bits": [0, 8], "enum_ref": "CBPerfSel", "name": "PERF_SEL"},
17377 {"bits": [28, 31], "name": "PERF_MODE"}
17378 ]
17379 },
17380 "CB_PERFCOUNTER_FILTER": {
17381 "fields": [
17382 {"bits": [0, 0], "name": "OP_FILTER_ENABLE"},
17383 {"bits": [1, 3], "enum_ref": "CBPerfOpFilterSel", "name": "OP_FILTER_SEL"},
17384 {"bits": [4, 4], "name": "FORMAT_FILTER_ENABLE"},
17385 {"bits": [5, 9], "name": "FORMAT_FILTER_SEL"},
17386 {"bits": [10, 10], "name": "CLEAR_FILTER_ENABLE"},
17387 {"bits": [11, 11], "enum_ref": "CBPerfClearFilterSel", "name": "CLEAR_FILTER_SEL"},
17388 {"bits": [12, 12], "name": "MRT_FILTER_ENABLE"},
17389 {"bits": [13, 15], "name": "MRT_FILTER_SEL"},
17390 {"bits": [17, 17], "name": "NUM_SAMPLES_FILTER_ENABLE"},
17391 {"bits": [18, 20], "name": "NUM_SAMPLES_FILTER_SEL"},
17392 {"bits": [21, 21], "name": "NUM_FRAGMENTS_FILTER_ENABLE"},
17393 {"bits": [22, 23], "name": "NUM_FRAGMENTS_FILTER_SEL"}
17394 ]
17395 },
17396 "CB_RMI_GL2_CACHE_CONTROL": {
17397 "fields": [
17398 {"bits": [0, 1], "enum_ref": "WritePolicy", "name": "CMASK_WR_POLICY"},
17399 {"bits": [2, 3], "enum_ref": "WritePolicy", "name": "FMASK_WR_POLICY"},
17400 {"bits": [4, 5], "enum_ref": "WritePolicy", "name": "DCC_WR_POLICY"},
17401 {"bits": [6, 7], "enum_ref": "WritePolicy", "name": "COLOR_WR_POLICY"},
17402 {"bits": [16, 17], "enum_ref": "ReadPolicy", "name": "CMASK_RD_POLICY"},
17403 {"bits": [18, 19], "enum_ref": "ReadPolicy", "name": "FMASK_RD_POLICY"},
17404 {"bits": [20, 21], "enum_ref": "ReadPolicy", "name": "DCC_RD_POLICY"},
17405 {"bits": [22, 23], "enum_ref": "ReadPolicy", "name": "COLOR_RD_POLICY"},
17406 {"bits": [30, 30], "name": "FMASK_BIG_PAGE"},
17407 {"bits": [31, 31], "name": "COLOR_BIG_PAGE"}
17408 ]
17409 },
17410 "CB_SHADER_MASK": {
17411 "fields": [
17412 {"bits": [0, 3], "name": "OUTPUT0_ENABLE"},
17413 {"bits": [4, 7], "name": "OUTPUT1_ENABLE"},
17414 {"bits": [8, 11], "name": "OUTPUT2_ENABLE"},
17415 {"bits": [12, 15], "name": "OUTPUT3_ENABLE"},
17416 {"bits": [16, 19], "name": "OUTPUT4_ENABLE"},
17417 {"bits": [20, 23], "name": "OUTPUT5_ENABLE"},
17418 {"bits": [24, 27], "name": "OUTPUT6_ENABLE"},
17419 {"bits": [28, 31], "name": "OUTPUT7_ENABLE"}
17420 ]
17421 },
17422 "CB_TARGET_MASK": {
17423 "fields": [
17424 {"bits": [0, 3], "name": "TARGET0_ENABLE"},
17425 {"bits": [4, 7], "name": "TARGET1_ENABLE"},
17426 {"bits": [8, 11], "name": "TARGET2_ENABLE"},
17427 {"bits": [12, 15], "name": "TARGET3_ENABLE"},
17428 {"bits": [16, 19], "name": "TARGET4_ENABLE"},
17429 {"bits": [20, 23], "name": "TARGET5_ENABLE"},
17430 {"bits": [24, 27], "name": "TARGET6_ENABLE"},
17431 {"bits": [28, 31], "name": "TARGET7_ENABLE"}
17432 ]
17433 },
17434 "CHA_PERFCOUNTER0_SELECT": {
17435 "fields": [
17436 {"bits": [0, 9], "enum_ref": "CHA_PERF_SEL", "name": "PERF_SEL"},
17437 {"bits": [10, 19], "enum_ref": "CHA_PERF_SEL", "name": "PERF_SEL1"},
17438 {"bits": [20, 23], "name": "CNTR_MODE"},
17439 {"bits": [24, 27], "name": "PERF_MODE1"},
17440 {"bits": [28, 31], "name": "PERF_MODE"}
17441 ]
17442 },
17443 "CHA_PERFCOUNTER0_SELECT1": {
17444 "fields": [
17445 {"bits": [0, 9], "enum_ref": "CHA_PERF_SEL", "name": "PERF_SEL2"},
17446 {"bits": [10, 19], "enum_ref": "CHA_PERF_SEL", "name": "PERF_SEL3"},
17447 {"bits": [24, 27], "name": "PERF_MODE2"},
17448 {"bits": [28, 31], "name": "PERF_MODE3"}
17449 ]
17450 },
17451 "CHA_PERFCOUNTER1_SELECT": {
17452 "fields": [
17453 {"bits": [0, 9], "enum_ref": "CHA_PERF_SEL", "name": "PERF_SEL"},
17454 {"bits": [20, 23], "name": "CNTR_MODE"},
17455 {"bits": [28, 31], "name": "PERF_MODE"}
17456 ]
17457 },
17458 "CHCG_PERFCOUNTER0_SELECT": {
17459 "fields": [
17460 {"bits": [0, 9], "enum_ref": "CHCG_PERF_SEL", "name": "PERF_SEL"},
17461 {"bits": [10, 19], "enum_ref": "CHCG_PERF_SEL", "name": "PERF_SEL1"},
17462 {"bits": [20, 23], "name": "CNTR_MODE"},
17463 {"bits": [24, 27], "name": "PERF_MODE1"},
17464 {"bits": [28, 31], "name": "PERF_MODE"}
17465 ]
17466 },
17467 "CHCG_PERFCOUNTER0_SELECT1": {
17468 "fields": [
17469 {"bits": [0, 9], "enum_ref": "CHCG_PERF_SEL", "name": "PERF_SEL2"},
17470 {"bits": [10, 19], "enum_ref": "CHCG_PERF_SEL", "name": "PERF_SEL3"},
17471 {"bits": [24, 27], "name": "PERF_MODE2"},
17472 {"bits": [28, 31], "name": "PERF_MODE3"}
17473 ]
17474 },
17475 "CHCG_PERFCOUNTER1_SELECT": {
17476 "fields": [
17477 {"bits": [0, 9], "enum_ref": "CHCG_PERF_SEL", "name": "PERF_SEL"},
17478 {"bits": [20, 23], "name": "CNTR_MODE"},
17479 {"bits": [28, 31], "name": "PERF_MODE"}
17480 ]
17481 },
17482 "CHC_PERFCOUNTER0_SELECT": {
17483 "fields": [
17484 {"bits": [0, 9], "enum_ref": "CHC_PERF_SEL", "name": "PERF_SEL"},
17485 {"bits": [10, 19], "enum_ref": "CHC_PERF_SEL", "name": "PERF_SEL1"},
17486 {"bits": [20, 23], "name": "CNTR_MODE"},
17487 {"bits": [24, 27], "name": "PERF_MODE1"},
17488 {"bits": [28, 31], "name": "PERF_MODE"}
17489 ]
17490 },
17491 "CHC_PERFCOUNTER0_SELECT1": {
17492 "fields": [
17493 {"bits": [0, 9], "enum_ref": "CHC_PERF_SEL", "name": "PERF_SEL2"},
17494 {"bits": [10, 19], "enum_ref": "CHC_PERF_SEL", "name": "PERF_SEL3"},
17495 {"bits": [24, 27], "name": "PERF_MODE2"},
17496 {"bits": [28, 31], "name": "PERF_MODE3"}
17497 ]
17498 },
17499 "CHC_PERFCOUNTER1_SELECT": {
17500 "fields": [
17501 {"bits": [0, 9], "enum_ref": "CHC_PERF_SEL", "name": "PERF_SEL"},
17502 {"bits": [20, 23], "name": "CNTR_MODE"},
17503 {"bits": [28, 31], "name": "PERF_MODE"}
17504 ]
17505 },
17506 "COHER_DEST_BASE_0": {
17507 "fields": [
17508 {"bits": [0, 31], "name": "DEST_BASE_256B"}
17509 ]
17510 },
17511 "COHER_DEST_BASE_HI_0": {
17512 "fields": [
17513 {"bits": [0, 7], "name": "DEST_BASE_HI_256B"}
17514 ]
17515 },
17516 "COMPUTE_DDID_INDEX": {
17517 "fields": [
17518 {"bits": [0, 10], "name": "INDEX"}
17519 ]
17520 },
17521 "COMPUTE_DESTINATION_EN_SE0": {
17522 "fields": [
17523 {"bits": [0, 31], "name": "CU_EN"}
17524 ]
17525 },
17526 "COMPUTE_DIM_X": {
17527 "fields": [
17528 {"bits": [0, 31], "name": "SIZE"}
17529 ]
17530 },
17531 "COMPUTE_DISPATCH_ID": {
17532 "fields": [
17533 {"bits": [0, 31], "name": "DISPATCH_ID"}
17534 ]
17535 },
17536 "COMPUTE_DISPATCH_INITIATOR": {
17537 "fields": [
17538 {"bits": [0, 0], "name": "COMPUTE_SHADER_EN"},
17539 {"bits": [1, 1], "name": "PARTIAL_TG_EN"},
17540 {"bits": [2, 2], "name": "FORCE_START_AT_000"},
17541 {"bits": [3, 3], "name": "ORDERED_APPEND_ENBL"},
17542 {"bits": [4, 4], "name": "ORDERED_APPEND_MODE"},
17543 {"bits": [5, 5], "name": "USE_THREAD_DIMENSIONS"},
17544 {"bits": [6, 6], "name": "ORDER_MODE"},
17545 {"bits": [10, 10], "name": "SCALAR_L1_INV_VOL"},
17546 {"bits": [11, 11], "name": "VECTOR_L1_INV_VOL"},
17547 {"bits": [12, 12], "name": "RESERVED"},
17548 {"bits": [13, 13], "name": "TUNNEL_ENABLE"},
17549 {"bits": [14, 14], "name": "RESTORE"},
17550 {"bits": [15, 15], "name": "CS_W32_EN"}
17551 ]
17552 },
17553 "COMPUTE_DISPATCH_TUNNEL": {
17554 "fields": [
17555 {"bits": [0, 9], "name": "OFF_DELAY"},
17556 {"bits": [10, 10], "name": "IMMEDIATE"}
17557 ]
17558 },
17559 "COMPUTE_MISC_RESERVED": {
17560 "fields": [
17561 {"bits": [0, 1], "name": "SEND_SEID"},
17562 {"bits": [2, 2], "name": "RESERVED2"},
17563 {"bits": [3, 3], "name": "RESERVED3"},
17564 {"bits": [4, 4], "name": "RESERVED4"},
17565 {"bits": [5, 16], "name": "WAVE_ID_BASE"}
17566 ]
17567 },
17568 "COMPUTE_NUM_THREAD_X": {
17569 "fields": [
17570 {"bits": [0, 15], "name": "NUM_THREAD_FULL"},
17571 {"bits": [16, 31], "name": "NUM_THREAD_PARTIAL"}
17572 ]
17573 },
17574 "COMPUTE_PERFCOUNT_ENABLE": {
17575 "fields": [
17576 {"bits": [0, 0], "name": "PERFCOUNT_ENABLE"}
17577 ]
17578 },
17579 "COMPUTE_PGM_HI": {
17580 "fields": [
17581 {"bits": [0, 7], "name": "DATA"}
17582 ]
17583 },
17584 "COMPUTE_PGM_LO": {
17585 "fields": [
17586 {"bits": [0, 31], "name": "DATA"}
17587 ]
17588 },
17589 "COMPUTE_PGM_RSRC1": {
17590 "fields": [
17591 {"bits": [0, 5], "name": "VGPRS"},
17592 {"bits": [6, 9], "name": "SGPRS"},
17593 {"bits": [10, 11], "name": "PRIORITY"},
17594 {"bits": [12, 19], "name": "FLOAT_MODE"},
17595 {"bits": [20, 20], "name": "PRIV"},
17596 {"bits": [21, 21], "name": "DX10_CLAMP"},
17597 {"bits": [22, 22], "name": "DEBUG_MODE"},
17598 {"bits": [23, 23], "name": "IEEE_MODE"},
17599 {"bits": [24, 24], "name": "BULKY"},
17600 {"bits": [25, 25], "name": "CDBG_USER"},
17601 {"bits": [26, 26], "name": "FP16_OVFL"},
17602 {"bits": [29, 29], "name": "WGP_MODE"},
17603 {"bits": [30, 30], "name": "MEM_ORDERED"},
17604 {"bits": [31, 31], "name": "FWD_PROGRESS"}
17605 ]
17606 },
17607 "COMPUTE_PGM_RSRC2": {
17608 "fields": [
17609 {"bits": [0, 0], "name": "SCRATCH_EN"},
17610 {"bits": [1, 5], "name": "USER_SGPR"},
17611 {"bits": [6, 6], "name": "TRAP_PRESENT"},
17612 {"bits": [7, 7], "name": "TGID_X_EN"},
17613 {"bits": [8, 8], "name": "TGID_Y_EN"},
17614 {"bits": [9, 9], "name": "TGID_Z_EN"},
17615 {"bits": [10, 10], "name": "TG_SIZE_EN"},
17616 {"bits": [11, 12], "name": "TIDIG_COMP_CNT"},
17617 {"bits": [13, 14], "name": "EXCP_EN_MSB"},
17618 {"bits": [15, 23], "name": "LDS_SIZE"},
17619 {"bits": [24, 30], "name": "EXCP_EN"}
17620 ]
17621 },
17622 "COMPUTE_PGM_RSRC3": {
17623 "fields": [
17624 {"bits": [0, 3], "name": "SHARED_VGPR_CNT"}
17625 ]
17626 },
17627 "COMPUTE_PIPELINESTAT_ENABLE": {
17628 "fields": [
17629 {"bits": [0, 0], "name": "PIPELINESTAT_ENABLE"}
17630 ]
17631 },
17632 "COMPUTE_USER_ACCUM_0": {
17633 "fields": [
17634 {"bits": [0, 6], "name": "CONTRIBUTION"}
17635 ]
17636 },
17637 "COMPUTE_PREF_PRI_CNTR_CTRL": {
17638 "fields": [
17639 {"bits": [0, 2], "name": "TOTAL_WAVE_COUNT_HIER_SELECT"},
17640 {"bits": [3, 5], "name": "PER_TYPE_WAVE_COUNT_HIER_SELECT"},
17641 {"bits": [6, 6], "name": "GROUP_UPDATE_EN"},
17642 {"bits": [8, 15], "name": "TOTAL_WAVE_COUNT_COEFFICIENT"},
17643 {"bits": [16, 23], "name": "PER_TYPE_WAVE_COUNT_COEFFICIENT"}
17644 ]
17645 },
17646 "COMPUTE_RELAUNCH": {
17647 "fields": [
17648 {"bits": [0, 29], "name": "PAYLOAD"},
17649 {"bits": [30, 30], "name": "IS_EVENT"},
17650 {"bits": [31, 31], "name": "IS_STATE"}
17651 ]
17652 },
17653 "COMPUTE_REQ_CTRL": {
17654 "fields": [
17655 {"bits": [0, 0], "name": "SOFT_GROUPING_EN"},
17656 {"bits": [1, 4], "name": "NUMBER_OF_REQUESTS_PER_CU"},
17657 {"bits": [5, 8], "name": "SOFT_GROUPING_ALLOCATION_TIMEOUT"},
17658 {"bits": [9, 9], "name": "HARD_LOCK_HYSTERESIS"},
17659 {"bits": [10, 14], "name": "HARD_LOCK_LOW_THRESHOLD"},
17660 {"bits": [15, 15], "name": "PRODUCER_REQUEST_LOCKOUT"},
17661 {"bits": [16, 16], "name": "GLOBAL_SCANNING_EN"},
17662 {"bits": [17, 19], "name": "ALLOCATION_RATE_THROTTLING_THRESHOLD"},
17663 {"bits": [20, 26], "name": "DEDICATED_PREALLOCATION_BUFFER_LIMIT"}
17664 ]
17665 },
17666 "COMPUTE_RESOURCE_LIMITS": {
17667 "fields": [
17668 {"bits": [0, 9], "name": "WAVES_PER_SH"},
17669 {"bits": [12, 15], "name": "TG_PER_CU"},
17670 {"bits": [16, 21], "name": "LOCK_THRESHOLD"},
17671 {"bits": [22, 22], "name": "SIMD_DEST_CNTL"},
17672 {"bits": [23, 23], "name": "FORCE_SIMD_DIST"},
17673 {"bits": [24, 26], "name": "CU_GROUP_COUNT"}
17674 ]
17675 },
17676 "COMPUTE_RESTART_X": {
17677 "fields": [
17678 {"bits": [0, 31], "name": "RESTART"}
17679 ]
17680 },
17681 "COMPUTE_SHADER_CHKSUM": {
17682 "fields": [
17683 {"bits": [0, 31], "name": "CHECKSUM"}
17684 ]
17685 },
17686 "COMPUTE_START_X": {
17687 "fields": [
17688 {"bits": [0, 31], "name": "START"}
17689 ]
17690 },
17691 "COMPUTE_THREADGROUP_ID": {
17692 "fields": [
17693 {"bits": [0, 31], "name": "THREADGROUP_ID"}
17694 ]
17695 },
17696 "COMPUTE_THREAD_TRACE_ENABLE": {
17697 "fields": [
17698 {"bits": [0, 0], "name": "THREAD_TRACE_ENABLE"}
17699 ]
17700 },
17701 "COMPUTE_TMPRING_SIZE": {
17702 "fields": [
17703 {"bits": [0, 11], "name": "WAVES"},
17704 {"bits": [12, 24], "name": "WAVESIZE"}
17705 ]
17706 },
17707 "COMPUTE_VMID": {
17708 "fields": [
17709 {"bits": [0, 3], "name": "DATA"}
17710 ]
17711 },
17712 "COMPUTE_WAVE_RESTORE_ADDR_HI": {
17713 "fields": [
17714 {"bits": [0, 15], "name": "ADDR"}
17715 ]
17716 },
17717 "COMPUTE_WAVE_RESTORE_ADDR_LO": {
17718 "fields": [
17719 {"bits": [0, 31], "name": "ADDR"}
17720 ]
17721 },
17722 "CPC_INT_CNTX_ID": {
17723 "fields": [
17724 {"bits": [0, 31], "name": "CNTX_ID"}
17725 ]
17726 },
17727 "CPC_LATENCY_STATS_SELECT": {
17728 "fields": [
17729 {"bits": [0, 3], "enum_ref": "CPC_LATENCY_STATS_SEL", "name": "INDEX"},
17730 {"bits": [30, 30], "name": "CLEAR"},
17731 {"bits": [31, 31], "name": "ENABLE"}
17732 ]
17733 },
17734 "CPC_PERFCOUNTER0_SELECT1": {
17735 "fields": [
17736 {"bits": [0, 9], "enum_ref": "CPC_PERFCOUNT_SEL", "name": "PERF_SEL2"},
17737 {"bits": [10, 19], "enum_ref": "CPC_PERFCOUNT_SEL", "name": "PERF_SEL3"},
17738 {"bits": [24, 27], "name": "CNTR_MODE3"},
17739 {"bits": [28, 31], "name": "CNTR_MODE2"}
17740 ]
17741 },
17742 "CPC_PERFCOUNTER1_SELECT": {
17743 "fields": [
17744 {"bits": [0, 9], "enum_ref": "CPC_PERFCOUNT_SEL", "name": "PERF_SEL"},
17745 {"bits": [10, 19], "enum_ref": "CPC_PERFCOUNT_SEL", "name": "PERF_SEL1"},
17746 {"bits": [20, 23], "name": "SPM_MODE"},
17747 {"bits": [24, 27], "name": "CNTR_MODE1"},
17748 {"bits": [28, 31], "name": "CNTR_MODE0"}
17749 ]
17750 },
17751 "CPC_SUSPEND_CTX_SAVE_BASE_ADDR_HI": {
17752 "fields": [
17753 {"bits": [0, 15], "name": "ADDR_HI"}
17754 ]
17755 },
17756 "CPF_LATENCY_STATS_SELECT": {
17757 "fields": [
17758 {"bits": [0, 3], "enum_ref": "CPF_LATENCY_STATS_SEL", "name": "INDEX"},
17759 {"bits": [30, 30], "name": "CLEAR"},
17760 {"bits": [31, 31], "name": "ENABLE"}
17761 ]
17762 },
17763 "CPF_PERFCOUNTER0_SELECT1": {
17764 "fields": [
17765 {"bits": [0, 9], "enum_ref": "CPF_PERFCOUNT_SEL", "name": "PERF_SEL2"},
17766 {"bits": [10, 19], "enum_ref": "CPF_PERFCOUNT_SEL", "name": "PERF_SEL3"},
17767 {"bits": [24, 27], "name": "CNTR_MODE3"},
17768 {"bits": [28, 31], "name": "CNTR_MODE2"}
17769 ]
17770 },
17771 "CPF_PERFCOUNTER1_SELECT": {
17772 "fields": [
17773 {"bits": [0, 9], "enum_ref": "CPF_PERFCOUNT_SEL", "name": "PERF_SEL"},
17774 {"bits": [10, 19], "enum_ref": "CPF_PERFCOUNT_SEL", "name": "PERF_SEL1"},
17775 {"bits": [20, 23], "name": "SPM_MODE"},
17776 {"bits": [24, 27], "name": "CNTR_MODE1"},
17777 {"bits": [28, 31], "name": "CNTR_MODE0"}
17778 ]
17779 },
17780 "CPF_TC_PERF_COUNTER_WINDOW_SELECT": {
17781 "fields": [
17782 {"bits": [0, 2], "enum_ref": "CPF_PERFCOUNTWINDOW_SEL", "name": "INDEX"},
17783 {"bits": [30, 30], "name": "ALWAYS"},
17784 {"bits": [31, 31], "name": "ENABLE"}
17785 ]
17786 },
17787 "CPG_LATENCY_STATS_SELECT": {
17788 "fields": [
17789 {"bits": [0, 4], "enum_ref": "CPG_LATENCY_STATS_SEL", "name": "INDEX"},
17790 {"bits": [30, 30], "name": "CLEAR"},
17791 {"bits": [31, 31], "name": "ENABLE"}
17792 ]
17793 },
17794 "CPG_PERFCOUNTER0_SELECT1": {
17795 "fields": [
17796 {"bits": [0, 9], "enum_ref": "CPG_PERFCOUNT_SEL", "name": "PERF_SEL2"},
17797 {"bits": [10, 19], "enum_ref": "CPG_PERFCOUNT_SEL", "name": "PERF_SEL3"},
17798 {"bits": [24, 27], "name": "CNTR_MODE3"},
17799 {"bits": [28, 31], "name": "CNTR_MODE2"}
17800 ]
17801 },
17802 "CPG_PERFCOUNTER1_SELECT": {
17803 "fields": [
17804 {"bits": [0, 9], "enum_ref": "CPG_PERFCOUNT_SEL", "name": "PERF_SEL"},
17805 {"bits": [10, 19], "enum_ref": "CPG_PERFCOUNT_SEL", "name": "PERF_SEL1"},
17806 {"bits": [20, 23], "name": "SPM_MODE"},
17807 {"bits": [24, 27], "name": "CNTR_MODE1"},
17808 {"bits": [28, 31], "name": "CNTR_MODE0"}
17809 ]
17810 },
17811 "CPG_TC_PERF_COUNTER_WINDOW_SELECT": {
17812 "fields": [
17813 {"bits": [0, 4], "enum_ref": "CPG_PERFCOUNTWINDOW_SEL", "name": "INDEX"},
17814 {"bits": [30, 30], "name": "ALWAYS"},
17815 {"bits": [31, 31], "name": "ENABLE"}
17816 ]
17817 },
17818 "CP_APPEND_ADDR_HI": {
17819 "fields": [
17820 {"bits": [0, 15], "name": "MEM_ADDR_HI"},
17821 {"bits": [16, 16], "name": "CS_PS_SEL"},
17822 {"bits": [25, 26], "name": "CACHE_POLICY"},
17823 {"bits": [29, 31], "name": "COMMAND"}
17824 ]
17825 },
17826 "CP_APPEND_ADDR_LO": {
17827 "fields": [
17828 {"bits": [2, 31], "name": "MEM_ADDR_LO"}
17829 ]
17830 },
17831 "CP_APPEND_LAST_CS_FENCE_HI": {
17832 "fields": [
17833 {"bits": [0, 31], "name": "LAST_FENCE"}
17834 ]
17835 },
17836 "CP_CE_IB1_BASE_HI": {
17837 "fields": [
17838 {"bits": [0, 15], "name": "IB1_BASE_HI"}
17839 ]
17840 },
17841 "CP_CE_IB1_BASE_LO": {
17842 "fields": [
17843 {"bits": [2, 31], "name": "IB1_BASE_LO"}
17844 ]
17845 },
17846 "CP_CE_IB1_BUFSZ": {
17847 "fields": [
17848 {"bits": [0, 19], "name": "IB1_BUFSZ"}
17849 ]
17850 },
17851 "CP_CE_IB1_CMD_BUFSZ": {
17852 "fields": [
17853 {"bits": [0, 19], "name": "IB1_CMD_REQSZ"}
17854 ]
17855 },
17856 "CP_CE_IB2_BASE_HI": {
17857 "fields": [
17858 {"bits": [0, 15], "name": "IB2_BASE_HI"}
17859 ]
17860 },
17861 "CP_CE_IB2_BASE_LO": {
17862 "fields": [
17863 {"bits": [2, 31], "name": "IB2_BASE_LO"}
17864 ]
17865 },
17866 "CP_CE_IB2_BUFSZ": {
17867 "fields": [
17868 {"bits": [0, 19], "name": "IB2_BUFSZ"}
17869 ]
17870 },
17871 "CP_CE_IB2_CMD_BUFSZ": {
17872 "fields": [
17873 {"bits": [0, 19], "name": "IB2_CMD_REQSZ"}
17874 ]
17875 },
17876 "CP_CE_INIT_BASE_HI": {
17877 "fields": [
17878 {"bits": [0, 15], "name": "INIT_BASE_HI"}
17879 ]
17880 },
17881 "CP_CE_INIT_BASE_LO": {
17882 "fields": [
17883 {"bits": [5, 31], "name": "INIT_BASE_LO"}
17884 ]
17885 },
17886 "CP_CE_INIT_BUFSZ": {
17887 "fields": [
17888 {"bits": [0, 11], "name": "INIT_BUFSZ"}
17889 ]
17890 },
17891 "CP_CE_INIT_CMD_BUFSZ": {
17892 "fields": [
17893 {"bits": [0, 11], "name": "INIT_CMD_REQSZ"}
17894 ]
17895 },
17896 "CP_COHER_BASE": {
17897 "fields": [
17898 {"bits": [0, 31], "name": "COHER_BASE_256B"}
17899 ]
17900 },
17901 "CP_COHER_BASE_HI": {
17902 "fields": [
17903 {"bits": [0, 7], "name": "COHER_BASE_HI_256B"}
17904 ]
17905 },
17906 "CP_COHER_CNTL": {
17907 "fields": [
17908 {"bits": [3, 3], "name": "TC_NC_ACTION_ENA"},
17909 {"bits": [4, 4], "name": "TC_WC_ACTION_ENA"},
17910 {"bits": [5, 5], "name": "TC_INV_METADATA_ACTION_ENA"},
17911 {"bits": [15, 15], "name": "TCL1_VOL_ACTION_ENA"},
17912 {"bits": [18, 18], "name": "TC_WB_ACTION_ENA"},
17913 {"bits": [22, 22], "name": "TCL1_ACTION_ENA"},
17914 {"bits": [23, 23], "name": "TC_ACTION_ENA"},
17915 {"bits": [25, 25], "name": "CB_ACTION_ENA"},
17916 {"bits": [26, 26], "name": "DB_ACTION_ENA"},
17917 {"bits": [27, 27], "name": "SH_KCACHE_ACTION_ENA"},
17918 {"bits": [28, 28], "name": "SH_KCACHE_VOL_ACTION_ENA"},
17919 {"bits": [29, 29], "name": "SH_ICACHE_ACTION_ENA"},
17920 {"bits": [30, 30], "name": "SH_KCACHE_WB_ACTION_ENA"}
17921 ]
17922 },
17923 "CP_COHER_SIZE": {
17924 "fields": [
17925 {"bits": [0, 31], "name": "COHER_SIZE_256B"}
17926 ]
17927 },
17928 "CP_COHER_SIZE_HI": {
17929 "fields": [
17930 {"bits": [0, 7], "name": "COHER_SIZE_HI_256B"}
17931 ]
17932 },
17933 "CP_COHER_START_DELAY": {
17934 "fields": [
17935 {"bits": [0, 5], "name": "START_DELAY_COUNT"}
17936 ]
17937 },
17938 "CP_COHER_STATUS": {
17939 "fields": [
17940 {"bits": [24, 25], "name": "MEID"},
17941 {"bits": [31, 31], "name": "STATUS"}
17942 ]
17943 },
17944 "CP_DB_BASE_HI": {
17945 "fields": [
17946 {"bits": [0, 15], "name": "DB_BASE_HI"}
17947 ]
17948 },
17949 "CP_DB_BASE_LO": {
17950 "fields": [
17951 {"bits": [2, 31], "name": "DB_BASE_LO"}
17952 ]
17953 },
17954 "CP_DB_BUFSZ": {
17955 "fields": [
17956 {"bits": [0, 19], "name": "DB_BUFSZ"}
17957 ]
17958 },
17959 "CP_DB_CMD_BUFSZ": {
17960 "fields": [
17961 {"bits": [0, 19], "name": "DB_CMD_REQSZ"}
17962 ]
17963 },
17964 "CP_DE_CE_COUNT": {
17965 "fields": [
17966 {"bits": [0, 31], "name": "CONST_ENGINE_COUNT"}
17967 ]
17968 },
17969 "CP_DMA_CNTL": {
17970 "fields": [
17971 {"bits": [0, 0], "name": "UTCL1_FAULT_CONTROL"},
17972 {"bits": [1, 1], "name": "WATCH_CONTROL"},
17973 {"bits": [4, 5], "name": "MIN_AVAILSZ"},
17974 {"bits": [16, 24], "name": "BUFFER_DEPTH"},
17975 {"bits": [28, 28], "name": "PIO_FIFO_EMPTY"},
17976 {"bits": [29, 29], "name": "PIO_FIFO_FULL"},
17977 {"bits": [30, 31], "name": "PIO_COUNT"}
17978 ]
17979 },
17980 "CP_DMA_ME_CMD_ADDR_LO": {
17981 "fields": [
17982 {"bits": [0, 1], "name": "RSVD"},
17983 {"bits": [2, 31], "name": "ADDR_LO"}
17984 ]
17985 },
17986 "CP_DMA_READ_TAGS": {
17987 "fields": [
17988 {"bits": [0, 25], "name": "DMA_READ_TAG"},
17989 {"bits": [28, 28], "name": "DMA_READ_TAG_VALID"}
17990 ]
17991 },
17992 "CP_DRAW_OBJECT": {
17993 "fields": [
17994 {"bits": [0, 31], "name": "OBJECT"}
17995 ]
17996 },
17997 "CP_DRAW_WINDOW_CNTL": {
17998 "fields": [
17999 {"bits": [0, 0], "name": "DISABLE_DRAW_WINDOW_LO_MAX"},
18000 {"bits": [1, 1], "name": "DISABLE_DRAW_WINDOW_LO_MIN"},
18001 {"bits": [2, 2], "name": "DISABLE_DRAW_WINDOW_HI"},
18002 {"bits": [8, 8], "name": "MODE"}
18003 ]
18004 },
18005 "CP_DRAW_WINDOW_HI": {
18006 "fields": [
18007 {"bits": [0, 31], "name": "WINDOW_HI"}
18008 ]
18009 },
18010 "CP_DRAW_WINDOW_LO": {
18011 "fields": [
18012 {"bits": [0, 15], "name": "MIN"},
18013 {"bits": [16, 31], "name": "MAX"}
18014 ]
18015 },
18016 "CP_DRAW_WINDOW_MASK_HI": {
18017 "fields": [
18018 {"bits": [0, 31], "name": "WINDOW_MASK_HI"}
18019 ]
18020 },
18021 "CP_ECC_FIRSTOCCURRENCE_RING0": {
18022 "fields": [
18023 {"bits": [0, 31], "name": "OBSOLETE"}
18024 ]
18025 },
18026 "CP_EOP_DONE_DATA_CNTL": {
18027 "fields": [
18028 {"bits": [16, 17], "name": "DST_SEL"},
18029 {"bits": [24, 26], "name": "INT_SEL"},
18030 {"bits": [29, 31], "name": "DATA_SEL"}
18031 ]
18032 },
18033 "CP_EOP_DONE_DOORBELL": {
18034 "fields": [
18035 {"bits": [2, 27], "name": "DOORBELL_OFFSET"}
18036 ]
18037 },
18038 "CP_EOP_DONE_EVENT_CNTL": {
18039 "fields": [
18040 {"bits": [12, 23], "name": "GCR_CNTL"},
18041 {"bits": [25, 26], "name": "CACHE_POLICY"},
18042 {"bits": [27, 27], "name": "EOP_VOLATILE"},
18043 {"bits": [28, 28], "name": "EXECUTE"}
18044 ]
18045 },
18046 "CP_EOP_LAST_FENCE_HI": {
18047 "fields": [
18048 {"bits": [0, 31], "name": "LAST_FENCE_HI"}
18049 ]
18050 },
18051 "CP_EOP_LAST_FENCE_LO": {
18052 "fields": [
18053 {"bits": [0, 31], "name": "LAST_FENCE_LO"}
18054 ]
18055 },
18056 "CP_GFX_DDID_INFLIGHT_COUNT": {
18057 "fields": [
18058 {"bits": [0, 15], "name": "COUNT"}
18059 ]
18060 },
18061 "CP_GFX_HPD_OSPRE_FENCE_ADDR_HI": {
18062 "fields": [
18063 {"bits": [0, 15], "name": "ADDR_HI"},
18064 {"bits": [16, 31], "name": "RSVD"}
18065 ]
18066 },
18067 "CP_GFX_HPD_OSPRE_FENCE_ADDR_LO": {
18068 "fields": [
18069 {"bits": [2, 31], "name": "ADDR_LO"}
18070 ]
18071 },
18072 "CP_GFX_HPD_OSPRE_FENCE_DATA_HI": {
18073 "fields": [
18074 {"bits": [0, 31], "name": "DATA_HI"}
18075 ]
18076 },
18077 "CP_GFX_HPD_OSPRE_FENCE_DATA_LO": {
18078 "fields": [
18079 {"bits": [0, 31], "name": "DATA_LO"}
18080 ]
18081 },
18082 "CP_HQD_EOP_BASE_ADDR": {
18083 "fields": [
18084 {"bits": [0, 31], "name": "BASE_ADDR"}
18085 ]
18086 },
18087 "CP_HQD_PQ_WPTR_LO": {
18088 "fields": [
18089 {"bits": [0, 31], "name": "OFFSET"}
18090 ]
18091 },
18092 "CP_IB1_OFFSET": {
18093 "fields": [
18094 {"bits": [0, 19], "name": "IB1_OFFSET"}
18095 ]
18096 },
18097 "CP_IB1_PREAMBLE_BEGIN": {
18098 "fields": [
18099 {"bits": [0, 19], "name": "IB1_PREAMBLE_BEGIN"}
18100 ]
18101 },
18102 "CP_IB1_PREAMBLE_END": {
18103 "fields": [
18104 {"bits": [0, 19], "name": "IB1_PREAMBLE_END"}
18105 ]
18106 },
18107 "CP_IB2_OFFSET": {
18108 "fields": [
18109 {"bits": [0, 19], "name": "IB2_OFFSET"}
18110 ]
18111 },
18112 "CP_IB2_PREAMBLE_BEGIN": {
18113 "fields": [
18114 {"bits": [0, 19], "name": "IB2_PREAMBLE_BEGIN"}
18115 ]
18116 },
18117 "CP_IB2_PREAMBLE_END": {
18118 "fields": [
18119 {"bits": [0, 19], "name": "IB2_PREAMBLE_END"}
18120 ]
18121 },
18122 "CP_INDEX_TYPE": {
18123 "fields": [
18124 {"bits": [0, 1], "enum_ref": "VGT_DI_INDEX_SIZE", "name": "INDEX_TYPE"}
18125 ]
18126 },
18127 "CP_ME0_PIPE0_VMID": {
18128 "fields": [
18129 {"bits": [0, 3], "name": "VMID"}
18130 ]
18131 },
18132 "CP_MES_MTVEC_HI": {
18133 "fields": [
18134 {"bits": [0, 31], "name": "ADDR_LO"}
18135 ]
18136 },
18137 "CP_MES_SCRATCH_DATA": {
18138 "fields": [
18139 {"bits": [0, 31], "name": "SCRATCH_DATA"}
18140 ]
18141 },
18142 "CP_ME_COHER_CNTL": {
18143 "fields": [
18144 {"bits": [0, 0], "name": "DEST_BASE_0_ENA"},
18145 {"bits": [1, 1], "name": "DEST_BASE_1_ENA"},
18146 {"bits": [6, 6], "name": "CB0_DEST_BASE_ENA"},
18147 {"bits": [7, 7], "name": "CB1_DEST_BASE_ENA"},
18148 {"bits": [8, 8], "name": "CB2_DEST_BASE_ENA"},
18149 {"bits": [9, 9], "name": "CB3_DEST_BASE_ENA"},
18150 {"bits": [10, 10], "name": "CB4_DEST_BASE_ENA"},
18151 {"bits": [11, 11], "name": "CB5_DEST_BASE_ENA"},
18152 {"bits": [12, 12], "name": "CB6_DEST_BASE_ENA"},
18153 {"bits": [13, 13], "name": "CB7_DEST_BASE_ENA"},
18154 {"bits": [14, 14], "name": "DB_DEST_BASE_ENA"},
18155 {"bits": [19, 19], "name": "DEST_BASE_2_ENA"},
18156 {"bits": [21, 21], "name": "DEST_BASE_3_ENA"}
18157 ]
18158 },
18159 "CP_ME_COHER_STATUS": {
18160 "fields": [
18161 {"bits": [0, 7], "name": "MATCHING_GFX_CNTX"},
18162 {"bits": [31, 31], "name": "STATUS"}
18163 ]
18164 },
18165 "CP_ME_MC_RADDR_HI": {
18166 "fields": [
18167 {"bits": [0, 15], "name": "ME_MC_RADDR_HI"},
18168 {"bits": [22, 23], "name": "CACHE_POLICY"}
18169 ]
18170 },
18171 "CP_ME_MC_RADDR_LO": {
18172 "fields": [
18173 {"bits": [2, 31], "name": "ME_MC_RADDR_LO"}
18174 ]
18175 },
18176 "CP_ME_MC_WADDR_HI": {
18177 "fields": [
18178 {"bits": [0, 15], "name": "ME_MC_WADDR_HI"},
18179 {"bits": [22, 23], "name": "CACHE_POLICY"}
18180 ]
18181 },
18182 "CP_ME_MC_WADDR_LO": {
18183 "fields": [
18184 {"bits": [2, 31], "name": "ME_MC_WADDR_LO"}
18185 ]
18186 },
18187 "CP_ME_MC_WDATA_HI": {
18188 "fields": [
18189 {"bits": [0, 31], "name": "ME_MC_WDATA_HI"}
18190 ]
18191 },
18192 "CP_ME_MC_WDATA_LO": {
18193 "fields": [
18194 {"bits": [0, 31], "name": "ME_MC_WDATA_LO"}
18195 ]
18196 },
18197 "CP_NUM_PRIM_NEEDED_COUNT0_HI": {
18198 "fields": [
18199 {"bits": [0, 31], "name": "NUM_PRIM_NEEDED_CNT0_HI"}
18200 ]
18201 },
18202 "CP_NUM_PRIM_NEEDED_COUNT0_LO": {
18203 "fields": [
18204 {"bits": [0, 31], "name": "NUM_PRIM_NEEDED_CNT0_LO"}
18205 ]
18206 },
18207 "CP_NUM_PRIM_NEEDED_COUNT1_HI": {
18208 "fields": [
18209 {"bits": [0, 31], "name": "NUM_PRIM_NEEDED_CNT1_HI"}
18210 ]
18211 },
18212 "CP_NUM_PRIM_NEEDED_COUNT1_LO": {
18213 "fields": [
18214 {"bits": [0, 31], "name": "NUM_PRIM_NEEDED_CNT1_LO"}
18215 ]
18216 },
18217 "CP_NUM_PRIM_NEEDED_COUNT2_HI": {
18218 "fields": [
18219 {"bits": [0, 31], "name": "NUM_PRIM_NEEDED_CNT2_HI"}
18220 ]
18221 },
18222 "CP_NUM_PRIM_NEEDED_COUNT2_LO": {
18223 "fields": [
18224 {"bits": [0, 31], "name": "NUM_PRIM_NEEDED_CNT2_LO"}
18225 ]
18226 },
18227 "CP_NUM_PRIM_NEEDED_COUNT3_HI": {
18228 "fields": [
18229 {"bits": [0, 31], "name": "NUM_PRIM_NEEDED_CNT3_HI"}
18230 ]
18231 },
18232 "CP_NUM_PRIM_NEEDED_COUNT3_LO": {
18233 "fields": [
18234 {"bits": [0, 31], "name": "NUM_PRIM_NEEDED_CNT3_LO"}
18235 ]
18236 },
18237 "CP_NUM_PRIM_WRITTEN_COUNT0_HI": {
18238 "fields": [
18239 {"bits": [0, 31], "name": "NUM_PRIM_WRITTEN_CNT0_HI"}
18240 ]
18241 },
18242 "CP_NUM_PRIM_WRITTEN_COUNT0_LO": {
18243 "fields": [
18244 {"bits": [0, 31], "name": "NUM_PRIM_WRITTEN_CNT0_LO"}
18245 ]
18246 },
18247 "CP_NUM_PRIM_WRITTEN_COUNT1_HI": {
18248 "fields": [
18249 {"bits": [0, 31], "name": "NUM_PRIM_WRITTEN_CNT1_HI"}
18250 ]
18251 },
18252 "CP_NUM_PRIM_WRITTEN_COUNT1_LO": {
18253 "fields": [
18254 {"bits": [0, 31], "name": "NUM_PRIM_WRITTEN_CNT1_LO"}
18255 ]
18256 },
18257 "CP_NUM_PRIM_WRITTEN_COUNT2_HI": {
18258 "fields": [
18259 {"bits": [0, 31], "name": "NUM_PRIM_WRITTEN_CNT2_HI"}
18260 ]
18261 },
18262 "CP_NUM_PRIM_WRITTEN_COUNT2_LO": {
18263 "fields": [
18264 {"bits": [0, 31], "name": "NUM_PRIM_WRITTEN_CNT2_LO"}
18265 ]
18266 },
18267 "CP_NUM_PRIM_WRITTEN_COUNT3_HI": {
18268 "fields": [
18269 {"bits": [0, 31], "name": "NUM_PRIM_WRITTEN_CNT3_HI"}
18270 ]
18271 },
18272 "CP_NUM_PRIM_WRITTEN_COUNT3_LO": {
18273 "fields": [
18274 {"bits": [0, 31], "name": "NUM_PRIM_WRITTEN_CNT3_LO"}
18275 ]
18276 },
18277 "CP_PA_CINVOC_COUNT_HI": {
18278 "fields": [
18279 {"bits": [0, 31], "name": "CINVOC_COUNT_HI"}
18280 ]
18281 },
18282 "CP_PA_CINVOC_COUNT_LO": {
18283 "fields": [
18284 {"bits": [0, 31], "name": "CINVOC_COUNT_LO"}
18285 ]
18286 },
18287 "CP_PA_CPRIM_COUNT_HI": {
18288 "fields": [
18289 {"bits": [0, 31], "name": "CPRIM_COUNT_HI"}
18290 ]
18291 },
18292 "CP_PA_CPRIM_COUNT_LO": {
18293 "fields": [
18294 {"bits": [0, 31], "name": "CPRIM_COUNT_LO"}
18295 ]
18296 },
18297 "CP_PERFMON_CNTL": {
18298 "fields": [
18299 {"bits": [0, 3], "enum_ref": "CP_PERFMON_STATE", "name": "PERFMON_STATE"},
18300 {"bits": [4, 7], "enum_ref": "SPM_PERFMON_STATE", "name": "SPM_PERFMON_STATE"},
18301 {"bits": [8, 9], "enum_ref": "CP_PERFMON_ENABLE_MODE", "name": "PERFMON_ENABLE_MODE"},
18302 {"bits": [10, 10], "name": "PERFMON_SAMPLE_ENABLE"}
18303 ]
18304 },
18305 "CP_PERFMON_CNTX_CNTL": {
18306 "fields": [
18307 {"bits": [31, 31], "name": "PERFMON_ENABLE"}
18308 ]
18309 },
18310 "CP_PFP_ATOMIC_PREOP_HI": {
18311 "fields": [
18312 {"bits": [0, 31], "name": "ATOMIC_PREOP_HI"}
18313 ]
18314 },
18315 "CP_PFP_ATOMIC_PREOP_LO": {
18316 "fields": [
18317 {"bits": [0, 31], "name": "ATOMIC_PREOP_LO"}
18318 ]
18319 },
18320 "CP_PFP_COMPLETION_STATUS": {
18321 "fields": [
18322 {"bits": [0, 1], "name": "STATUS"}
18323 ]
18324 },
18325 "CP_PFP_GDS_ATOMIC0_PREOP_HI": {
18326 "fields": [
18327 {"bits": [0, 31], "name": "GDS_ATOMIC0_PREOP_HI"}
18328 ]
18329 },
18330 "CP_PFP_GDS_ATOMIC0_PREOP_LO": {
18331 "fields": [
18332 {"bits": [0, 31], "name": "GDS_ATOMIC0_PREOP_LO"}
18333 ]
18334 },
18335 "CP_PFP_GDS_ATOMIC1_PREOP_HI": {
18336 "fields": [
18337 {"bits": [0, 31], "name": "GDS_ATOMIC1_PREOP_HI"}
18338 ]
18339 },
18340 "CP_PFP_GDS_ATOMIC1_PREOP_LO": {
18341 "fields": [
18342 {"bits": [0, 31], "name": "GDS_ATOMIC1_PREOP_LO"}
18343 ]
18344 },
18345 "CP_PFP_IB_CONTROL": {
18346 "fields": [
18347 {"bits": [0, 7], "name": "IB_EN"}
18348 ]
18349 },
18350 "CP_PFP_LOAD_CONTROL": {
18351 "fields": [
18352 {"bits": [0, 0], "name": "CONFIG_REG_EN"},
18353 {"bits": [1, 1], "name": "CNTX_REG_EN"},
18354 {"bits": [16, 16], "name": "SH_GFX_REG_EN"},
18355 {"bits": [24, 24], "name": "SH_CS_REG_EN"}
18356 ]
18357 },
18358 "CP_PIPE_STATS_ADDR_HI": {
18359 "fields": [
18360 {"bits": [0, 15], "name": "PIPE_STATS_ADDR_HI"}
18361 ]
18362 },
18363 "CP_PIPE_STATS_ADDR_LO": {
18364 "fields": [
18365 {"bits": [2, 31], "name": "PIPE_STATS_ADDR_LO"}
18366 ]
18367 },
18368 "CP_PIPE_STATS_CONTROL": {
18369 "fields": [
18370 {"bits": [25, 26], "name": "CACHE_POLICY"}
18371 ]
18372 },
18373 "CP_PRED_NOT_VISIBLE": {
18374 "fields": [
18375 {"bits": [0, 0], "name": "NOT_VISIBLE"}
18376 ]
18377 },
18378 "CP_SAMPLE_STATUS": {
18379 "fields": [
18380 {"bits": [0, 0], "name": "Z_PASS_ACITVE"},
18381 {"bits": [1, 1], "name": "STREAMOUT_ACTIVE"},
18382 {"bits": [2, 2], "name": "PIPELINE_ACTIVE"},
18383 {"bits": [3, 3], "name": "STIPPLE_ACTIVE"},
18384 {"bits": [4, 4], "name": "VGT_BUFFERS_ACTIVE"},
18385 {"bits": [5, 5], "name": "SCREEN_EXT_ACTIVE"},
18386 {"bits": [6, 6], "name": "DRAW_INDIRECT_ACTIVE"},
18387 {"bits": [7, 7], "name": "DISP_INDIRECT_ACTIVE"}
18388 ]
18389 },
18390 "CP_SCRATCH_INDEX": {
18391 "fields": [
18392 {"bits": [0, 7], "name": "SCRATCH_INDEX"},
18393 {"bits": [31, 31], "name": "SCRATCH_INDEX_64BIT_MODE"}
18394 ]
18395 },
18396 "CP_SC_PSINVOC_COUNT0_HI": {
18397 "fields": [
18398 {"bits": [0, 31], "name": "PSINVOC_COUNT0_HI"}
18399 ]
18400 },
18401 "CP_SC_PSINVOC_COUNT0_LO": {
18402 "fields": [
18403 {"bits": [0, 31], "name": "PSINVOC_COUNT0_LO"}
18404 ]
18405 },
18406 "CP_SEM_WAIT_TIMER": {
18407 "fields": [
18408 {"bits": [0, 31], "name": "SEM_WAIT_TIMER"}
18409 ]
18410 },
18411 "CP_SIG_SEM_ADDR_HI": {
18412 "fields": [
18413 {"bits": [0, 15], "name": "SEM_ADDR_HI"},
18414 {"bits": [16, 16], "name": "SEM_USE_MAILBOX"},
18415 {"bits": [20, 20], "name": "SEM_SIGNAL_TYPE"},
18416 {"bits": [24, 25], "name": "SEM_CLIENT_CODE"},
18417 {"bits": [29, 31], "name": "SEM_SELECT"}
18418 ]
18419 },
18420 "CP_SIG_SEM_ADDR_LO": {
18421 "fields": [
18422 {"bits": [0, 1], "name": "SEM_ADDR_SWAP"},
18423 {"bits": [3, 31], "name": "SEM_ADDR_LO"}
18424 ]
18425 },
18426 "CP_STREAM_OUT_ADDR_HI": {
18427 "fields": [
18428 {"bits": [0, 15], "name": "STREAM_OUT_ADDR_HI"}
18429 ]
18430 },
18431 "CP_STREAM_OUT_ADDR_LO": {
18432 "fields": [
18433 {"bits": [2, 31], "name": "STREAM_OUT_ADDR_LO"}
18434 ]
18435 },
18436 "CP_STRMOUT_CNTL": {
18437 "fields": [
18438 {"bits": [0, 0], "name": "OFFSET_UPDATE_DONE"}
18439 ]
18440 },
18441 "CP_ST_BASE_HI": {
18442 "fields": [
18443 {"bits": [0, 15], "name": "ST_BASE_HI"}
18444 ]
18445 },
18446 "CP_ST_BASE_LO": {
18447 "fields": [
18448 {"bits": [2, 31], "name": "ST_BASE_LO"}
18449 ]
18450 },
18451 "CP_ST_BUFSZ": {
18452 "fields": [
18453 {"bits": [0, 19], "name": "ST_BUFSZ"}
18454 ]
18455 },
18456 "CP_ST_CMD_BUFSZ": {
18457 "fields": [
18458 {"bits": [0, 19], "name": "ST_CMD_REQSZ"}
18459 ]
18460 },
18461 "CP_VGT_CSINVOC_COUNT_HI": {
18462 "fields": [
18463 {"bits": [0, 31], "name": "CSINVOC_COUNT_HI"}
18464 ]
18465 },
18466 "CP_VGT_CSINVOC_COUNT_LO": {
18467 "fields": [
18468 {"bits": [0, 31], "name": "CSINVOC_COUNT_LO"}
18469 ]
18470 },
18471 "CP_VGT_DSINVOC_COUNT_HI": {
18472 "fields": [
18473 {"bits": [0, 31], "name": "DSINVOC_COUNT_HI"}
18474 ]
18475 },
18476 "CP_VGT_DSINVOC_COUNT_LO": {
18477 "fields": [
18478 {"bits": [0, 31], "name": "DSINVOC_COUNT_LO"}
18479 ]
18480 },
18481 "CP_VGT_GSINVOC_COUNT_HI": {
18482 "fields": [
18483 {"bits": [0, 31], "name": "GSINVOC_COUNT_HI"}
18484 ]
18485 },
18486 "CP_VGT_GSINVOC_COUNT_LO": {
18487 "fields": [
18488 {"bits": [0, 31], "name": "GSINVOC_COUNT_LO"}
18489 ]
18490 },
18491 "CP_VGT_GSPRIM_COUNT_HI": {
18492 "fields": [
18493 {"bits": [0, 31], "name": "GSPRIM_COUNT_HI"}
18494 ]
18495 },
18496 "CP_VGT_GSPRIM_COUNT_LO": {
18497 "fields": [
18498 {"bits": [0, 31], "name": "GSPRIM_COUNT_LO"}
18499 ]
18500 },
18501 "CP_VGT_HSINVOC_COUNT_HI": {
18502 "fields": [
18503 {"bits": [0, 31], "name": "HSINVOC_COUNT_HI"}
18504 ]
18505 },
18506 "CP_VGT_HSINVOC_COUNT_LO": {
18507 "fields": [
18508 {"bits": [0, 31], "name": "HSINVOC_COUNT_LO"}
18509 ]
18510 },
18511 "CP_VGT_IAPRIM_COUNT_HI": {
18512 "fields": [
18513 {"bits": [0, 31], "name": "IAPRIM_COUNT_HI"}
18514 ]
18515 },
18516 "CP_VGT_IAPRIM_COUNT_LO": {
18517 "fields": [
18518 {"bits": [0, 31], "name": "IAPRIM_COUNT_LO"}
18519 ]
18520 },
18521 "CP_VGT_IAVERT_COUNT_HI": {
18522 "fields": [
18523 {"bits": [0, 31], "name": "IAVERT_COUNT_HI"}
18524 ]
18525 },
18526 "CP_VGT_IAVERT_COUNT_LO": {
18527 "fields": [
18528 {"bits": [0, 31], "name": "IAVERT_COUNT_LO"}
18529 ]
18530 },
18531 "CP_VGT_VSINVOC_COUNT_HI": {
18532 "fields": [
18533 {"bits": [0, 31], "name": "VSINVOC_COUNT_HI"}
18534 ]
18535 },
18536 "CP_VGT_VSINVOC_COUNT_LO": {
18537 "fields": [
18538 {"bits": [0, 31], "name": "VSINVOC_COUNT_LO"}
18539 ]
18540 },
18541 "CP_WAIT_REG_MEM_TIMEOUT": {
18542 "fields": [
18543 {"bits": [0, 31], "name": "WAIT_REG_MEM_TIMEOUT"}
18544 ]
18545 },
18546 "CS_COPY_STATE": {
18547 "fields": [
18548 {"bits": [0, 2], "name": "SRC_STATE_ID"}
18549 ]
18550 },
18551 "DB_ALPHA_TO_MASK": {
18552 "fields": [
18553 {"bits": [0, 0], "name": "ALPHA_TO_MASK_ENABLE"},
18554 {"bits": [8, 9], "name": "ALPHA_TO_MASK_OFFSET0"},
18555 {"bits": [10, 11], "name": "ALPHA_TO_MASK_OFFSET1"},
18556 {"bits": [12, 13], "name": "ALPHA_TO_MASK_OFFSET2"},
18557 {"bits": [14, 15], "name": "ALPHA_TO_MASK_OFFSET3"},
18558 {"bits": [16, 16], "name": "OFFSET_ROUND"}
18559 ]
18560 },
18561 "DB_COUNT_CONTROL": {
18562 "fields": [
18563 {"bits": [0, 0], "name": "ZPASS_INCREMENT_DISABLE"},
18564 {"bits": [1, 1], "name": "PERFECT_ZPASS_COUNTS"},
18565 {"bits": [2, 2], "name": "DISABLE_CONSERVATIVE_ZPASS_COUNTS"},
18566 {"bits": [3, 3], "name": "ENHANCED_CONSERVATIVE_ZPASS_COUNTS"},
18567 {"bits": [4, 6], "name": "SAMPLE_RATE"},
18568 {"bits": [8, 11], "name": "ZPASS_ENABLE"},
18569 {"bits": [12, 15], "name": "ZFAIL_ENABLE"},
18570 {"bits": [16, 19], "name": "SFAIL_ENABLE"},
18571 {"bits": [20, 23], "name": "DBFAIL_ENABLE"},
18572 {"bits": [24, 27], "name": "SLICE_EVEN_ENABLE"},
18573 {"bits": [28, 31], "name": "SLICE_ODD_ENABLE"}
18574 ]
18575 },
18576 "DB_DEPTH_BOUNDS_MAX": {
18577 "fields": [
18578 {"bits": [0, 31], "name": "MAX"}
18579 ]
18580 },
18581 "DB_DEPTH_BOUNDS_MIN": {
18582 "fields": [
18583 {"bits": [0, 31], "name": "MIN"}
18584 ]
18585 },
18586 "DB_DEPTH_CLEAR": {
18587 "fields": [
18588 {"bits": [0, 31], "name": "DEPTH_CLEAR"}
18589 ]
18590 },
18591 "DB_DEPTH_CONTROL": {
18592 "fields": [
18593 {"bits": [0, 0], "name": "STENCIL_ENABLE"},
18594 {"bits": [1, 1], "name": "Z_ENABLE"},
18595 {"bits": [2, 2], "name": "Z_WRITE_ENABLE"},
18596 {"bits": [3, 3], "name": "DEPTH_BOUNDS_ENABLE"},
18597 {"bits": [4, 6], "enum_ref": "CompareFrag", "name": "ZFUNC"},
18598 {"bits": [7, 7], "name": "BACKFACE_ENABLE"},
18599 {"bits": [8, 10], "name": "STENCILFUNC"},
18600 {"bits": [20, 22], "name": "STENCILFUNC_BF"},
18601 {"bits": [30, 30], "name": "ENABLE_COLOR_WRITES_ON_DEPTH_FAIL"},
18602 {"bits": [31, 31], "name": "DISABLE_COLOR_WRITES_ON_DEPTH_PASS"}
18603 ]
18604 },
18605 "DB_DEPTH_INFO": {
18606 "fields": [
18607 {"bits": [0, 3], "name": "ADDR5_SWIZZLE_MASK"},
18608 {"bits": [4, 7], "name": "ARRAY_MODE"},
18609 {"bits": [8, 12], "name": "PIPE_CONFIG"},
18610 {"bits": [13, 14], "name": "BANK_WIDTH"},
18611 {"bits": [15, 16], "name": "BANK_HEIGHT"},
18612 {"bits": [17, 18], "name": "MACRO_TILE_ASPECT"},
18613 {"bits": [19, 20], "name": "NUM_BANKS"},
18614 {"bits": [28, 31], "name": "RESOURCE_LEVEL"}
18615 ]
18616 },
18617 "DB_DEPTH_SIZE_XY": {
18618 "fields": [
18619 {"bits": [0, 13], "name": "X_MAX"},
18620 {"bits": [16, 29], "name": "Y_MAX"}
18621 ]
18622 },
18623 "DB_DEPTH_VIEW": {
18624 "fields": [
18625 {"bits": [0, 10], "name": "SLICE_START"},
18626 {"bits": [11, 12], "name": "SLICE_START_HI"},
18627 {"bits": [13, 23], "name": "SLICE_MAX"},
18628 {"bits": [24, 24], "name": "Z_READ_ONLY"},
18629 {"bits": [25, 25], "name": "STENCIL_READ_ONLY"},
18630 {"bits": [26, 29], "name": "MIPID"},
18631 {"bits": [30, 31], "name": "SLICE_MAX_HI"}
18632 ]
18633 },
18634 "DB_DFSM_CONTROL": {
18635 "fields": [
18636 {"bits": [0, 1], "name": "PUNCHOUT_MODE"},
18637 {"bits": [2, 2], "name": "POPS_DRAIN_PS_ON_OVERLAP"},
18638 {"bits": [3, 3], "name": "DISALLOW_OVERFLOW"}
18639 ]
18640 },
18641 "DB_EQAA": {
18642 "fields": [
18643 {"bits": [0, 2], "name": "MAX_ANCHOR_SAMPLES"},
18644 {"bits": [4, 6], "name": "PS_ITER_SAMPLES"},
18645 {"bits": [8, 10], "name": "MASK_EXPORT_NUM_SAMPLES"},
18646 {"bits": [12, 14], "name": "ALPHA_TO_MASK_NUM_SAMPLES"},
18647 {"bits": [16, 16], "name": "HIGH_QUALITY_INTERSECTIONS"},
18648 {"bits": [17, 17], "name": "INCOHERENT_EQAA_READS"},
18649 {"bits": [18, 18], "name": "INTERPOLATE_COMP_Z"},
18650 {"bits": [19, 19], "name": "INTERPOLATE_SRC_Z"},
18651 {"bits": [20, 20], "name": "STATIC_ANCHOR_ASSOCIATIONS"},
18652 {"bits": [21, 21], "name": "ALPHA_TO_MASK_EQAA_DISABLE"},
18653 {"bits": [24, 26], "name": "OVERRASTERIZATION_AMOUNT"},
18654 {"bits": [27, 27], "name": "ENABLE_POSTZ_OVERRASTERIZATION"}
18655 ]
18656 },
18657 "DB_HTILE_SURFACE": {
18658 "fields": [
18659 {"bits": [0, 0], "name": "LINEAR"},
18660 {"bits": [1, 1], "name": "FULL_CACHE"},
18661 {"bits": [2, 2], "name": "HTILE_USES_PRELOAD_WIN"},
18662 {"bits": [3, 3], "name": "PRELOAD"},
18663 {"bits": [4, 9], "name": "PREFETCH_WIDTH"},
18664 {"bits": [10, 15], "name": "PREFETCH_HEIGHT"},
18665 {"bits": [16, 16], "name": "DST_OUTSIDE_ZERO_TO_ONE"},
18666 {"bits": [17, 17], "name": "TC_COMPATIBLE"},
18667 {"bits": [18, 18], "name": "PIPE_ALIGNED"}
18668 ]
18669 },
18670 "DB_PERFCOUNTER0_SELECT": {
18671 "fields": [
18672 {"bits": [0, 9], "enum_ref": "PerfCounter_Vals", "name": "PERF_SEL"},
18673 {"bits": [10, 19], "enum_ref": "PerfCounter_Vals", "name": "PERF_SEL1"},
18674 {"bits": [20, 23], "name": "CNTR_MODE"},
18675 {"bits": [24, 27], "name": "PERF_MODE1"},
18676 {"bits": [28, 31], "name": "PERF_MODE"}
18677 ]
18678 },
18679 "DB_PERFCOUNTER0_SELECT1": {
18680 "fields": [
18681 {"bits": [0, 9], "enum_ref": "PerfCounter_Vals", "name": "PERF_SEL2"},
18682 {"bits": [10, 19], "enum_ref": "PerfCounter_Vals", "name": "PERF_SEL3"},
18683 {"bits": [24, 27], "name": "PERF_MODE3"},
18684 {"bits": [28, 31], "name": "PERF_MODE2"}
18685 ]
18686 },
18687 "DB_PRELOAD_CONTROL": {
18688 "fields": [
18689 {"bits": [0, 7], "name": "START_X"},
18690 {"bits": [8, 15], "name": "START_Y"},
18691 {"bits": [16, 23], "name": "MAX_X"},
18692 {"bits": [24, 31], "name": "MAX_Y"}
18693 ]
18694 },
18695 "DB_RENDER_CONTROL": {
18696 "fields": [
18697 {"bits": [0, 0], "name": "DEPTH_CLEAR_ENABLE"},
18698 {"bits": [1, 1], "name": "STENCIL_CLEAR_ENABLE"},
18699 {"bits": [2, 2], "name": "DEPTH_COPY"},
18700 {"bits": [3, 3], "name": "STENCIL_COPY"},
18701 {"bits": [4, 4], "name": "RESUMMARIZE_ENABLE"},
18702 {"bits": [5, 5], "name": "STENCIL_COMPRESS_DISABLE"},
18703 {"bits": [6, 6], "name": "DEPTH_COMPRESS_DISABLE"},
18704 {"bits": [7, 7], "name": "COPY_CENTROID"},
18705 {"bits": [8, 11], "name": "COPY_SAMPLE"},
18706 {"bits": [12, 12], "name": "DECOMPRESS_ENABLE"}
18707 ]
18708 },
18709 "DB_RENDER_OVERRIDE": {
18710 "fields": [
18711 {"bits": [0, 1], "enum_ref": "ForceControl", "name": "FORCE_HIZ_ENABLE"},
18712 {"bits": [2, 3], "enum_ref": "ForceControl", "name": "FORCE_HIS_ENABLE0"},
18713 {"bits": [4, 5], "enum_ref": "ForceControl", "name": "FORCE_HIS_ENABLE1"},
18714 {"bits": [6, 6], "name": "FORCE_SHADER_Z_ORDER"},
18715 {"bits": [7, 7], "name": "FAST_Z_DISABLE"},
18716 {"bits": [8, 8], "name": "FAST_STENCIL_DISABLE"},
18717 {"bits": [9, 9], "name": "NOOP_CULL_DISABLE"},
18718 {"bits": [10, 10], "name": "FORCE_COLOR_KILL"},
18719 {"bits": [11, 11], "name": "FORCE_Z_READ"},
18720 {"bits": [12, 12], "name": "FORCE_STENCIL_READ"},
18721 {"bits": [13, 14], "enum_ref": "ForceControl", "name": "FORCE_FULL_Z_RANGE"},
18722 {"bits": [15, 15], "name": "FORCE_QC_SMASK_CONFLICT"},
18723 {"bits": [16, 16], "name": "DISABLE_VIEWPORT_CLAMP"},
18724 {"bits": [17, 17], "name": "IGNORE_SC_ZRANGE"},
18725 {"bits": [18, 18], "name": "DISABLE_FULLY_COVERED"},
18726 {"bits": [19, 20], "enum_ref": "ZLimitSumm", "name": "FORCE_Z_LIMIT_SUMM"},
18727 {"bits": [21, 25], "name": "MAX_TILES_IN_DTT"},
18728 {"bits": [26, 26], "name": "DISABLE_TILE_RATE_TILES"},
18729 {"bits": [27, 27], "name": "FORCE_Z_DIRTY"},
18730 {"bits": [28, 28], "name": "FORCE_STENCIL_DIRTY"},
18731 {"bits": [29, 29], "name": "FORCE_Z_VALID"},
18732 {"bits": [30, 30], "name": "FORCE_STENCIL_VALID"},
18733 {"bits": [31, 31], "name": "PRESERVE_COMPRESSION"}
18734 ]
18735 },
18736 "DB_RENDER_OVERRIDE2": {
18737 "fields": [
18738 {"bits": [0, 1], "enum_ref": "DbPSLControl", "name": "PARTIAL_SQUAD_LAUNCH_CONTROL"},
18739 {"bits": [2, 4], "name": "PARTIAL_SQUAD_LAUNCH_COUNTDOWN"},
18740 {"bits": [5, 5], "name": "DISABLE_ZMASK_EXPCLEAR_OPTIMIZATION"},
18741 {"bits": [6, 6], "name": "DISABLE_SMEM_EXPCLEAR_OPTIMIZATION"},
18742 {"bits": [7, 7], "name": "DISABLE_COLOR_ON_VALIDATION"},
18743 {"bits": [8, 8], "name": "DECOMPRESS_Z_ON_FLUSH"},
18744 {"bits": [9, 9], "name": "DISABLE_REG_SNOOP"},
18745 {"bits": [10, 10], "name": "DEPTH_BOUNDS_HIER_DEPTH_DISABLE"},
18746 {"bits": [11, 11], "name": "SEPARATE_HIZS_FUNC_ENABLE"},
18747 {"bits": [12, 14], "enum_ref": "CompareFrag", "name": "HIZ_ZFUNC"},
18748 {"bits": [15, 17], "name": "HIS_SFUNC_FF"},
18749 {"bits": [18, 20], "name": "HIS_SFUNC_BF"},
18750 {"bits": [21, 21], "name": "PRESERVE_ZRANGE"},
18751 {"bits": [22, 22], "name": "PRESERVE_SRESULTS"},
18752 {"bits": [23, 23], "name": "DISABLE_FAST_PASS"},
18753 {"bits": [25, 25], "name": "ALLOW_PARTIAL_RES_HIER_KILL"},
18754 {"bits": [27, 28], "name": "CENTROID_COMPUTATION_MODE_GFX103"}
18755 ]
18756 },
18757 "DB_RMI_L2_CACHE_CONTROL": {
18758 "fields": [
18759 {"bits": [0, 1], "enum_ref": "WritePolicy", "name": "Z_WR_POLICY"},
18760 {"bits": [2, 3], "enum_ref": "WritePolicy", "name": "S_WR_POLICY"},
18761 {"bits": [4, 5], "enum_ref": "WritePolicy", "name": "HTILE_WR_POLICY"},
18762 {"bits": [6, 7], "enum_ref": "WritePolicy", "name": "ZPCPSD_WR_POLICY"},
18763 {"bits": [16, 17], "enum_ref": "ReadPolicy", "name": "Z_RD_POLICY"},
18764 {"bits": [18, 19], "enum_ref": "ReadPolicy", "name": "S_RD_POLICY"},
18765 {"bits": [20, 21], "enum_ref": "ReadPolicy", "name": "HTILE_RD_POLICY"},
18766 {"bits": [24, 24], "name": "Z_BIG_PAGE"},
18767 {"bits": [25, 25], "name": "S_BIG_PAGE"}
18768 ]
18769 },
18770 "DB_SHADER_CONTROL": {
18771 "fields": [
18772 {"bits": [0, 0], "name": "Z_EXPORT_ENABLE"},
18773 {"bits": [1, 1], "name": "STENCIL_TEST_VAL_EXPORT_ENABLE"},
18774 {"bits": [2, 2], "name": "STENCIL_OP_VAL_EXPORT_ENABLE"},
18775 {"bits": [4, 5], "enum_ref": "ZOrder", "name": "Z_ORDER"},
18776 {"bits": [6, 6], "name": "KILL_ENABLE"},
18777 {"bits": [7, 7], "name": "COVERAGE_TO_MASK_ENABLE"},
18778 {"bits": [8, 8], "name": "MASK_EXPORT_ENABLE"},
18779 {"bits": [9, 9], "name": "EXEC_ON_HIER_FAIL"},
18780 {"bits": [10, 10], "name": "EXEC_ON_NOOP"},
18781 {"bits": [11, 11], "name": "ALPHA_TO_MASK_DISABLE"},
18782 {"bits": [12, 12], "name": "DEPTH_BEFORE_SHADER"},
18783 {"bits": [13, 14], "enum_ref": "ConservativeZExport", "name": "CONSERVATIVE_Z_EXPORT"},
18784 {"bits": [15, 15], "name": "DUAL_QUAD_DISABLE"},
18785 {"bits": [16, 16], "name": "PRIMITIVE_ORDERED_PIXEL_SHADER"},
18786 {"bits": [17, 17], "name": "EXEC_IF_OVERLAPPED"},
18787 {"bits": [20, 22], "name": "POPS_OVERLAP_NUM_SAMPLES"},
18788 {"bits": [23, 23], "name": "PRE_SHADER_DEPTH_COVERAGE_ENABLE"}
18789 ]
18790 },
18791 "DB_SRESULTS_COMPARE_STATE0": {
18792 "fields": [
18793 {"bits": [0, 2], "name": "COMPAREFUNC0"},
18794 {"bits": [4, 11], "name": "COMPAREVALUE0"},
18795 {"bits": [12, 19], "name": "COMPAREMASK0"},
18796 {"bits": [24, 24], "name": "ENABLE0"}
18797 ]
18798 },
18799 "DB_SRESULTS_COMPARE_STATE1": {
18800 "fields": [
18801 {"bits": [0, 2], "name": "COMPAREFUNC1"},
18802 {"bits": [4, 11], "name": "COMPAREVALUE1"},
18803 {"bits": [12, 19], "name": "COMPAREMASK1"},
18804 {"bits": [24, 24], "name": "ENABLE1"}
18805 ]
18806 },
18807 "DB_STENCILREFMASK": {
18808 "fields": [
18809 {"bits": [0, 7], "name": "STENCILTESTVAL"},
18810 {"bits": [8, 15], "name": "STENCILMASK"},
18811 {"bits": [16, 23], "name": "STENCILWRITEMASK"},
18812 {"bits": [24, 31], "name": "STENCILOPVAL"}
18813 ]
18814 },
18815 "DB_STENCILREFMASK_BF": {
18816 "fields": [
18817 {"bits": [0, 7], "name": "STENCILTESTVAL_BF"},
18818 {"bits": [8, 15], "name": "STENCILMASK_BF"},
18819 {"bits": [16, 23], "name": "STENCILWRITEMASK_BF"},
18820 {"bits": [24, 31], "name": "STENCILOPVAL_BF"}
18821 ]
18822 },
18823 "DB_STENCIL_CLEAR": {
18824 "fields": [
18825 {"bits": [0, 7], "name": "CLEAR"}
18826 ]
18827 },
18828 "DB_STENCIL_CONTROL": {
18829 "fields": [
18830 {"bits": [0, 3], "enum_ref": "StencilOp", "name": "STENCILFAIL"},
18831 {"bits": [4, 7], "enum_ref": "StencilOp", "name": "STENCILZPASS"},
18832 {"bits": [8, 11], "enum_ref": "StencilOp", "name": "STENCILZFAIL"},
18833 {"bits": [12, 15], "enum_ref": "StencilOp", "name": "STENCILFAIL_BF"},
18834 {"bits": [16, 19], "enum_ref": "StencilOp", "name": "STENCILZPASS_BF"},
18835 {"bits": [20, 23], "enum_ref": "StencilOp", "name": "STENCILZFAIL_BF"}
18836 ]
18837 },
18838 "DB_STENCIL_INFO": {
18839 "fields": [
18840 {"bits": [0, 0], "name": "FORMAT"},
18841 {"bits": [4, 8], "name": "SW_MODE"},
18842 {"bits": [9, 10], "enum_ref": "DbPRTFaultBehavior", "name": "FAULT_BEHAVIOR"},
18843 {"bits": [11, 11], "name": "ITERATE_FLUSH"},
18844 {"bits": [12, 12], "name": "PARTIALLY_RESIDENT"},
18845 {"bits": [13, 15], "name": "TILE_SPLIT"},
18846 {"bits": [20, 20], "name": "ITERATE_256"},
18847 {"bits": [27, 27], "name": "ALLOW_EXPCLEAR"},
18848 {"bits": [29, 29], "name": "TILE_STENCIL_DISABLE"}
18849 ]
18850 },
18851 "DB_ZPASS_COUNT_HI": {
18852 "fields": [
18853 {"bits": [0, 30], "name": "COUNT_HI"}
18854 ]
18855 },
18856 "DB_ZPASS_COUNT_LOW": {
18857 "fields": [
18858 {"bits": [0, 31], "name": "COUNT_LOW"}
18859 ]
18860 },
18861 "DB_Z_INFO": {
18862 "fields": [
18863 {"bits": [0, 1], "name": "FORMAT"},
18864 {"bits": [2, 3], "name": "NUM_SAMPLES"},
18865 {"bits": [4, 8], "name": "SW_MODE"},
18866 {"bits": [9, 10], "enum_ref": "DbPRTFaultBehavior", "name": "FAULT_BEHAVIOR"},
18867 {"bits": [11, 11], "name": "ITERATE_FLUSH"},
18868 {"bits": [12, 12], "name": "PARTIALLY_RESIDENT"},
18869 {"bits": [13, 15], "name": "TILE_SPLIT"},
18870 {"bits": [16, 19], "name": "MAXMIP"},
18871 {"bits": [20, 20], "name": "ITERATE_256"},
18872 {"bits": [23, 26], "name": "DECOMPRESS_ON_N_ZPLANES"},
18873 {"bits": [27, 27], "name": "ALLOW_EXPCLEAR"},
18874 {"bits": [28, 28], "name": "READ_SIZE"},
18875 {"bits": [29, 29], "name": "TILE_SURFACE_ENABLE"},
18876 {"bits": [31, 31], "name": "ZRANGE_PRECISION"}
18877 ]
18878 },
18879 "DB_Z_READ_BASE_HI": {
18880 "fields": [
18881 {"bits": [0, 7], "name": "BASE_HI"}
18882 ]
18883 },
18884 "GCR_PERFCOUNTER0_SELECT": {
18885 "fields": [
18886 {"bits": [0, 8], "enum_ref": "GCRPerfSel", "name": "PERF_SEL"},
18887 {"bits": [10, 18], "enum_ref": "GCRPerfSel", "name": "PERF_SEL1"},
18888 {"bits": [20, 23], "name": "CNTR_MODE"},
18889 {"bits": [24, 27], "name": "PERF_MODE1"},
18890 {"bits": [28, 31], "name": "PERF_MODE"}
18891 ]
18892 },
18893 "GCR_PERFCOUNTER0_SELECT1": {
18894 "fields": [
18895 {"bits": [0, 8], "enum_ref": "GCRPerfSel", "name": "PERF_SEL2"},
18896 {"bits": [10, 18], "enum_ref": "GCRPerfSel", "name": "PERF_SEL3"},
18897 {"bits": [24, 27], "name": "PERF_MODE3"},
18898 {"bits": [28, 31], "name": "PERF_MODE2"}
18899 ]
18900 },
18901 "GCR_PERFCOUNTER1_SELECT": {
18902 "fields": [
18903 {"bits": [0, 8], "enum_ref": "GCRPerfSel", "name": "PERF_SEL"},
18904 {"bits": [24, 27], "name": "PERF_MODE"},
18905 {"bits": [28, 31], "name": "CNTL_MODE"}
18906 ]
18907 },
18908 "GDS_ATOM_BASE": {
18909 "fields": [
18910 {"bits": [0, 15], "name": "BASE"},
18911 {"bits": [16, 31], "name": "UNUSED"}
18912 ]
18913 },
18914 "GDS_ATOM_CNTL": {
18915 "fields": [
18916 {"bits": [0, 5], "name": "AINC"},
18917 {"bits": [6, 7], "name": "UNUSED1"},
18918 {"bits": [8, 9], "name": "DMODE"},
18919 {"bits": [10, 31], "name": "UNUSED2"}
18920 ]
18921 },
18922 "GDS_ATOM_COMPLETE": {
18923 "fields": [
18924 {"bits": [0, 0], "name": "COMPLETE"},
18925 {"bits": [1, 31], "name": "UNUSED"}
18926 ]
18927 },
18928 "GDS_ATOM_DST": {
18929 "fields": [
18930 {"bits": [0, 31], "name": "DST"}
18931 ]
18932 },
18933 "GDS_ATOM_OFFSET0": {
18934 "fields": [
18935 {"bits": [0, 7], "name": "OFFSET0"},
18936 {"bits": [8, 31], "name": "UNUSED"}
18937 ]
18938 },
18939 "GDS_ATOM_OFFSET1": {
18940 "fields": [
18941 {"bits": [0, 7], "name": "OFFSET1"},
18942 {"bits": [8, 31], "name": "UNUSED"}
18943 ]
18944 },
18945 "GDS_ATOM_OP": {
18946 "fields": [
18947 {"bits": [0, 7], "name": "OP"},
18948 {"bits": [8, 31], "name": "UNUSED"}
18949 ]
18950 },
18951 "GDS_ATOM_SIZE": {
18952 "fields": [
18953 {"bits": [0, 15], "name": "SIZE"},
18954 {"bits": [16, 31], "name": "UNUSED"}
18955 ]
18956 },
18957 "GDS_GWS_RESOURCE": {
18958 "fields": [
18959 {"bits": [0, 0], "name": "FLAG"},
18960 {"bits": [1, 12], "name": "COUNTER"},
18961 {"bits": [13, 13], "name": "TYPE"},
18962 {"bits": [14, 14], "name": "DED"},
18963 {"bits": [15, 15], "name": "RELEASE_ALL"},
18964 {"bits": [16, 26], "name": "HEAD_QUEUE"},
18965 {"bits": [27, 27], "name": "HEAD_VALID"},
18966 {"bits": [28, 28], "name": "HEAD_FLAG"},
18967 {"bits": [29, 29], "name": "HALTED"},
18968 {"bits": [30, 31], "name": "UNUSED1"}
18969 ]
18970 },
18971 "GDS_GWS_RESOURCE_CNT": {
18972 "fields": [
18973 {"bits": [0, 15], "name": "RESOURCE_CNT"},
18974 {"bits": [16, 31], "name": "UNUSED"}
18975 ]
18976 },
18977 "GDS_GWS_RESOURCE_CNTL": {
18978 "fields": [
18979 {"bits": [0, 5], "name": "INDEX"},
18980 {"bits": [6, 31], "name": "UNUSED"}
18981 ]
18982 },
18983 "GDS_OA_ADDRESS": {
18984 "fields": [
18985 {"bits": [0, 15], "name": "DS_ADDRESS"},
18986 {"bits": [16, 19], "name": "CRAWLER_TYPE"},
18987 {"bits": [20, 23], "name": "CRAWLER"},
18988 {"bits": [24, 29], "name": "UNUSED"},
18989 {"bits": [30, 30], "name": "NO_ALLOC"},
18990 {"bits": [31, 31], "name": "ENABLE"}
18991 ]
18992 },
18993 "GDS_OA_CNTL": {
18994 "fields": [
18995 {"bits": [0, 3], "name": "INDEX"},
18996 {"bits": [4, 31], "name": "UNUSED"}
18997 ]
18998 },
18999 "GDS_OA_COUNTER": {
19000 "fields": [
19001 {"bits": [0, 31], "name": "SPACE_AVAILABLE"}
19002 ]
19003 },
19004 "GDS_OA_INCDEC": {
19005 "fields": [
19006 {"bits": [0, 30], "name": "VALUE"},
19007 {"bits": [31, 31], "name": "INCDEC"}
19008 ]
19009 },
19010 "GDS_OA_RING_SIZE": {
19011 "fields": [
19012 {"bits": [0, 31], "name": "RING_SIZE"}
19013 ]
19014 },
19015 "GDS_RD_ADDR": {
19016 "fields": [
19017 {"bits": [0, 31], "name": "READ_ADDR"}
19018 ]
19019 },
19020 "GDS_RD_BURST_ADDR": {
19021 "fields": [
19022 {"bits": [0, 31], "name": "BURST_ADDR"}
19023 ]
19024 },
19025 "GDS_RD_BURST_COUNT": {
19026 "fields": [
19027 {"bits": [0, 31], "name": "BURST_COUNT"}
19028 ]
19029 },
19030 "GDS_RD_BURST_DATA": {
19031 "fields": [
19032 {"bits": [0, 31], "name": "BURST_DATA"}
19033 ]
19034 },
19035 "GDS_RD_DATA": {
19036 "fields": [
19037 {"bits": [0, 31], "name": "READ_DATA"}
19038 ]
19039 },
19040 "GDS_WRITE_COMPLETE": {
19041 "fields": [
19042 {"bits": [0, 31], "name": "WRITE_COMPLETE"}
19043 ]
19044 },
19045 "GDS_WR_ADDR": {
19046 "fields": [
19047 {"bits": [0, 31], "name": "WRITE_ADDR"}
19048 ]
19049 },
19050 "GDS_WR_DATA": {
19051 "fields": [
19052 {"bits": [0, 31], "name": "WRITE_DATA"}
19053 ]
19054 },
19055 "GE_CNTL": {
19056 "fields": [
19057 {"bits": [0, 8], "name": "PRIM_GRP_SIZE"},
19058 {"bits": [9, 17], "name": "VERT_GRP_SIZE"},
19059 {"bits": [18, 18], "name": "BREAK_WAVE_AT_EOI"},
19060 {"bits": [19, 19], "name": "PACKET_TO_ONE_PA"}
19061 ]
19062 },
19063 "GE_USER_VGPR_EN": {
19064 "fields": [
19065 {"bits": [0, 0], "name": "EN_USER_VGPR1"},
19066 {"bits": [1, 1], "name": "EN_USER_VGPR2"},
19067 {"bits": [2, 2], "name": "EN_USER_VGPR3"}
19068 ]
19069 },
19070 "GE_DMA_FIRST_INDEX": {
19071 "fields": [
19072 {"bits": [0, 31], "name": "FIRST_INDEX"}
19073 ]
19074 },
19075 "GE_INDX_OFFSET": {
19076 "fields": [
19077 {"bits": [0, 31], "name": "INDX_OFFSET"}
19078 ]
19079 },
19080 "GE_MAX_OUTPUT_PER_SUBGROUP": {
19081 "fields": [
19082 {"bits": [0, 9], "name": "MAX_VERTS_PER_SUBGROUP"}
19083 ]
19084 },
19085 "GE_MAX_VTX_INDX": {
19086 "fields": [
19087 {"bits": [0, 31], "name": "MAX_INDX"}
19088 ]
19089 },
19090 "GE_MIN_VTX_INDX": {
19091 "fields": [
19092 {"bits": [0, 31], "name": "MIN_INDX"}
19093 ]
19094 },
19095 "GE_MULTI_PRIM_IB_RESET_EN": {
19096 "fields": [
19097 {"bits": [0, 0], "name": "RESET_EN"},
19098 {"bits": [1, 1], "name": "MATCH_ALL_BITS"}
19099 ]
19100 },
19101 "GE_NGG_SUBGRP_CNTL": {
19102 "fields": [
19103 {"bits": [0, 8], "name": "PRIM_AMP_FACTOR"},
19104 {"bits": [9, 17], "name": "THDS_PER_SUBGRP"}
19105 ]
19106 },
19107 "GE_PC_ALLOC": {
19108 "fields": [
19109 {"bits": [0, 0], "name": "OVERSUB_EN"},
19110 {"bits": [1, 10], "name": "NUM_PC_LINES"}
19111 ]
19112 },
19113 "GE_PERFCOUNTER0_SELECT": {
19114 "fields": [
19115 {"bits": [0, 9], "enum_ref": "GE_PERFCOUNT_SELECT", "name": "PERF_SEL0"},
19116 {"bits": [10, 19], "enum_ref": "GE_PERFCOUNT_SELECT", "name": "PERF_SEL1"},
19117 {"bits": [20, 23], "name": "CNTR_MODE"},
19118 {"bits": [24, 27], "name": "PERF_MODE0"},
19119 {"bits": [28, 31], "name": "PERF_MODE1"}
19120 ]
19121 },
19122 "GE_PERFCOUNTER0_SELECT1": {
19123 "fields": [
19124 {"bits": [0, 9], "enum_ref": "GE_PERFCOUNT_SELECT", "name": "PERF_SEL2"},
19125 {"bits": [10, 19], "enum_ref": "GE_PERFCOUNT_SELECT", "name": "PERF_SEL3"},
19126 {"bits": [24, 27], "name": "PERF_MODE2"},
19127 {"bits": [28, 31], "name": "PERF_MODE3"}
19128 ]
19129 },
19130 "GE_PERFCOUNTER4_SELECT": {
19131 "fields": [
19132 {"bits": [0, 9], "enum_ref": "GE_PERFCOUNT_SELECT", "name": "PERF_SEL0"},
19133 {"bits": [28, 31], "name": "PERF_MODE"}
19134 ]
19135 },
19136 "GE_STEREO_CNTL": {
19137 "fields": [
19138 {"bits": [0, 2], "name": "RT_SLICE"},
19139 {"bits": [3, 6], "name": "VIEWPORT"},
19140 {"bits": [8, 8], "name": "EN_STEREO"}
19141 ]
19142 },
19143 "GL1A_PERFCOUNTER0_SELECT": {
19144 "fields": [
19145 {"bits": [0, 9], "enum_ref": "GL1A_PERF_SEL", "name": "PERF_SEL"},
19146 {"bits": [10, 19], "enum_ref": "GL1A_PERF_SEL", "name": "PERF_SEL1"},
19147 {"bits": [20, 23], "name": "CNTR_MODE"},
19148 {"bits": [24, 27], "name": "PERF_MODE1"},
19149 {"bits": [28, 31], "name": "PERF_MODE"}
19150 ]
19151 },
19152 "GL1A_PERFCOUNTER0_SELECT1": {
19153 "fields": [
19154 {"bits": [0, 9], "enum_ref": "GL1A_PERF_SEL", "name": "PERF_SEL2"},
19155 {"bits": [10, 19], "enum_ref": "GL1A_PERF_SEL", "name": "PERF_SEL3"},
19156 {"bits": [24, 27], "name": "PERF_MODE2"},
19157 {"bits": [28, 31], "name": "PERF_MODE3"}
19158 ]
19159 },
19160 "GL1A_PERFCOUNTER1_SELECT": {
19161 "fields": [
19162 {"bits": [0, 9], "enum_ref": "GL1A_PERF_SEL", "name": "PERF_SEL"},
19163 {"bits": [20, 23], "name": "CNTR_MODE"},
19164 {"bits": [28, 31], "name": "PERF_MODE"}
19165 ]
19166 },
19167 "GL1CG_PERFCOUNTER0_SELECT": {
19168 "fields": [
19169 {"bits": [0, 9], "enum_ref": "GL1CG_PERF_SEL", "name": "PERF_SEL"},
19170 {"bits": [10, 19], "enum_ref": "GL1CG_PERF_SEL", "name": "PERF_SEL1"},
19171 {"bits": [20, 23], "name": "CNTR_MODE"},
19172 {"bits": [24, 27], "name": "PERF_MODE1"},
19173 {"bits": [28, 31], "name": "PERF_MODE"}
19174 ]
19175 },
19176 "GL1CG_PERFCOUNTER0_SELECT1": {
19177 "fields": [
19178 {"bits": [0, 9], "enum_ref": "GL1CG_PERF_SEL", "name": "PERF_SEL2"},
19179 {"bits": [10, 19], "enum_ref": "GL1CG_PERF_SEL", "name": "PERF_SEL3"},
19180 {"bits": [24, 27], "name": "PERF_MODE2"},
19181 {"bits": [28, 31], "name": "PERF_MODE3"}
19182 ]
19183 },
19184 "GL1CG_PERFCOUNTER1_SELECT": {
19185 "fields": [
19186 {"bits": [0, 9], "enum_ref": "GL1CG_PERF_SEL", "name": "PERF_SEL"},
19187 {"bits": [20, 23], "name": "CNTR_MODE"},
19188 {"bits": [28, 31], "name": "PERF_MODE"}
19189 ]
19190 },
19191 "GL1C_PERFCOUNTER0_SELECT": {
19192 "fields": [
19193 {"bits": [0, 9], "enum_ref": "GL1C_PERF_SEL", "name": "PERF_SEL"},
19194 {"bits": [10, 19], "enum_ref": "GL1C_PERF_SEL", "name": "PERF_SEL1"},
19195 {"bits": [20, 23], "name": "CNTR_MODE"},
19196 {"bits": [24, 27], "name": "PERF_MODE1"},
19197 {"bits": [28, 31], "name": "PERF_MODE"}
19198 ]
19199 },
19200 "GL1C_PERFCOUNTER0_SELECT1": {
19201 "fields": [
19202 {"bits": [0, 9], "enum_ref": "GL1C_PERF_SEL", "name": "PERF_SEL2"},
19203 {"bits": [10, 19], "enum_ref": "GL1C_PERF_SEL", "name": "PERF_SEL3"},
19204 {"bits": [24, 27], "name": "PERF_MODE2"},
19205 {"bits": [28, 31], "name": "PERF_MODE3"}
19206 ]
19207 },
19208 "GL1C_PERFCOUNTER1_SELECT": {
19209 "fields": [
19210 {"bits": [0, 9], "enum_ref": "GL1C_PERF_SEL", "name": "PERF_SEL"},
19211 {"bits": [20, 23], "name": "CNTR_MODE"},
19212 {"bits": [28, 31], "name": "PERF_MODE"}
19213 ]
19214 },
19215 "GL2A_PERFCOUNTER0_SELECT": {
19216 "fields": [
19217 {"bits": [0, 9], "enum_ref": "GL2A_PERF_SEL", "name": "PERF_SEL"},
19218 {"bits": [10, 19], "enum_ref": "GL2A_PERF_SEL", "name": "PERF_SEL1"},
19219 {"bits": [20, 23], "name": "CNTR_MODE"},
19220 {"bits": [24, 27], "name": "PERF_MODE1"},
19221 {"bits": [28, 31], "name": "PERF_MODE"}
19222 ]
19223 },
19224 "GL2A_PERFCOUNTER0_SELECT1": {
19225 "fields": [
19226 {"bits": [0, 9], "enum_ref": "GL2A_PERF_SEL", "name": "PERF_SEL2"},
19227 {"bits": [10, 19], "enum_ref": "GL2A_PERF_SEL", "name": "PERF_SEL3"},
19228 {"bits": [24, 27], "name": "PERF_MODE2"},
19229 {"bits": [28, 31], "name": "PERF_MODE3"}
19230 ]
19231 },
19232 "GL2A_PERFCOUNTER2_SELECT": {
19233 "fields": [
19234 {"bits": [0, 9], "enum_ref": "GL2A_PERF_SEL", "name": "PERF_SEL"},
19235 {"bits": [20, 23], "name": "CNTR_MODE"},
19236 {"bits": [28, 31], "name": "PERF_MODE"}
19237 ]
19238 },
19239 "GL2C_PERFCOUNTER0_SELECT": {
19240 "fields": [
19241 {"bits": [0, 9], "enum_ref": "GL2C_PERF_SEL", "name": "PERF_SEL"},
19242 {"bits": [10, 19], "enum_ref": "GL2C_PERF_SEL", "name": "PERF_SEL1"},
19243 {"bits": [20, 23], "name": "CNTR_MODE"},
19244 {"bits": [24, 27], "name": "PERF_MODE1"},
19245 {"bits": [28, 31], "name": "PERF_MODE"}
19246 ]
19247 },
19248 "GL2C_PERFCOUNTER0_SELECT1": {
19249 "fields": [
19250 {"bits": [0, 9], "enum_ref": "GL2C_PERF_SEL", "name": "PERF_SEL2"},
19251 {"bits": [10, 19], "enum_ref": "GL2C_PERF_SEL", "name": "PERF_SEL3"},
19252 {"bits": [24, 27], "name": "PERF_MODE2"},
19253 {"bits": [28, 31], "name": "PERF_MODE3"}
19254 ]
19255 },
19256 "GL2C_PERFCOUNTER2_SELECT": {
19257 "fields": [
19258 {"bits": [0, 9], "enum_ref": "GL2C_PERF_SEL", "name": "PERF_SEL"},
19259 {"bits": [20, 23], "name": "CNTR_MODE"},
19260 {"bits": [28, 31], "name": "PERF_MODE"}
19261 ]
19262 },
19263 "GRBM_GFX_INDEX": {
19264 "fields": [
19265 {"bits": [0, 7], "name": "INSTANCE_INDEX"},
19266 {"bits": [8, 15], "name": "SA_INDEX"},
19267 {"bits": [16, 23], "name": "SE_INDEX"},
19268 {"bits": [29, 29], "name": "SA_BROADCAST_WRITES"},
19269 {"bits": [30, 30], "name": "INSTANCE_BROADCAST_WRITES"},
19270 {"bits": [31, 31], "name": "SE_BROADCAST_WRITES"}
19271 ]
19272 },
19273 "GRBM_PERFCOUNTER0_SELECT": {
19274 "fields": [
19275 {"bits": [0, 5], "enum_ref": "GRBM_PERF_SEL", "name": "PERF_SEL"},
19276 {"bits": [10, 10], "name": "DB_CLEAN_USER_DEFINED_MASK"},
19277 {"bits": [11, 11], "name": "CB_CLEAN_USER_DEFINED_MASK"},
19278 {"bits": [13, 13], "name": "TA_BUSY_USER_DEFINED_MASK"},
19279 {"bits": [14, 14], "name": "SX_BUSY_USER_DEFINED_MASK"},
19280 {"bits": [16, 16], "name": "SPI_BUSY_USER_DEFINED_MASK"},
19281 {"bits": [17, 17], "name": "SC_BUSY_USER_DEFINED_MASK"},
19282 {"bits": [18, 18], "name": "PA_BUSY_USER_DEFINED_MASK"},
19283 {"bits": [19, 19], "name": "GRBM_BUSY_USER_DEFINED_MASK"},
19284 {"bits": [20, 20], "name": "DB_BUSY_USER_DEFINED_MASK"},
19285 {"bits": [21, 21], "name": "CB_BUSY_USER_DEFINED_MASK"},
19286 {"bits": [22, 22], "name": "CP_BUSY_USER_DEFINED_MASK"},
19287 {"bits": [24, 24], "name": "GDS_BUSY_USER_DEFINED_MASK"},
19288 {"bits": [25, 25], "name": "BCI_BUSY_USER_DEFINED_MASK"},
19289 {"bits": [26, 26], "name": "RLC_BUSY_USER_DEFINED_MASK"},
19290 {"bits": [27, 27], "name": "TCP_BUSY_USER_DEFINED_MASK"},
19291 {"bits": [28, 28], "name": "GE_BUSY_USER_DEFINED_MASK"},
19292 {"bits": [29, 29], "name": "UTCL2_BUSY_USER_DEFINED_MASK"},
19293 {"bits": [30, 30], "name": "EA_BUSY_USER_DEFINED_MASK"},
19294 {"bits": [31, 31], "name": "RMI_BUSY_USER_DEFINED_MASK"}
19295 ]
19296 },
19297 "GRBM_PERFCOUNTER0_SELECT_HI": {
19298 "fields": [
19299 {"bits": [1, 1], "name": "UTCL1_BUSY_USER_DEFINED_MASK"},
19300 {"bits": [2, 2], "name": "GL2CC_BUSY_USER_DEFINED_MASK"},
19301 {"bits": [3, 3], "name": "SDMA_BUSY_USER_DEFINED_MASK"},
19302 {"bits": [4, 4], "name": "CH_BUSY_USER_DEFINED_MASK"},
19303 {"bits": [5, 5], "name": "PH_BUSY_USER_DEFINED_MASK"},
19304 {"bits": [6, 6], "name": "PMM_BUSY_USER_DEFINED_MASK"},
19305 {"bits": [7, 7], "name": "GUS_BUSY_USER_DEFINED_MASK"},
19306 {"bits": [8, 8], "name": "GL1CC_BUSY_USER_DEFINED_MASK"}
19307 ]
19308 },
19309 "GRBM_SE0_PERFCOUNTER_SELECT": {
19310 "fields": [
19311 {"bits": [0, 5], "enum_ref": "GRBM_SE0_PERF_SEL", "name": "PERF_SEL"},
19312 {"bits": [10, 10], "name": "DB_CLEAN_USER_DEFINED_MASK"},
19313 {"bits": [11, 11], "name": "CB_CLEAN_USER_DEFINED_MASK"},
19314 {"bits": [12, 12], "name": "TA_BUSY_USER_DEFINED_MASK"},
19315 {"bits": [13, 13], "name": "SX_BUSY_USER_DEFINED_MASK"},
19316 {"bits": [15, 15], "name": "SPI_BUSY_USER_DEFINED_MASK"},
19317 {"bits": [16, 16], "name": "SC_BUSY_USER_DEFINED_MASK"},
19318 {"bits": [17, 17], "name": "DB_BUSY_USER_DEFINED_MASK"},
19319 {"bits": [18, 18], "name": "CB_BUSY_USER_DEFINED_MASK"},
19320 {"bits": [20, 20], "name": "PA_BUSY_USER_DEFINED_MASK"},
19321 {"bits": [21, 21], "name": "BCI_BUSY_USER_DEFINED_MASK"},
19322 {"bits": [22, 22], "name": "RMI_BUSY_USER_DEFINED_MASK"},
19323 {"bits": [23, 23], "name": "UTCL1_BUSY_USER_DEFINED_MASK"},
19324 {"bits": [24, 24], "name": "TCP_BUSY_USER_DEFINED_MASK"},
19325 {"bits": [25, 25], "name": "GL1CC_BUSY_USER_DEFINED_MASK"}
19326 ]
19327 },
19328 "GRBM_SE1_PERFCOUNTER_SELECT": {
19329 "fields": [
19330 {"bits": [0, 5], "enum_ref": "GRBM_SE1_PERF_SEL", "name": "PERF_SEL"},
19331 {"bits": [10, 10], "name": "DB_CLEAN_USER_DEFINED_MASK"},
19332 {"bits": [11, 11], "name": "CB_CLEAN_USER_DEFINED_MASK"},
19333 {"bits": [12, 12], "name": "TA_BUSY_USER_DEFINED_MASK"},
19334 {"bits": [13, 13], "name": "SX_BUSY_USER_DEFINED_MASK"},
19335 {"bits": [15, 15], "name": "SPI_BUSY_USER_DEFINED_MASK"},
19336 {"bits": [16, 16], "name": "SC_BUSY_USER_DEFINED_MASK"},
19337 {"bits": [17, 17], "name": "DB_BUSY_USER_DEFINED_MASK"},
19338 {"bits": [18, 18], "name": "CB_BUSY_USER_DEFINED_MASK"},
19339 {"bits": [20, 20], "name": "PA_BUSY_USER_DEFINED_MASK"},
19340 {"bits": [21, 21], "name": "BCI_BUSY_USER_DEFINED_MASK"},
19341 {"bits": [22, 22], "name": "RMI_BUSY_USER_DEFINED_MASK"},
19342 {"bits": [23, 23], "name": "UTCL1_BUSY_USER_DEFINED_MASK"},
19343 {"bits": [24, 24], "name": "TCP_BUSY_USER_DEFINED_MASK"},
19344 {"bits": [25, 25], "name": "GL1CC_BUSY_USER_DEFINED_MASK"}
19345 ]
19346 },
19347 "GRBM_SE2_PERFCOUNTER_SELECT": {
19348 "fields": [
19349 {"bits": [0, 5], "enum_ref": "GRBM_SE2_PERF_SEL", "name": "PERF_SEL"},
19350 {"bits": [10, 10], "name": "DB_CLEAN_USER_DEFINED_MASK"},
19351 {"bits": [11, 11], "name": "CB_CLEAN_USER_DEFINED_MASK"},
19352 {"bits": [12, 12], "name": "TA_BUSY_USER_DEFINED_MASK"},
19353 {"bits": [13, 13], "name": "SX_BUSY_USER_DEFINED_MASK"},
19354 {"bits": [15, 15], "name": "SPI_BUSY_USER_DEFINED_MASK"},
19355 {"bits": [16, 16], "name": "SC_BUSY_USER_DEFINED_MASK"},
19356 {"bits": [17, 17], "name": "DB_BUSY_USER_DEFINED_MASK"},
19357 {"bits": [18, 18], "name": "CB_BUSY_USER_DEFINED_MASK"},
19358 {"bits": [20, 20], "name": "PA_BUSY_USER_DEFINED_MASK"},
19359 {"bits": [21, 21], "name": "BCI_BUSY_USER_DEFINED_MASK"},
19360 {"bits": [22, 22], "name": "RMI_BUSY_USER_DEFINED_MASK"},
19361 {"bits": [23, 23], "name": "UTCL1_BUSY_USER_DEFINED_MASK"},
19362 {"bits": [24, 24], "name": "TCP_BUSY_USER_DEFINED_MASK"},
19363 {"bits": [25, 25], "name": "GL1CC_BUSY_USER_DEFINED_MASK"}
19364 ]
19365 },
19366 "GRBM_SE3_PERFCOUNTER_SELECT": {
19367 "fields": [
19368 {"bits": [0, 5], "enum_ref": "GRBM_SE3_PERF_SEL", "name": "PERF_SEL"},
19369 {"bits": [10, 10], "name": "DB_CLEAN_USER_DEFINED_MASK"},
19370 {"bits": [11, 11], "name": "CB_CLEAN_USER_DEFINED_MASK"},
19371 {"bits": [12, 12], "name": "TA_BUSY_USER_DEFINED_MASK"},
19372 {"bits": [13, 13], "name": "SX_BUSY_USER_DEFINED_MASK"},
19373 {"bits": [15, 15], "name": "SPI_BUSY_USER_DEFINED_MASK"},
19374 {"bits": [16, 16], "name": "SC_BUSY_USER_DEFINED_MASK"},
19375 {"bits": [17, 17], "name": "DB_BUSY_USER_DEFINED_MASK"},
19376 {"bits": [18, 18], "name": "CB_BUSY_USER_DEFINED_MASK"},
19377 {"bits": [20, 20], "name": "PA_BUSY_USER_DEFINED_MASK"},
19378 {"bits": [21, 21], "name": "BCI_BUSY_USER_DEFINED_MASK"},
19379 {"bits": [22, 22], "name": "RMI_BUSY_USER_DEFINED_MASK"},
19380 {"bits": [23, 23], "name": "UTCL1_BUSY_USER_DEFINED_MASK"},
19381 {"bits": [24, 24], "name": "TCP_BUSY_USER_DEFINED_MASK"},
19382 {"bits": [25, 25], "name": "GL1CC_BUSY_USER_DEFINED_MASK"}
19383 ]
19384 },
19385 "IA_ENHANCE": {
19386 "fields": [
19387 {"bits": [0, 31], "name": "MISC"}
19388 ]
19389 },
19390 "IA_MULTI_VGT_PARAM": {
19391 "fields": [
19392 {"bits": [0, 15], "name": "PRIMGROUP_SIZE"},
19393 {"bits": [16, 16], "name": "PARTIAL_VS_WAVE_ON"},
19394 {"bits": [17, 17], "name": "SWITCH_ON_EOP"},
19395 {"bits": [18, 18], "name": "PARTIAL_ES_WAVE_ON"},
19396 {"bits": [19, 19], "name": "SWITCH_ON_EOI"},
19397 {"bits": [20, 20], "name": "WD_SWITCH_ON_EOP"}
19398 ]
19399 },
19400 "IA_MULTI_VGT_PARAM_PIPED": {
19401 "fields": [
19402 {"bits": [0, 15], "name": "PRIMGROUP_SIZE"},
19403 {"bits": [16, 16], "name": "PARTIAL_VS_WAVE_ON"},
19404 {"bits": [17, 17], "name": "SWITCH_ON_EOP"},
19405 {"bits": [18, 18], "name": "PARTIAL_ES_WAVE_ON"},
19406 {"bits": [19, 19], "name": "SWITCH_ON_EOI"},
19407 {"bits": [20, 20], "name": "WD_SWITCH_ON_EOP"},
19408 {"bits": [21, 21], "name": "EN_INST_OPT_BASIC"},
19409 {"bits": [22, 22], "name": "EN_INST_OPT_ADV"},
19410 {"bits": [23, 23], "name": "HW_USE_ONLY"}
19411 ]
19412 },
19413 "PA_CL_CLIP_CNTL": {
19414 "fields": [
19415 {"bits": [0, 0], "name": "UCP_ENA_0"},
19416 {"bits": [1, 1], "name": "UCP_ENA_1"},
19417 {"bits": [2, 2], "name": "UCP_ENA_2"},
19418 {"bits": [3, 3], "name": "UCP_ENA_3"},
19419 {"bits": [4, 4], "name": "UCP_ENA_4"},
19420 {"bits": [5, 5], "name": "UCP_ENA_5"},
19421 {"bits": [13, 13], "name": "PS_UCP_Y_SCALE_NEG"},
19422 {"bits": [14, 15], "name": "PS_UCP_MODE"},
19423 {"bits": [16, 16], "name": "CLIP_DISABLE"},
19424 {"bits": [17, 17], "name": "UCP_CULL_ONLY_ENA"},
19425 {"bits": [18, 18], "name": "BOUNDARY_EDGE_FLAG_ENA"},
19426 {"bits": [19, 19], "name": "DX_CLIP_SPACE_DEF"},
19427 {"bits": [20, 20], "name": "DIS_CLIP_ERR_DETECT"},
19428 {"bits": [21, 21], "name": "VTX_KILL_OR"},
19429 {"bits": [22, 22], "name": "DX_RASTERIZATION_KILL"},
19430 {"bits": [24, 24], "name": "DX_LINEAR_ATTR_CLIP_ENA"},
19431 {"bits": [25, 25], "name": "VTE_VPORT_PROVOKE_DISABLE"},
19432 {"bits": [26, 26], "name": "ZCLIP_NEAR_DISABLE"},
19433 {"bits": [27, 27], "name": "ZCLIP_FAR_DISABLE"},
19434 {"bits": [28, 28], "name": "ZCLIP_PROG_NEAR_ENA"}
19435 ]
19436 },
19437 "PA_CL_GB_VERT_CLIP_ADJ": {
19438 "fields": [
19439 {"bits": [0, 31], "name": "DATA_REGISTER"}
19440 ]
19441 },
19442 "PA_CL_NANINF_CNTL": {
19443 "fields": [
19444 {"bits": [0, 0], "name": "VTE_XY_INF_DISCARD"},
19445 {"bits": [1, 1], "name": "VTE_Z_INF_DISCARD"},
19446 {"bits": [2, 2], "name": "VTE_W_INF_DISCARD"},
19447 {"bits": [3, 3], "name": "VTE_0XNANINF_IS_0"},
19448 {"bits": [4, 4], "name": "VTE_XY_NAN_RETAIN"},
19449 {"bits": [5, 5], "name": "VTE_Z_NAN_RETAIN"},
19450 {"bits": [6, 6], "name": "VTE_W_NAN_RETAIN"},
19451 {"bits": [7, 7], "name": "VTE_W_RECIP_NAN_IS_0"},
19452 {"bits": [8, 8], "name": "VS_XY_NAN_TO_INF"},
19453 {"bits": [9, 9], "name": "VS_XY_INF_RETAIN"},
19454 {"bits": [10, 10], "name": "VS_Z_NAN_TO_INF"},
19455 {"bits": [11, 11], "name": "VS_Z_INF_RETAIN"},
19456 {"bits": [12, 12], "name": "VS_W_NAN_TO_INF"},
19457 {"bits": [13, 13], "name": "VS_W_INF_RETAIN"},
19458 {"bits": [14, 14], "name": "VS_CLIP_DIST_INF_DISCARD"},
19459 {"bits": [20, 20], "name": "VTE_NO_OUTPUT_NEG_0"}
19460 ]
19461 },
19462 "PA_CL_NGG_CNTL": {
19463 "fields": [
19464 {"bits": [0, 0], "name": "VERTEX_REUSE_OFF"},
19465 {"bits": [1, 1], "name": "INDEX_BUF_EDGE_FLAG_ENA"},
19466 {"bits": [2, 9], "name": "VERTEX_REUSE_DEPTH_GFX103"}
19467 ]
19468 },
19469 "PA_CL_OBJPRIM_ID_CNTL": {
19470 "fields": [
19471 {"bits": [0, 0], "name": "OBJ_ID_SEL"},
19472 {"bits": [1, 1], "name": "ADD_PIPED_PRIM_ID"}
19473 ]
19474 },
19475 "PA_CL_VPORT_XOFFSET": {
19476 "fields": [
19477 {"bits": [0, 31], "name": "VPORT_XOFFSET"}
19478 ]
19479 },
19480 "PA_CL_VPORT_XSCALE": {
19481 "fields": [
19482 {"bits": [0, 31], "name": "VPORT_XSCALE"}
19483 ]
19484 },
19485 "PA_CL_VPORT_YOFFSET": {
19486 "fields": [
19487 {"bits": [0, 31], "name": "VPORT_YOFFSET"}
19488 ]
19489 },
19490 "PA_CL_VPORT_YSCALE": {
19491 "fields": [
19492 {"bits": [0, 31], "name": "VPORT_YSCALE"}
19493 ]
19494 },
19495 "PA_CL_VPORT_ZOFFSET": {
19496 "fields": [
19497 {"bits": [0, 31], "name": "VPORT_ZOFFSET"}
19498 ]
19499 },
19500 "PA_CL_VPORT_ZSCALE": {
19501 "fields": [
19502 {"bits": [0, 31], "name": "VPORT_ZSCALE"}
19503 ]
19504 },
19505 "PA_CL_VS_OUT_CNTL": {
19506 "fields": [
19507 {"bits": [0, 0], "name": "CLIP_DIST_ENA_0"},
19508 {"bits": [1, 1], "name": "CLIP_DIST_ENA_1"},
19509 {"bits": [2, 2], "name": "CLIP_DIST_ENA_2"},
19510 {"bits": [3, 3], "name": "CLIP_DIST_ENA_3"},
19511 {"bits": [4, 4], "name": "CLIP_DIST_ENA_4"},
19512 {"bits": [5, 5], "name": "CLIP_DIST_ENA_5"},
19513 {"bits": [6, 6], "name": "CLIP_DIST_ENA_6"},
19514 {"bits": [7, 7], "name": "CLIP_DIST_ENA_7"},
19515 {"bits": [8, 8], "name": "CULL_DIST_ENA_0"},
19516 {"bits": [9, 9], "name": "CULL_DIST_ENA_1"},
19517 {"bits": [10, 10], "name": "CULL_DIST_ENA_2"},
19518 {"bits": [11, 11], "name": "CULL_DIST_ENA_3"},
19519 {"bits": [12, 12], "name": "CULL_DIST_ENA_4"},
19520 {"bits": [13, 13], "name": "CULL_DIST_ENA_5"},
19521 {"bits": [14, 14], "name": "CULL_DIST_ENA_6"},
19522 {"bits": [15, 15], "name": "CULL_DIST_ENA_7"},
19523 {"bits": [16, 16], "name": "USE_VTX_POINT_SIZE"},
19524 {"bits": [17, 17], "name": "USE_VTX_EDGE_FLAG"},
19525 {"bits": [18, 18], "name": "USE_VTX_RENDER_TARGET_INDX"},
19526 {"bits": [19, 19], "name": "USE_VTX_VIEWPORT_INDX"},
19527 {"bits": [20, 20], "name": "USE_VTX_KILL_FLAG"},
19528 {"bits": [21, 21], "name": "VS_OUT_MISC_VEC_ENA"},
19529 {"bits": [22, 22], "name": "VS_OUT_CCDIST0_VEC_ENA"},
19530 {"bits": [23, 23], "name": "VS_OUT_CCDIST1_VEC_ENA"},
19531 {"bits": [24, 24], "name": "VS_OUT_MISC_SIDE_BUS_ENA"},
19532 {"bits": [25, 25], "name": "USE_VTX_GS_CUT_FLAG"},
19533 {"bits": [27, 27], "name": "USE_VTX_LINE_WIDTH"},
19534 {"bits": [29, 29], "name": "BYPASS_VTX_RATE_COMBINER_GFX103"},
19535 {"bits": [30, 30], "name": "BYPASS_PRIM_RATE_COMBINER_GFX103"}
19536 ]
19537 },
19538 "PA_CL_VTE_CNTL": {
19539 "fields": [
19540 {"bits": [0, 0], "name": "VPORT_X_SCALE_ENA"},
19541 {"bits": [1, 1], "name": "VPORT_X_OFFSET_ENA"},
19542 {"bits": [2, 2], "name": "VPORT_Y_SCALE_ENA"},
19543 {"bits": [3, 3], "name": "VPORT_Y_OFFSET_ENA"},
19544 {"bits": [4, 4], "name": "VPORT_Z_SCALE_ENA"},
19545 {"bits": [5, 5], "name": "VPORT_Z_OFFSET_ENA"},
19546 {"bits": [8, 8], "name": "VTX_XY_FMT"},
19547 {"bits": [9, 9], "name": "VTX_Z_FMT"},
19548 {"bits": [10, 10], "name": "VTX_W0_FMT"},
19549 {"bits": [11, 11], "name": "PERFCOUNTER_REF"}
19550 ]
19551 },
19552 "PA_PH_PERFCOUNTER0_SELECT": {
19553 "fields": [
19554 {"bits": [0, 9], "enum_ref": "PH_PERFCNT_SEL", "name": "PERF_SEL"},
19555 {"bits": [10, 19], "enum_ref": "PH_PERFCNT_SEL", "name": "PERF_SEL1"},
19556 {"bits": [20, 23], "name": "CNTR_MODE"},
19557 {"bits": [24, 27], "name": "PERF_MODE1"},
19558 {"bits": [28, 31], "name": "PERF_MODE"}
19559 ]
19560 },
19561 "PA_PH_PERFCOUNTER0_SELECT1": {
19562 "fields": [
19563 {"bits": [0, 9], "enum_ref": "PH_PERFCNT_SEL", "name": "PERF_SEL2"},
19564 {"bits": [10, 19], "enum_ref": "PH_PERFCNT_SEL", "name": "PERF_SEL3"},
19565 {"bits": [24, 27], "name": "PERF_MODE3"},
19566 {"bits": [28, 31], "name": "PERF_MODE2"}
19567 ]
19568 },
19569 "PA_PH_PERFCOUNTER4_SELECT": {
19570 "fields": [
19571 {"bits": [0, 9], "enum_ref": "PH_PERFCNT_SEL", "name": "PERF_SEL"}
19572 ]
19573 },
19574 "PA_SC_AA_CONFIG": {
19575 "fields": [
19576 {"bits": [0, 2], "name": "MSAA_NUM_SAMPLES"},
19577 {"bits": [4, 4], "name": "AA_MASK_CENTROID_DTMN"},
19578 {"bits": [13, 16], "name": "MAX_SAMPLE_DIST"},
19579 {"bits": [20, 22], "name": "MSAA_EXPOSED_SAMPLES"},
19580 {"bits": [24, 25], "name": "DETAIL_TO_EXPOSED_MODE"},
19581 {"bits": [26, 27], "enum_ref": "CovToShaderSel", "name": "COVERAGE_TO_SHADER_SELECT"},
19582 {"bits": [28, 28], "name": "SAMPLE_COVERAGE_ENCODING_GFX103"},
19583 {"bits": [29, 29], "name": "COVERED_CENTROID_IS_CENTER_GFX103"}
19584 ]
19585 },
19586 "PA_SC_AA_MASK_X0Y0_X1Y0": {
19587 "fields": [
19588 {"bits": [0, 15], "name": "AA_MASK_X0Y0"},
19589 {"bits": [16, 31], "name": "AA_MASK_X1Y0"}
19590 ]
19591 },
19592 "PA_SC_AA_MASK_X0Y1_X1Y1": {
19593 "fields": [
19594 {"bits": [0, 15], "name": "AA_MASK_X0Y1"},
19595 {"bits": [16, 31], "name": "AA_MASK_X1Y1"}
19596 ]
19597 },
19598 "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_0": {
19599 "fields": [
19600 {"bits": [0, 3], "name": "S0_X"},
19601 {"bits": [4, 7], "name": "S0_Y"},
19602 {"bits": [8, 11], "name": "S1_X"},
19603 {"bits": [12, 15], "name": "S1_Y"},
19604 {"bits": [16, 19], "name": "S2_X"},
19605 {"bits": [20, 23], "name": "S2_Y"},
19606 {"bits": [24, 27], "name": "S3_X"},
19607 {"bits": [28, 31], "name": "S3_Y"}
19608 ]
19609 },
19610 "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_1": {
19611 "fields": [
19612 {"bits": [0, 3], "name": "S4_X"},
19613 {"bits": [4, 7], "name": "S4_Y"},
19614 {"bits": [8, 11], "name": "S5_X"},
19615 {"bits": [12, 15], "name": "S5_Y"},
19616 {"bits": [16, 19], "name": "S6_X"},
19617 {"bits": [20, 23], "name": "S6_Y"},
19618 {"bits": [24, 27], "name": "S7_X"},
19619 {"bits": [28, 31], "name": "S7_Y"}
19620 ]
19621 },
19622 "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_2": {
19623 "fields": [
19624 {"bits": [0, 3], "name": "S8_X"},
19625 {"bits": [4, 7], "name": "S8_Y"},
19626 {"bits": [8, 11], "name": "S9_X"},
19627 {"bits": [12, 15], "name": "S9_Y"},
19628 {"bits": [16, 19], "name": "S10_X"},
19629 {"bits": [20, 23], "name": "S10_Y"},
19630 {"bits": [24, 27], "name": "S11_X"},
19631 {"bits": [28, 31], "name": "S11_Y"}
19632 ]
19633 },
19634 "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_3": {
19635 "fields": [
19636 {"bits": [0, 3], "name": "S12_X"},
19637 {"bits": [4, 7], "name": "S12_Y"},
19638 {"bits": [8, 11], "name": "S13_X"},
19639 {"bits": [12, 15], "name": "S13_Y"},
19640 {"bits": [16, 19], "name": "S14_X"},
19641 {"bits": [20, 23], "name": "S14_Y"},
19642 {"bits": [24, 27], "name": "S15_X"},
19643 {"bits": [28, 31], "name": "S15_Y"}
19644 ]
19645 },
19646 "PA_SC_BINNER_CNTL_0": {
19647 "fields": [
19648 {"bits": [0, 1], "enum_ref": "BinningMode", "name": "BINNING_MODE"},
19649 {"bits": [2, 2], "name": "BIN_SIZE_X"},
19650 {"bits": [3, 3], "name": "BIN_SIZE_Y"},
19651 {"bits": [4, 6], "enum_ref": "BinSizeExtend", "name": "BIN_SIZE_X_EXTEND"},
19652 {"bits": [7, 9], "enum_ref": "BinSizeExtend", "name": "BIN_SIZE_Y_EXTEND"},
19653 {"bits": [10, 12], "name": "CONTEXT_STATES_PER_BIN"},
19654 {"bits": [13, 17], "name": "PERSISTENT_STATES_PER_BIN"},
19655 {"bits": [18, 18], "name": "DISABLE_START_OF_PRIM"},
19656 {"bits": [19, 26], "name": "FPOVS_PER_BATCH"},
19657 {"bits": [27, 27], "name": "OPTIMAL_BIN_SELECTION"},
19658 {"bits": [28, 28], "name": "FLUSH_ON_BINNING_TRANSITION"},
19659 {"bits": [29, 30], "enum_ref": "BinMapMode", "name": "BIN_MAPPING_MODE"}
19660 ]
19661 },
19662 "PA_SC_BINNER_CNTL_1": {
19663 "fields": [
19664 {"bits": [0, 15], "name": "MAX_ALLOC_COUNT"},
19665 {"bits": [16, 31], "name": "MAX_PRIM_PER_BATCH"}
19666 ]
19667 },
19668 "PA_SC_CENTROID_PRIORITY_0": {
19669 "fields": [
19670 {"bits": [0, 3], "name": "DISTANCE_0"},
19671 {"bits": [4, 7], "name": "DISTANCE_1"},
19672 {"bits": [8, 11], "name": "DISTANCE_2"},
19673 {"bits": [12, 15], "name": "DISTANCE_3"},
19674 {"bits": [16, 19], "name": "DISTANCE_4"},
19675 {"bits": [20, 23], "name": "DISTANCE_5"},
19676 {"bits": [24, 27], "name": "DISTANCE_6"},
19677 {"bits": [28, 31], "name": "DISTANCE_7"}
19678 ]
19679 },
19680 "PA_SC_CENTROID_PRIORITY_1": {
19681 "fields": [
19682 {"bits": [0, 3], "name": "DISTANCE_8"},
19683 {"bits": [4, 7], "name": "DISTANCE_9"},
19684 {"bits": [8, 11], "name": "DISTANCE_10"},
19685 {"bits": [12, 15], "name": "DISTANCE_11"},
19686 {"bits": [16, 19], "name": "DISTANCE_12"},
19687 {"bits": [20, 23], "name": "DISTANCE_13"},
19688 {"bits": [24, 27], "name": "DISTANCE_14"},
19689 {"bits": [28, 31], "name": "DISTANCE_15"}
19690 ]
19691 },
19692 "PA_SC_CLIPRECT_0_BR": {
19693 "fields": [
19694 {"bits": [0, 14], "name": "BR_X"},
19695 {"bits": [16, 30], "name": "BR_Y"}
19696 ]
19697 },
19698 "PA_SC_CLIPRECT_0_TL": {
19699 "fields": [
19700 {"bits": [0, 14], "name": "TL_X"},
19701 {"bits": [16, 30], "name": "TL_Y"}
19702 ]
19703 },
19704 "PA_SC_CLIPRECT_RULE": {
19705 "fields": [
19706 {"bits": [0, 15], "name": "CLIP_RULE"}
19707 ]
19708 },
19709 "PA_SC_CONSERVATIVE_RASTERIZATION_CNTL": {
19710 "fields": [
19711 {"bits": [0, 0], "name": "OVER_RAST_ENABLE"},
19712 {"bits": [1, 4], "name": "OVER_RAST_SAMPLE_SELECT"},
19713 {"bits": [5, 5], "name": "UNDER_RAST_ENABLE"},
19714 {"bits": [6, 9], "name": "UNDER_RAST_SAMPLE_SELECT"},
19715 {"bits": [10, 10], "name": "PBB_UNCERTAINTY_REGION_ENABLE"},
19716 {"bits": [11, 11], "name": "ZMM_TRI_EXTENT"},
19717 {"bits": [12, 12], "name": "ZMM_TRI_OFFSET"},
19718 {"bits": [13, 13], "name": "OVERRIDE_OVER_RAST_INNER_TO_NORMAL"},
19719 {"bits": [14, 14], "name": "OVERRIDE_UNDER_RAST_INNER_TO_NORMAL"},
19720 {"bits": [15, 15], "name": "DEGENERATE_OVERRIDE_INNER_TO_NORMAL_DISABLE"},
19721 {"bits": [16, 17], "enum_ref": "ScUncertaintyRegionMode", "name": "UNCERTAINTY_REGION_MODE"},
19722 {"bits": [18, 18], "name": "OUTER_UNCERTAINTY_EDGERULE_OVERRIDE"},
19723 {"bits": [19, 19], "name": "INNER_UNCERTAINTY_EDGERULE_OVERRIDE"},
19724 {"bits": [20, 20], "name": "NULL_SQUAD_AA_MASK_ENABLE"},
19725 {"bits": [21, 21], "name": "COVERAGE_AA_MASK_ENABLE"},
19726 {"bits": [22, 22], "name": "PREZ_AA_MASK_ENABLE"},
19727 {"bits": [23, 23], "name": "POSTZ_AA_MASK_ENABLE"},
19728 {"bits": [24, 24], "name": "CENTROID_SAMPLE_OVERRIDE"},
19729 {"bits": [25, 26], "enum_ref": "ScUncertaintyRegionMult", "name": "UNCERTAINTY_REGION_MULT"},
19730 {"bits": [27, 28], "enum_ref": "ScUncertaintyRegionMult", "name": "UNCERTAINTY_REGION_PBB_MULT"}
19731 ]
19732 },
19733 "PA_SC_EDGERULE": {
19734 "fields": [
19735 {"bits": [0, 3], "name": "ER_TRI"},
19736 {"bits": [4, 7], "name": "ER_POINT"},
19737 {"bits": [8, 11], "name": "ER_RECT"},
19738 {"bits": [12, 17], "name": "ER_LINE_LR"},
19739 {"bits": [18, 23], "name": "ER_LINE_RL"},
19740 {"bits": [24, 27], "name": "ER_LINE_TB"},
19741 {"bits": [28, 31], "name": "ER_LINE_BT"}
19742 ]
19743 },
19744 "PA_SC_GENERIC_SCISSOR_TL": {
19745 "fields": [
19746 {"bits": [0, 14], "name": "TL_X"},
19747 {"bits": [16, 30], "name": "TL_Y"},
19748 {"bits": [31, 31], "name": "WINDOW_OFFSET_DISABLE"}
19749 ]
19750 },
19751 "PA_SC_HORIZ_GRID": {
19752 "fields": [
19753 {"bits": [0, 7], "name": "TOP_QTR"},
19754 {"bits": [8, 15], "name": "TOP_HALF"},
19755 {"bits": [16, 23], "name": "BOT_HALF"},
19756 {"bits": [24, 31], "name": "BOT_QTR"}
19757 ]
19758 },
19759 "PA_SC_LINE_CNTL": {
19760 "fields": [
19761 {"bits": [9, 9], "name": "EXPAND_LINE_WIDTH"},
19762 {"bits": [10, 10], "name": "LAST_PIXEL"},
19763 {"bits": [11, 11], "name": "PERPENDICULAR_ENDCAP_ENA"},
19764 {"bits": [12, 12], "name": "DX10_DIAMOND_TEST_ENA"},
19765 {"bits": [13, 13], "name": "EXTRA_DX_DY_PRECISION"}
19766 ]
19767 },
19768 "PA_SC_LINE_STIPPLE": {
19769 "fields": [
19770 {"bits": [0, 15], "name": "LINE_PATTERN"},
19771 {"bits": [16, 23], "name": "REPEAT_COUNT"},
19772 {"bits": [28, 28], "name": "PATTERN_BIT_ORDER"},
19773 {"bits": [29, 30], "name": "AUTO_RESET_CNTL"}
19774 ]
19775 },
19776 "PA_SC_LINE_STIPPLE_STATE": {
19777 "fields": [
19778 {"bits": [0, 3], "name": "CURRENT_PTR"},
19779 {"bits": [8, 15], "name": "CURRENT_COUNT"}
19780 ]
19781 },
19782 "PA_SC_MODE_CNTL_0": {
19783 "fields": [
19784 {"bits": [0, 0], "name": "MSAA_ENABLE"},
19785 {"bits": [1, 1], "name": "VPORT_SCISSOR_ENABLE"},
19786 {"bits": [2, 2], "name": "LINE_STIPPLE_ENABLE"},
19787 {"bits": [3, 3], "name": "SEND_UNLIT_STILES_TO_PKR"},
19788 {"bits": [4, 4], "name": "SCALE_LINE_WIDTH_PAD"},
19789 {"bits": [5, 5], "name": "ALTERNATE_RBS_PER_TILE"},
19790 {"bits": [6, 6], "name": "COARSE_TILE_STARTS_ON_EVEN_RB"}
19791 ]
19792 },
19793 "PA_SC_MODE_CNTL_1": {
19794 "fields": [
19795 {"bits": [0, 0], "name": "WALK_SIZE"},
19796 {"bits": [1, 1], "name": "WALK_ALIGNMENT"},
19797 {"bits": [2, 2], "name": "WALK_ALIGN8_PRIM_FITS_ST"},
19798 {"bits": [3, 3], "name": "WALK_FENCE_ENABLE"},
19799 {"bits": [4, 6], "name": "WALK_FENCE_SIZE"},
19800 {"bits": [7, 7], "name": "SUPERTILE_WALK_ORDER_ENABLE"},
19801 {"bits": [8, 8], "name": "TILE_WALK_ORDER_ENABLE"},
19802 {"bits": [9, 9], "name": "TILE_COVER_DISABLE"},
19803 {"bits": [10, 10], "name": "TILE_COVER_NO_SCISSOR"},
19804 {"bits": [11, 11], "name": "ZMM_LINE_EXTENT"},
19805 {"bits": [12, 12], "name": "ZMM_LINE_OFFSET"},
19806 {"bits": [13, 13], "name": "ZMM_RECT_EXTENT"},
19807 {"bits": [14, 14], "name": "KILL_PIX_POST_HI_Z"},
19808 {"bits": [15, 15], "name": "KILL_PIX_POST_DETAIL_MASK"},
19809 {"bits": [16, 16], "name": "PS_ITER_SAMPLE"},
19810 {"bits": [17, 17], "name": "MULTI_SHADER_ENGINE_PRIM_DISCARD_ENABLE"},
19811 {"bits": [18, 18], "name": "MULTI_GPU_SUPERTILE_ENABLE"},
19812 {"bits": [19, 19], "name": "GPU_ID_OVERRIDE_ENABLE"},
19813 {"bits": [20, 23], "name": "GPU_ID_OVERRIDE"},
19814 {"bits": [24, 24], "name": "MULTI_GPU_PRIM_DISCARD_ENABLE"},
19815 {"bits": [25, 25], "name": "FORCE_EOV_CNTDWN_ENABLE"},
19816 {"bits": [26, 26], "name": "FORCE_EOV_REZ_ENABLE"},
19817 {"bits": [27, 27], "name": "OUT_OF_ORDER_PRIMITIVE_ENABLE"},
19818 {"bits": [28, 30], "name": "OUT_OF_ORDER_WATER_MARK"}
19819 ]
19820 },
19821 "PA_SC_NGG_MODE_CNTL": {
19822 "fields": [
19823 {"bits": [0, 10], "name": "MAX_DEALLOCS_IN_WAVE"},
19824 {"bits": [16, 23], "name": "MAX_FPOVS_IN_WAVE"}
19825 ]
19826 },
19827 "PA_SC_P3D_TRAP_SCREEN_H": {
19828 "fields": [
19829 {"bits": [0, 13], "name": "X_COORD"}
19830 ]
19831 },
19832 "PA_SC_P3D_TRAP_SCREEN_HV_EN": {
19833 "fields": [
19834 {"bits": [0, 0], "name": "ENABLE_HV_PRE_SHADER"},
19835 {"bits": [1, 1], "name": "FORCE_PRE_SHADER_ALL_PIXELS"}
19836 ]
19837 },
19838 "PA_SC_P3D_TRAP_SCREEN_V": {
19839 "fields": [
19840 {"bits": [0, 13], "name": "Y_COORD"}
19841 ]
19842 },
19843 "PA_SC_PERFCOUNTER0_SELECT": {
19844 "fields": [
19845 {"bits": [0, 9], "enum_ref": "SC_PERFCNT_SEL", "name": "PERF_SEL"},
19846 {"bits": [10, 19], "enum_ref": "SC_PERFCNT_SEL", "name": "PERF_SEL1"},
19847 {"bits": [20, 23], "name": "CNTR_MODE"},
19848 {"bits": [24, 27], "name": "PERF_MODE1"},
19849 {"bits": [28, 31], "name": "PERF_MODE"}
19850 ]
19851 },
19852 "PA_SC_PERFCOUNTER0_SELECT1": {
19853 "fields": [
19854 {"bits": [0, 9], "enum_ref": "SC_PERFCNT_SEL", "name": "PERF_SEL2"},
19855 {"bits": [10, 19], "enum_ref": "SC_PERFCNT_SEL", "name": "PERF_SEL3"},
19856 {"bits": [24, 27], "name": "PERF_MODE3"},
19857 {"bits": [28, 31], "name": "PERF_MODE2"}
19858 ]
19859 },
19860 "PA_SC_PERFCOUNTER1_SELECT": {
19861 "fields": [
19862 {"bits": [0, 9], "enum_ref": "SC_PERFCNT_SEL", "name": "PERF_SEL"}
19863 ]
19864 },
19865 "PA_SC_RASTER_CONFIG": {
19866 "fields": [
19867 {"bits": [0, 1], "enum_ref": "RbMap", "name": "RB_MAP_PKR0"},
19868 {"bits": [2, 3], "enum_ref": "RbMap", "name": "RB_MAP_PKR1"},
19869 {"bits": [4, 5], "enum_ref": "RbXsel2", "name": "RB_XSEL2"},
19870 {"bits": [6, 6], "enum_ref": "RbXsel", "name": "RB_XSEL"},
19871 {"bits": [7, 7], "enum_ref": "RbYsel", "name": "RB_YSEL"},
19872 {"bits": [8, 9], "enum_ref": "PkrMap", "name": "PKR_MAP"},
19873 {"bits": [10, 11], "enum_ref": "PkrXsel", "name": "PKR_XSEL"},
19874 {"bits": [12, 13], "enum_ref": "PkrYsel", "name": "PKR_YSEL"},
19875 {"bits": [14, 15], "enum_ref": "PkrXsel2", "name": "PKR_XSEL2"},
19876 {"bits": [16, 17], "enum_ref": "ScMap", "name": "SC_MAP"},
19877 {"bits": [18, 19], "enum_ref": "ScXsel", "name": "SC_XSEL"},
19878 {"bits": [20, 21], "enum_ref": "ScYsel", "name": "SC_YSEL"},
19879 {"bits": [24, 25], "enum_ref": "SeMap", "name": "SE_MAP"},
19880 {"bits": [26, 27], "enum_ref": "SeXsel", "name": "SE_XSEL"},
19881 {"bits": [28, 29], "enum_ref": "SeYsel", "name": "SE_YSEL"}
19882 ]
19883 },
19884 "PA_SC_RASTER_CONFIG_1": {
19885 "fields": [
19886 {"bits": [0, 1], "enum_ref": "SePairMap", "name": "SE_PAIR_MAP"},
19887 {"bits": [2, 3], "enum_ref": "SePairXsel", "name": "SE_PAIR_XSEL"},
19888 {"bits": [4, 5], "enum_ref": "SePairYsel", "name": "SE_PAIR_YSEL"}
19889 ]
19890 },
19891 "PA_SC_RIGHT_VERT_GRID": {
19892 "fields": [
19893 {"bits": [0, 7], "name": "LEFT_QTR"},
19894 {"bits": [8, 15], "name": "LEFT_HALF"},
19895 {"bits": [16, 23], "name": "RIGHT_HALF"},
19896 {"bits": [24, 31], "name": "RIGHT_QTR"}
19897 ]
19898 },
19899 "PA_SC_SCREEN_EXTENT_CONTROL": {
19900 "fields": [
19901 {"bits": [0, 1], "name": "SLICE_EVEN_ENABLE"},
19902 {"bits": [2, 3], "name": "SLICE_ODD_ENABLE"}
19903 ]
19904 },
19905 "PA_SC_SCREEN_EXTENT_MIN_0": {
19906 "fields": [
19907 {"bits": [0, 15], "name": "X"},
19908 {"bits": [16, 31], "name": "Y"}
19909 ]
19910 },
19911 "PA_SC_SCREEN_SCISSOR_BR": {
19912 "fields": [
19913 {"bits": [0, 15], "name": "BR_X"},
19914 {"bits": [16, 31], "name": "BR_Y"}
19915 ]
19916 },
19917 "PA_SC_SCREEN_SCISSOR_TL": {
19918 "fields": [
19919 {"bits": [0, 15], "name": "TL_X"},
19920 {"bits": [16, 31], "name": "TL_Y"}
19921 ]
19922 },
19923 "PA_SC_SHADER_CONTROL": {
19924 "fields": [
19925 {"bits": [0, 1], "name": "REALIGN_DQUADS_AFTER_N_WAVES"},
19926 {"bits": [2, 2], "name": "LOAD_COLLISION_WAVEID"},
19927 {"bits": [3, 3], "name": "LOAD_INTRAWAVE_COLLISION"},
19928 {"bits": [5, 6], "name": "WAVE_BREAK_REGION_SIZE"}
19929 ]
19930 },
19931 "PA_SC_TILE_STEERING_OVERRIDE": {
19932 "fields": [
19933 {"bits": [0, 0], "name": "ENABLE"},
19934 {"bits": [1, 2], "name": "NUM_SE"},
19935 {"bits": [5, 6], "name": "NUM_RB_PER_SE"},
19936 {"bits": [12, 13], "name": "NUM_SC"},
19937 {"bits": [16, 17], "name": "NUM_RB_PER_SC"},
19938 {"bits": [20, 20], "name": "NUM_PACKER_PER_SC"}
19939 ]
19940 },
19941 "PA_SC_VPORT_ZMAX_0": {
19942 "fields": [
19943 {"bits": [0, 31], "name": "VPORT_ZMAX"}
19944 ]
19945 },
19946 "PA_SC_VPORT_ZMIN_0": {
19947 "fields": [
19948 {"bits": [0, 31], "name": "VPORT_ZMIN"}
19949 ]
19950 },
19951 "PA_SC_WINDOW_OFFSET": {
19952 "fields": [
19953 {"bits": [0, 15], "name": "WINDOW_X_OFFSET"},
19954 {"bits": [16, 31], "name": "WINDOW_Y_OFFSET"}
19955 ]
19956 },
19957 "PA_STATE_STEREO_X": {
19958 "fields": [
19959 {"bits": [0, 31], "name": "STEREO_X_OFFSET"}
19960 ]
19961 },
19962 "PA_STEREO_CNTL": {
19963 "fields": [
19964 {"bits": [1, 4], "name": "STEREO_MODE"},
19965 {"bits": [5, 7], "name": "RT_SLICE_MODE"},
19966 {"bits": [8, 11], "name": "RT_SLICE_OFFSET"},
19967 {"bits": [16, 18], "name": "VP_ID_MODE"},
19968 {"bits": [19, 22], "name": "VP_ID_OFFSET"}
19969 ]
19970 },
19971 "PA_SU_HARDWARE_SCREEN_OFFSET": {
19972 "fields": [
19973 {"bits": [0, 8], "name": "HW_SCREEN_OFFSET_X"},
19974 {"bits": [16, 24], "name": "HW_SCREEN_OFFSET_Y"}
19975 ]
19976 },
19977 "PA_SU_LINE_CNTL": {
19978 "fields": [
19979 {"bits": [0, 15], "name": "WIDTH"}
19980 ]
19981 },
19982 "PA_SU_LINE_STIPPLE_CNTL": {
19983 "fields": [
19984 {"bits": [0, 1], "name": "LINE_STIPPLE_RESET"},
19985 {"bits": [2, 2], "name": "EXPAND_FULL_LENGTH"},
19986 {"bits": [3, 3], "name": "FRACTIONAL_ACCUM"},
19987 {"bits": [4, 4], "name": "DIAMOND_ADJUST"}
19988 ]
19989 },
19990 "PA_SU_LINE_STIPPLE_SCALE": {
19991 "fields": [
19992 {"bits": [0, 31], "name": "LINE_STIPPLE_SCALE"}
19993 ]
19994 },
19995 "PA_SU_LINE_STIPPLE_VALUE": {
19996 "fields": [
19997 {"bits": [0, 23], "name": "LINE_STIPPLE_VALUE"}
19998 ]
19999 },
20000 "PA_SU_OVER_RASTERIZATION_CNTL": {
20001 "fields": [
20002 {"bits": [0, 0], "name": "DISCARD_0_AREA_TRIANGLES"},
20003 {"bits": [1, 1], "name": "DISCARD_0_AREA_LINES"},
20004 {"bits": [2, 2], "name": "DISCARD_0_AREA_POINTS"},
20005 {"bits": [3, 3], "name": "DISCARD_0_AREA_RECTANGLES"},
20006 {"bits": [4, 4], "name": "USE_PROVOKING_ZW"}
20007 ]
20008 },
20009 "PA_SU_PERFCOUNTER0_HI": {
20010 "fields": [
20011 {"bits": [0, 15], "name": "PERFCOUNTER_HI"}
20012 ]
20013 },
20014 "PA_SU_PERFCOUNTER0_SELECT": {
20015 "fields": [
20016 {"bits": [0, 9], "enum_ref": "SU_PERFCNT_SEL", "name": "PERF_SEL"},
20017 {"bits": [10, 19], "enum_ref": "SU_PERFCNT_SEL", "name": "PERF_SEL1"},
20018 {"bits": [20, 23], "name": "CNTR_MODE"},
20019 {"bits": [24, 27], "name": "PERF_MODE1"},
20020 {"bits": [28, 31], "name": "PERF_MODE"}
20021 ]
20022 },
20023 "PA_SU_PERFCOUNTER0_SELECT1": {
20024 "fields": [
20025 {"bits": [0, 9], "enum_ref": "SU_PERFCNT_SEL", "name": "PERF_SEL2"},
20026 {"bits": [10, 19], "enum_ref": "SU_PERFCNT_SEL", "name": "PERF_SEL3"},
20027 {"bits": [24, 27], "name": "PERF_MODE3"},
20028 {"bits": [28, 31], "name": "PERF_MODE2"}
20029 ]
20030 },
20031 "PA_SU_POINT_MINMAX": {
20032 "fields": [
20033 {"bits": [0, 15], "name": "MIN_SIZE"},
20034 {"bits": [16, 31], "name": "MAX_SIZE"}
20035 ]
20036 },
20037 "PA_SU_POINT_SIZE": {
20038 "fields": [
20039 {"bits": [0, 15], "name": "HEIGHT"},
20040 {"bits": [16, 31], "name": "WIDTH"}
20041 ]
20042 },
20043 "PA_SU_POLY_OFFSET_CLAMP": {
20044 "fields": [
20045 {"bits": [0, 31], "name": "CLAMP"}
20046 ]
20047 },
20048 "PA_SU_POLY_OFFSET_DB_FMT_CNTL": {
20049 "fields": [
20050 {"bits": [0, 7], "name": "POLY_OFFSET_NEG_NUM_DB_BITS"},
20051 {"bits": [8, 8], "name": "POLY_OFFSET_DB_IS_FLOAT_FMT"}
20052 ]
20053 },
20054 "PA_SU_POLY_OFFSET_FRONT_SCALE": {
20055 "fields": [
20056 {"bits": [0, 31], "name": "SCALE"}
20057 ]
20058 },
20059 "PA_SU_PRIM_FILTER_CNTL": {
20060 "fields": [
20061 {"bits": [0, 0], "name": "TRIANGLE_FILTER_DISABLE"},
20062 {"bits": [1, 1], "name": "LINE_FILTER_DISABLE"},
20063 {"bits": [2, 2], "name": "POINT_FILTER_DISABLE"},
20064 {"bits": [3, 3], "name": "RECTANGLE_FILTER_DISABLE"},
20065 {"bits": [4, 4], "name": "TRIANGLE_EXPAND_ENA"},
20066 {"bits": [5, 5], "name": "LINE_EXPAND_ENA"},
20067 {"bits": [6, 6], "name": "POINT_EXPAND_ENA"},
20068 {"bits": [7, 7], "name": "RECTANGLE_EXPAND_ENA"},
20069 {"bits": [8, 15], "name": "PRIM_EXPAND_CONSTANT"},
20070 {"bits": [30, 30], "name": "XMAX_RIGHT_EXCLUSION"},
20071 {"bits": [31, 31], "name": "YMAX_BOTTOM_EXCLUSION"}
20072 ]
20073 },
20074 "PA_SU_SC_MODE_CNTL": {
20075 "fields": [
20076 {"bits": [0, 0], "name": "CULL_FRONT"},
20077 {"bits": [1, 1], "name": "CULL_BACK"},
20078 {"bits": [2, 2], "name": "FACE"},
20079 {"bits": [3, 4], "name": "POLY_MODE"},
20080 {"bits": [5, 7], "name": "POLYMODE_FRONT_PTYPE"},
20081 {"bits": [8, 10], "name": "POLYMODE_BACK_PTYPE"},
20082 {"bits": [11, 11], "name": "POLY_OFFSET_FRONT_ENABLE"},
20083 {"bits": [12, 12], "name": "POLY_OFFSET_BACK_ENABLE"},
20084 {"bits": [13, 13], "name": "POLY_OFFSET_PARA_ENABLE"},
20085 {"bits": [16, 16], "name": "VTX_WINDOW_OFFSET_ENABLE"},
20086 {"bits": [19, 19], "name": "PROVOKING_VTX_LAST"},
20087 {"bits": [20, 20], "name": "PERSP_CORR_DIS"},
20088 {"bits": [21, 21], "name": "MULTI_PRIM_IB_ENA"},
20089 {"bits": [22, 22], "name": "RIGHT_TRIANGLE_ALTERNATE_GRADIENT_REF"},
20090 {"bits": [23, 23], "name": "NEW_QUAD_DECOMPOSITION"},
20091 {"bits": [24, 24], "name": "KEEP_TOGETHER_ENABLE"}
20092 ]
20093 },
20094 "PA_SU_SMALL_PRIM_FILTER_CNTL": {
20095 "fields": [
20096 {"bits": [0, 0], "name": "SMALL_PRIM_FILTER_ENABLE"},
20097 {"bits": [1, 1], "name": "TRIANGLE_FILTER_DISABLE"},
20098 {"bits": [2, 2], "name": "LINE_FILTER_DISABLE"},
20099 {"bits": [3, 3], "name": "POINT_FILTER_DISABLE"},
20100 {"bits": [4, 4], "name": "RECTANGLE_FILTER_DISABLE"},
20101 {"bits": [6, 6], "name": "SC_1XMSAA_COMPATIBLE_DISABLE"}
20102 ]
20103 },
20104 "PA_SU_VTX_CNTL": {
20105 "fields": [
20106 {"bits": [0, 0], "name": "PIX_CENTER"},
20107 {"bits": [1, 2], "name": "ROUND_MODE"},
20108 {"bits": [3, 5], "name": "QUANT_MODE"}
20109 ]
20110 },
20111 "RLC_CSIB_ADDR_LO": {
20112 "fields": [
20113 {"bits": [0, 31], "name": "ADDRESS"}
20114 ]
20115 },
20116 "RLC_GPM_PERF_COUNT_0": {
20117 "fields": [
20118 {"bits": [0, 3], "name": "FEATURE_SEL"},
20119 {"bits": [4, 7], "name": "SE_INDEX"},
20120 {"bits": [8, 11], "name": "SA_INDEX"},
20121 {"bits": [12, 15], "name": "WGP_INDEX"},
20122 {"bits": [16, 17], "name": "EVENT_SEL"},
20123 {"bits": [18, 19], "name": "UNUSED"},
20124 {"bits": [20, 20], "name": "ENABLE"},
20125 {"bits": [21, 31], "name": "RESERVED"}
20126 ]
20127 },
20128 "RLC_GPU_IOV_PERF_CNT_CNTL": {
20129 "fields": [
20130 {"bits": [0, 0], "name": "ENABLE"},
20131 {"bits": [1, 1], "name": "MODE_SELECT"},
20132 {"bits": [2, 2], "name": "RESET"},
20133 {"bits": [3, 31], "name": "RESERVED"}
20134 ]
20135 },
20136 "RLC_GPU_IOV_PERF_CNT_WR_ADDR": {
20137 "fields": [
20138 {"bits": [0, 3], "name": "VFID"},
20139 {"bits": [4, 5], "name": "CNT_ID"},
20140 {"bits": [6, 31], "name": "RESERVED"}
20141 ]
20142 },
20143 "RLC_PERFCOUNTER0_SELECT": {
20144 "fields": [
20145 {"bits": [0, 7], "name": "PERFCOUNTER_SELECT"}
20146 ]
20147 },
20148 "RLC_PERFMON_CLK_CNTL": {
20149 "fields": [
20150 {"bits": [0, 0], "name": "PERFMON_CLOCK_STATE"}
20151 ]
20152 },
20153 "RLC_PERFMON_CNTL": {
20154 "fields": [
20155 {"bits": [0, 2], "name": "PERFMON_STATE"},
20156 {"bits": [10, 10], "name": "PERFMON_SAMPLE_ENABLE"}
20157 ]
20158 },
20159 "RLC_SPM_ACCUM_CTRL": {
20160 "fields": [
20161 {"bits": [0, 0], "name": "StrobeResetPerfMonitors"},
20162 {"bits": [1, 1], "name": "StrobeStartAccumulation"},
20163 {"bits": [2, 2], "name": "StrobeRearmAccum"},
20164 {"bits": [3, 3], "name": "StrobeSpmDoneInt"},
20165 {"bits": [4, 4], "name": "StrobeAccumDoneInt"},
20166 {"bits": [5, 5], "name": "StrobeResetAccum"},
20167 {"bits": [6, 9], "name": "StrobeStartSpm"},
20168 {"bits": [10, 31], "name": "RESERVED"}
20169 ]
20170 },
20171 "RLC_SPM_ACCUM_CTRLRAM_ADDR": {
20172 "fields": [
20173 {"bits": [0, 8], "name": "addr"},
20174 {"bits": [9, 31], "name": "RESERVED"}
20175 ]
20176 },
20177 "RLC_SPM_ACCUM_CTRLRAM_DATA": {
20178 "fields": [
20179 {"bits": [0, 7], "name": "data"},
20180 {"bits": [8, 31], "name": "RESERVED"}
20181 ]
20182 },
20183 "RLC_SPM_ACCUM_DATARAM_ADDR": {
20184 "fields": [
20185 {"bits": [0, 6], "name": "addr"},
20186 {"bits": [7, 31], "name": "RESERVED"}
20187 ]
20188 },
20189 "RLC_SPM_ACCUM_DATARAM_DATA": {
20190 "fields": [
20191 {"bits": [0, 31], "name": "data"}
20192 ]
20193 },
20194 "RLC_SPM_ACCUM_DATARAM_WRCOUNT": {
20195 "fields": [
20196 {"bits": [0, 18], "name": "DataRamWrCount"},
20197 {"bits": [19, 31], "name": "RESERVED"}
20198 ]
20199 },
20200 "RLC_SPM_ACCUM_MODE": {
20201 "fields": [
20202 {"bits": [0, 0], "name": "EnableAccum"},
20203 {"bits": [1, 1], "name": "AutoAccumEn"},
20204 {"bits": [2, 2], "name": "AutoSpmEn"},
20205 {"bits": [3, 3], "name": "Globals_LoadOverride"},
20206 {"bits": [4, 4], "name": "SE0_LoadOverride"},
20207 {"bits": [5, 5], "name": "SE1_LoadOverride"},
20208 {"bits": [6, 6], "name": "AutoResetPerfmonDisable"},
20209 {"bits": [7, 31], "name": "RESERVED"}
20210 ]
20211 },
20212 "RLC_SPM_ACCUM_SAMPLES_REQUESTED": {
20213 "fields": [
20214 {"bits": [0, 7], "name": "SamplesRequested"},
20215 {"bits": [8, 31], "name": "RESERVED"}
20216 ]
20217 },
20218 "RLC_SPM_ACCUM_STATUS": {
20219 "fields": [
20220 {"bits": [0, 7], "name": "NumbSamplesCompleted"},
20221 {"bits": [8, 8], "name": "AccumDone"},
20222 {"bits": [9, 9], "name": "SpmDone"},
20223 {"bits": [10, 10], "name": "AccumOverflow"},
20224 {"bits": [11, 11], "name": "AccumArmed"},
20225 {"bits": [12, 12], "name": "SequenceInProgress"},
20226 {"bits": [13, 13], "name": "FinalSequenceInProgress"},
20227 {"bits": [14, 14], "name": "AllFifosEmpty"},
20228 {"bits": [15, 15], "name": "FSMIsIdle"},
20229 {"bits": [16, 31], "name": "RESERVED"}
20230 ]
20231 },
20232 "RLC_SPM_ACCUM_THRESHOLD": {
20233 "fields": [
20234 {"bits": [0, 15], "name": "Threshold"},
20235 {"bits": [16, 31], "name": "RESERVED"}
20236 ]
20237 },
20238 "RLC_SPM_DESER_START_SKEW": {
20239 "fields": [
20240 {"bits": [0, 6], "name": "DESER_START_SKEW"},
20241 {"bits": [7, 31], "name": "RESERVED"}
20242 ]
20243 },
20244 "RLC_SPM_GLB_SAMPLEDELAY_IND_ADDR": {
20245 "fields": [
20246 {"bits": [0, 31], "name": "GLB_SAMPLEDELAY_INDEX"}
20247 ]
20248 },
20249 "RLC_SPM_GLB_SAMPLEDELAY_IND_DATA": {
20250 "fields": [
20251 {"bits": [0, 6], "name": "data"},
20252 {"bits": [7, 31], "name": "RESERVED"}
20253 ]
20254 },
20255 "RLC_SPM_GLOBALS_MUXSEL_SKEW": {
20256 "fields": [
20257 {"bits": [0, 6], "name": "GLOBALS_MUXSEL_SKEW"},
20258 {"bits": [7, 31], "name": "RESERVED"}
20259 ]
20260 },
20261 "RLC_SPM_GLOBALS_SAMPLE_SKEW": {
20262 "fields": [
20263 {"bits": [0, 6], "name": "GLOBALS_SAMPLE_SKEW"},
20264 {"bits": [7, 31], "name": "RESERVED"}
20265 ]
20266 },
20267 "RLC_SPM_GLOBAL_MUXSEL_ADDR": {
20268 "fields": [
20269 {"bits": [0, 7], "name": "PERFMON_SEL_ADDR"},
20270 {"bits": [8, 31], "name": "RESERVED"}
20271 ]
20272 },
20273 "RLC_SPM_PERFMON_CNTL": {
20274 "fields": [
20275 {"bits": [0, 11], "name": "RESERVED1"},
20276 {"bits": [12, 13], "name": "PERFMON_RING_MODE"},
20277 {"bits": [14, 15], "name": "RESERVED"},
20278 {"bits": [16, 31], "name": "PERFMON_SAMPLE_INTERVAL"}
20279 ]
20280 },
20281 "RLC_SPM_PERFMON_GLB_SEGMENT_SIZE": {
20282 "fields": [
20283 {"bits": [0, 7], "name": "PERFMON_SEGMENT_SIZE"},
20284 {"bits": [8, 15], "name": "GLOBAL_NUM_LINE"},
20285 {"bits": [16, 31], "name": "RESERVED"}
20286 ]
20287 },
20288 "RLC_SPM_PERFMON_RING_BASE_HI": {
20289 "fields": [
20290 {"bits": [0, 15], "name": "RING_BASE_HI"},
20291 {"bits": [16, 31], "name": "RESERVED"}
20292 ]
20293 },
20294 "RLC_SPM_PERFMON_RING_BASE_LO": {
20295 "fields": [
20296 {"bits": [0, 31], "name": "RING_BASE_LO"}
20297 ]
20298 },
20299 "RLC_SPM_PERFMON_RING_SIZE": {
20300 "fields": [
20301 {"bits": [0, 31], "name": "RING_BASE_SIZE"}
20302 ]
20303 },
20304 "RLC_SPM_PERFMON_SE3TO0_SEGMENT_SIZE": {
20305 "fields": [
20306 {"bits": [0, 7], "name": "SE0_NUM_LINE"},
20307 {"bits": [8, 15], "name": "SE1_NUM_LINE"},
20308 {"bits": [16, 23], "name": "SE2_NUM_LINE"},
20309 {"bits": [24, 31], "name": "SE3_NUM_LINE"}
20310 ]
20311 },
20312 "RLC_SPM_PERFMON_SEGMENT_SIZE": {
20313 "fields": [
20314 {"bits": [0, 7], "name": "PERFMON_SEGMENT_SIZE"},
20315 {"bits": [8, 10], "name": "RESERVED1"},
20316 {"bits": [11, 15], "name": "GLOBAL_NUM_LINE"},
20317 {"bits": [16, 20], "name": "SE0_NUM_LINE"},
20318 {"bits": [21, 25], "name": "SE1_NUM_LINE"},
20319 {"bits": [26, 30], "name": "SE2_NUM_LINE"},
20320 {"bits": [31, 31], "name": "RESERVED"}
20321 ]
20322 },
20323 "RLC_SPM_RING_RDPTR": {
20324 "fields": [
20325 {"bits": [0, 31], "name": "PERFMON_RING_RDPTR"}
20326 ]
20327 },
20328 "RLC_SPM_SEGMENT_THRESHOLD": {
20329 "fields": [
20330 {"bits": [0, 7], "name": "NUM_SEGMENT_THRESHOLD"},
20331 {"bits": [8, 31], "name": "RESERVED"}
20332 ]
20333 },
20334 "RLC_SPM_SE_MUXSEL_ADDR": {
20335 "fields": [
20336 {"bits": [0, 8], "name": "PERFMON_SEL_ADDR"},
20337 {"bits": [9, 31], "name": "RESERVED"}
20338 ]
20339 },
20340 "RLC_SPM_SE_MUXSEL_DATA": {
20341 "fields": [
20342 {"bits": [0, 31], "name": "PERFMON_SEL_DATA"}
20343 ]
20344 },
20345 "RLC_SPM_SE_MUXSEL_SKEW": {
20346 "fields": [
20347 {"bits": [0, 6], "name": "SE_MUXSEL_SKEW"},
20348 {"bits": [7, 31], "name": "RESERVED"}
20349 ]
20350 },
20351 "RLC_SPM_SE_SAMPLEDELAY_IND_ADDR": {
20352 "fields": [
20353 {"bits": [0, 31], "name": "SE_SAMPLEDELAY_INDEX"}
20354 ]
20355 },
20356 "RLC_SPM_SE_SAMPLE_SKEW": {
20357 "fields": [
20358 {"bits": [0, 6], "name": "SE_SAMPLE_SKEW"},
20359 {"bits": [7, 31], "name": "RESERVED"}
20360 ]
20361 },
20362 "RMI_PERFCOUNTER0_SELECT": {
20363 "fields": [
20364 {"bits": [0, 8], "enum_ref": "RMIPerfSel", "name": "PERF_SEL"},
20365 {"bits": [10, 18], "enum_ref": "RMIPerfSel", "name": "PERF_SEL1"},
20366 {"bits": [20, 23], "name": "CNTR_MODE"},
20367 {"bits": [24, 27], "name": "PERF_MODE1"},
20368 {"bits": [28, 31], "name": "PERF_MODE"}
20369 ]
20370 },
20371 "RMI_PERFCOUNTER0_SELECT1": {
20372 "fields": [
20373 {"bits": [0, 8], "enum_ref": "RMIPerfSel", "name": "PERF_SEL2"},
20374 {"bits": [10, 18], "enum_ref": "RMIPerfSel", "name": "PERF_SEL3"},
20375 {"bits": [24, 27], "name": "PERF_MODE3"},
20376 {"bits": [28, 31], "name": "PERF_MODE2"}
20377 ]
20378 },
20379 "RMI_PERFCOUNTER1_SELECT": {
20380 "fields": [
20381 {"bits": [0, 8], "enum_ref": "RMIPerfSel", "name": "PERF_SEL"},
20382 {"bits": [28, 31], "name": "PERF_MODE"}
20383 ]
20384 },
20385 "RMI_PERF_COUNTER_CNTL": {
20386 "fields": [
20387 {"bits": [0, 1], "name": "TRANS_BASED_PERF_EN_SEL"},
20388 {"bits": [2, 3], "name": "EVENT_BASED_PERF_EN_SEL"},
20389 {"bits": [4, 5], "name": "TC_PERF_EN_SEL"},
20390 {"bits": [6, 7], "name": "PERF_EVENT_WINDOW_MASK0"},
20391 {"bits": [8, 9], "name": "PERF_EVENT_WINDOW_MASK1"},
20392 {"bits": [10, 13], "name": "PERF_COUNTER_CID"},
20393 {"bits": [14, 18], "name": "PERF_COUNTER_VMID"},
20394 {"bits": [19, 24], "name": "PERF_COUNTER_BURST_LENGTH_THRESHOLD"},
20395 {"bits": [25, 25], "name": "PERF_SOFT_RESET"},
20396 {"bits": [26, 26], "name": "PERF_CNTR_SPM_SEL"}
20397 ]
20398 },
20399 "SCRATCH_ADDR": {
20400 "fields": [
20401 {"bits": [0, 31], "name": "OBSOLETE_ADDR"}
20402 ]
20403 },
20404 "SCRATCH_REG0": {
20405 "fields": [
20406 {"bits": [0, 31], "name": "SCRATCH_REG0"}
20407 ]
20408 },
20409 "SCRATCH_REG1": {
20410 "fields": [
20411 {"bits": [0, 31], "name": "SCRATCH_REG1"}
20412 ]
20413 },
20414 "SCRATCH_REG2": {
20415 "fields": [
20416 {"bits": [0, 31], "name": "SCRATCH_REG2"}
20417 ]
20418 },
20419 "SCRATCH_REG3": {
20420 "fields": [
20421 {"bits": [0, 31], "name": "SCRATCH_REG3"}
20422 ]
20423 },
20424 "SCRATCH_REG4": {
20425 "fields": [
20426 {"bits": [0, 31], "name": "SCRATCH_REG4"}
20427 ]
20428 },
20429 "SCRATCH_REG5": {
20430 "fields": [
20431 {"bits": [0, 31], "name": "SCRATCH_REG5"}
20432 ]
20433 },
20434 "SCRATCH_REG6": {
20435 "fields": [
20436 {"bits": [0, 31], "name": "SCRATCH_REG6"}
20437 ]
20438 },
20439 "SCRATCH_REG7": {
20440 "fields": [
20441 {"bits": [0, 31], "name": "SCRATCH_REG7"}
20442 ]
20443 },
20444 "SCRATCH_UMSK": {
20445 "fields": [
20446 {"bits": [0, 7], "name": "OBSOLETE_UMSK"},
20447 {"bits": [16, 17], "name": "OBSOLETE_SWAP"}
20448 ]
20449 },
20450 "SPI_BARYC_CNTL": {
20451 "fields": [
20452 {"bits": [0, 0], "name": "PERSP_CENTER_CNTL"},
20453 {"bits": [4, 4], "name": "PERSP_CENTROID_CNTL"},
20454 {"bits": [8, 8], "name": "LINEAR_CENTER_CNTL"},
20455 {"bits": [12, 12], "name": "LINEAR_CENTROID_CNTL"},
20456 {"bits": [16, 17], "name": "POS_FLOAT_LOCATION"},
20457 {"bits": [20, 20], "name": "POS_FLOAT_ULC"},
20458 {"bits": [24, 24], "name": "FRONT_FACE_ALL_BITS"}
20459 ]
20460 },
20461 "SPI_CONFIG_CNTL": {
20462 "fields": [
20463 {"bits": [0, 20], "name": "GPR_WRITE_PRIORITY"},
20464 {"bits": [21, 23], "name": "EXP_PRIORITY_ORDER"},
20465 {"bits": [24, 24], "name": "ENABLE_SQG_TOP_EVENTS"},
20466 {"bits": [25, 25], "name": "ENABLE_SQG_BOP_EVENTS"},
20467 {"bits": [26, 26], "name": "RSRC_MGMT_RESET"},
20468 {"bits": [27, 27], "name": "TTRACE_STALL_ALL"},
20469 {"bits": [28, 28], "name": "ALLOC_ARB_LRU_ENA"},
20470 {"bits": [29, 29], "name": "EXP_ARB_LRU_ENA"},
20471 {"bits": [30, 31], "name": "PS_PKR_PRIORITY_CNTL"}
20472 ]
20473 },
20474 "SPI_CONFIG_CNTL_1": {
20475 "fields": [
20476 {"bits": [0, 3], "name": "VTX_DONE_DELAY"},
20477 {"bits": [4, 4], "name": "INTERP_ONE_PRIM_PER_ROW"},
20478 {"bits": [5, 6], "name": "PC_LIMIT_ENABLE"},
20479 {"bits": [7, 7], "name": "PC_LIMIT_STRICT"},
20480 {"bits": [8, 8], "name": "CRC_SIMD_ID_WADDR_DISABLE"},
20481 {"bits": [9, 9], "name": "LBPW_CU_CHK_MODE"},
20482 {"bits": [10, 13], "name": "LBPW_CU_CHK_CNT"},
20483 {"bits": [14, 14], "name": "CSC_PWR_SAVE_DISABLE"},
20484 {"bits": [15, 15], "name": "CSG_PWR_SAVE_DISABLE"},
20485 {"bits": [16, 20], "name": "MAX_VTX_SYNC_CNT"}
20486 ]
20487 },
20488 "SPI_CONFIG_CNTL_2": {
20489 "fields": [
20490 {"bits": [0, 3], "name": "CONTEXT_SAVE_WAIT_GDS_REQUEST_CYCLE_OVHD"},
20491 {"bits": [4, 7], "name": "CONTEXT_SAVE_WAIT_GDS_GRANT_CYCLE_OVHD"}
20492 ]
20493 },
20494 "SPI_CONFIG_CNTL_REMAP": {
20495 "fields": [
20496 {"bits": [0, 31], "name": "RESERVED"}
20497 ]
20498 },
20499 "SPI_CSQ_WF_ACTIVE_COUNT_0": {
20500 "fields": [
20501 {"bits": [0, 10], "name": "COUNT"},
20502 {"bits": [16, 26], "name": "EVENTS"}
20503 ]
20504 },
20505 "SPI_CSQ_WF_ACTIVE_STATUS": {
20506 "fields": [
20507 {"bits": [0, 31], "name": "ACTIVE"}
20508 ]
20509 },
20510 "SPI_DEBUG_BUSY": {
20511 "fields": [
20512 {"bits": [0, 0], "name": "LS_BUSY"},
20513 {"bits": [1, 1], "name": "HS_BUSY"},
20514 {"bits": [2, 2], "name": "ES_BUSY"},
20515 {"bits": [3, 3], "name": "GS_BUSY"},
20516 {"bits": [4, 4], "name": "VS_BUSY"},
20517 {"bits": [5, 5], "name": "PS0_BUSY"},
20518 {"bits": [6, 6], "name": "PS1_BUSY"},
20519 {"bits": [7, 7], "name": "PS2_BUSY"},
20520 {"bits": [8, 8], "name": "PS3_BUSY"},
20521 {"bits": [9, 9], "name": "CSG_BUSY"},
20522 {"bits": [10, 10], "name": "CS0_BUSY"},
20523 {"bits": [11, 11], "name": "CS1_BUSY"},
20524 {"bits": [12, 12], "name": "CS2_BUSY"},
20525 {"bits": [13, 13], "name": "CS3_BUSY"},
20526 {"bits": [14, 14], "name": "CS4_BUSY"},
20527 {"bits": [15, 15], "name": "CS5_BUSY"},
20528 {"bits": [16, 16], "name": "CS6_BUSY"},
20529 {"bits": [17, 17], "name": "CS7_BUSY"},
20530 {"bits": [18, 18], "name": "LDS_WR_CTL0_BUSY"},
20531 {"bits": [19, 19], "name": "LDS_WR_CTL1_BUSY"},
20532 {"bits": [20, 20], "name": "PC_DEALLOC_BUSY"},
20533 {"bits": [21, 21], "name": "OFC_LDS_BUSY"},
20534 {"bits": [22, 22], "name": "EVENT_CLCTR_BUSY"},
20535 {"bits": [23, 23], "name": "GRBM_BUSY"},
20536 {"bits": [24, 24], "name": "SPIS_BUSY"},
20537 {"bits": [25, 25], "name": "RSRC_ALLOC_BUSY"}
20538 ]
20539 },
20540 "SPI_DEBUG_CNTL": {
20541 "fields": [
20542 {"bits": [0, 0], "name": "DEBUG_GRBM_OVERRIDE"},
20543 {"bits": [1, 3], "name": "DEBUG_THREAD_TYPE_SEL"},
20544 {"bits": [4, 9], "name": "DEBUG_GROUP_SEL"},
20545 {"bits": [10, 15], "name": "DEBUG_SIMD_SEL"},
20546 {"bits": [16, 16], "name": "DEBUG_SH_SEL"},
20547 {"bits": [17, 17], "name": "SPI_ECO_SPARE_0"},
20548 {"bits": [18, 18], "name": "SPI_ECO_SPARE_1"},
20549 {"bits": [19, 19], "name": "SPI_ECO_SPARE_2"},
20550 {"bits": [20, 20], "name": "SPI_ECO_SPARE_3"},
20551 {"bits": [21, 21], "name": "CGTS_VBUS_SP0_OVERRIDE"},
20552 {"bits": [22, 22], "name": "CGTS_VBUS_SP1_OVERRIDE"},
20553 {"bits": [23, 23], "name": "CGTS_VBUS_LDS_OVERRIDE"},
20554 {"bits": [24, 24], "name": "CGTT_LEGACY_MODE"},
20555 {"bits": [25, 27], "name": "DEBUG_PIPE_SEL"},
20556 {"bits": [28, 29], "name": "DEBUG_PIXEL_PIPE_SEL"},
20557 {"bits": [30, 30], "name": "BCI_PIPE_PER_STAGE_CG_OVERRIDE"},
20558 {"bits": [31, 31], "name": "DEBUG_REG_EN"}
20559 ]
20560 },
20561 "SPI_DEBUG_CNTL_2": {
20562 "fields": [
20563 {"bits": [0, 0], "name": "ECO_SPARE_0"},
20564 {"bits": [1, 1], "name": "ECO_SPARE_1"},
20565 {"bits": [2, 2], "name": "ECO_SPARE_2"},
20566 {"bits": [3, 3], "name": "ECO_SPARE_3"},
20567 {"bits": [4, 4], "name": "ECO_SPARE_4"},
20568 {"bits": [5, 5], "name": "ECO_SPARE_5"},
20569 {"bits": [6, 6], "name": "ECO_SPARE_6"},
20570 {"bits": [7, 7], "name": "ECO_SPARE_7"}
20571 ]
20572 },
20573 "SPI_DSM_CNTL": {
20574 "fields": [
20575 {"bits": [0, 1], "name": "SPI_SR_MEM_DSM_IRRITATOR_DATA"},
20576 {"bits": [2, 2], "name": "SPI_SR_MEM_ENABLE_SINGLE_WRITE"}
20577 ]
20578 },
20579 "SPI_DSM_CNTL2": {
20580 "fields": [
20581 {"bits": [0, 1], "name": "SPI_SR_MEM_ENABLE_ERROR_INJECT"},
20582 {"bits": [2, 2], "name": "SPI_SR_MEM_SELECT_INJECT_DELAY"},
20583 {"bits": [3, 8], "name": "SPI_SR_MEM_INJECT_DELAY"}
20584 ]
20585 },
20586 "SPI_EDC_CNT": {
20587 "fields": [
20588 {"bits": [0, 1], "name": "SPI_SR_MEM_SED_COUNT"}
20589 ]
20590 },
20591 "SPI_GDS_CREDITS": {
20592 "fields": [
20593 {"bits": [0, 7], "name": "DS_DATA_CREDITS"},
20594 {"bits": [8, 15], "name": "DS_CMD_CREDITS"}
20595 ]
20596 },
20597 "SPI_GFX_CNTL": {
20598 "fields": [
20599 {"bits": [0, 0], "name": "RESET_COUNTS"}
20600 ]
20601 },
20602 "SPI_INTERP_CONTROL_0": {
20603 "fields": [
20604 {"bits": [0, 0], "name": "FLAT_SHADE_ENA"},
20605 {"bits": [1, 1], "name": "PNT_SPRITE_ENA"},
20606 {"bits": [2, 4], "enum_ref": "SPI_PNT_SPRITE_OVERRIDE", "name": "PNT_SPRITE_OVRD_X"},
20607 {"bits": [5, 7], "enum_ref": "SPI_PNT_SPRITE_OVERRIDE", "name": "PNT_SPRITE_OVRD_Y"},
20608 {"bits": [8, 10], "enum_ref": "SPI_PNT_SPRITE_OVERRIDE", "name": "PNT_SPRITE_OVRD_Z"},
20609 {"bits": [11, 13], "enum_ref": "SPI_PNT_SPRITE_OVERRIDE", "name": "PNT_SPRITE_OVRD_W"},
20610 {"bits": [14, 14], "name": "PNT_SPRITE_TOP_1"}
20611 ]
20612 },
20613 "SPI_LB_CTR_CTRL": {
20614 "fields": [
20615 {"bits": [0, 0], "name": "LOAD"},
20616 {"bits": [1, 2], "enum_ref": "SPI_LB_WAVES_SELECT", "name": "WAVES_SELECT"},
20617 {"bits": [3, 3], "name": "CLEAR_ON_READ"},
20618 {"bits": [4, 4], "name": "RESET_COUNTS"}
20619 ]
20620 },
20621 "SPI_LB_DATA_PERWGP_WAVE_CS": {
20622 "fields": [
20623 {"bits": [0, 15], "name": "ACTIVE"}
20624 ]
20625 },
20626 "SPI_LB_DATA_PERWGP_WAVE_HSGS": {
20627 "fields": [
20628 {"bits": [0, 15], "name": "WGP_USED_HS"},
20629 {"bits": [16, 31], "name": "WGP_USED_GS"}
20630 ]
20631 },
20632 "SPI_LB_DATA_PERWGP_WAVE_VSPS": {
20633 "fields": [
20634 {"bits": [0, 15], "name": "WGP_USED_VS"},
20635 {"bits": [16, 31], "name": "WGP_USED_PS"}
20636 ]
20637 },
20638 "SPI_LB_DATA_REG": {
20639 "fields": [
20640 {"bits": [0, 31], "name": "CNT_DATA"}
20641 ]
20642 },
20643 "SPI_LB_DATA_WAVES": {
20644 "fields": [
20645 {"bits": [0, 15], "name": "COUNT0"},
20646 {"bits": [16, 31], "name": "COUNT1"}
20647 ]
20648 },
20649 "SPI_LB_WGP_MASK": {
20650 "fields": [
20651 {"bits": [0, 15], "name": "WGP_MASK"}
20652 ]
20653 },
20654 "SPI_P0_TRAP_SCREEN_GPR_MIN": {
20655 "fields": [
20656 {"bits": [0, 5], "name": "VGPR_MIN"},
20657 {"bits": [6, 9], "name": "SGPR_MIN"}
20658 ]
20659 },
20660 "SPI_P0_TRAP_SCREEN_PSBA_HI": {
20661 "fields": [
20662 {"bits": [0, 7], "name": "MEM_BASE"}
20663 ]
20664 },
20665 "SPI_P0_TRAP_SCREEN_PSBA_LO": {
20666 "fields": [
20667 {"bits": [0, 31], "name": "MEM_BASE"}
20668 ]
20669 },
20670 "SPI_PERFCOUNTER0_SELECT": {
20671 "fields": [
20672 {"bits": [0, 9], "enum_ref": "SPI_PERFCNT_SEL", "name": "PERF_SEL"},
20673 {"bits": [10, 19], "enum_ref": "SPI_PERFCNT_SEL", "name": "PERF_SEL1"},
20674 {"bits": [20, 23], "name": "CNTR_MODE"},
20675 {"bits": [24, 27], "name": "PERF_MODE1"},
20676 {"bits": [28, 31], "name": "PERF_MODE"}
20677 ]
20678 },
20679 "SPI_PERFCOUNTER0_SELECT1": {
20680 "fields": [
20681 {"bits": [0, 9], "enum_ref": "SPI_PERFCNT_SEL", "name": "PERF_SEL2"},
20682 {"bits": [10, 19], "enum_ref": "SPI_PERFCNT_SEL", "name": "PERF_SEL3"},
20683 {"bits": [24, 27], "name": "PERF_MODE3"},
20684 {"bits": [28, 31], "name": "PERF_MODE2"}
20685 ]
20686 },
20687 "SPI_PERFCOUNTER4_SELECT": {
20688 "fields": [
20689 {"bits": [0, 9], "enum_ref": "SPI_PERFCNT_SEL", "name": "PERF_SEL"}
20690 ]
20691 },
20692 "SPI_PERFCOUNTER_BINS": {
20693 "fields": [
20694 {"bits": [0, 3], "name": "BIN0_MIN"},
20695 {"bits": [4, 7], "name": "BIN0_MAX"},
20696 {"bits": [8, 11], "name": "BIN1_MIN"},
20697 {"bits": [12, 15], "name": "BIN1_MAX"},
20698 {"bits": [16, 19], "name": "BIN2_MIN"},
20699 {"bits": [20, 23], "name": "BIN2_MAX"},
20700 {"bits": [24, 27], "name": "BIN3_MIN"},
20701 {"bits": [28, 31], "name": "BIN3_MAX"}
20702 ]
20703 },
20704 "SPI_PS_INPUT_CNTL_0": {
20705 "fields": [
20706 {"bits": [0, 5], "name": "OFFSET"},
20707 {"bits": [8, 9], "name": "DEFAULT_VAL"},
20708 {"bits": [10, 10], "name": "FLAT_SHADE"},
20709 {"bits": [13, 16], "name": "CYL_WRAP"},
20710 {"bits": [17, 17], "name": "PT_SPRITE_TEX"},
20711 {"bits": [18, 18], "name": "DUP"},
20712 {"bits": [19, 19], "name": "FP16_INTERP_MODE"},
20713 {"bits": [20, 20], "name": "USE_DEFAULT_ATTR1"},
20714 {"bits": [21, 22], "name": "DEFAULT_VAL_ATTR1"},
20715 {"bits": [23, 23], "name": "PT_SPRITE_TEX_ATTR1"},
20716 {"bits": [24, 24], "name": "ATTR0_VALID"},
20717 {"bits": [25, 25], "name": "ATTR1_VALID"}
20718 ]
20719 },
20720 "SPI_PS_INPUT_CNTL_20": {
20721 "fields": [
20722 {"bits": [0, 5], "name": "OFFSET"},
20723 {"bits": [8, 9], "name": "DEFAULT_VAL"},
20724 {"bits": [10, 10], "name": "FLAT_SHADE"},
20725 {"bits": [18, 18], "name": "DUP"},
20726 {"bits": [19, 19], "name": "FP16_INTERP_MODE"},
20727 {"bits": [20, 20], "name": "USE_DEFAULT_ATTR1"},
20728 {"bits": [21, 22], "name": "DEFAULT_VAL_ATTR1"},
20729 {"bits": [24, 24], "name": "ATTR0_VALID"},
20730 {"bits": [25, 25], "name": "ATTR1_VALID"}
20731 ]
20732 },
20733 "SPI_PS_INPUT_ENA": {
20734 "fields": [
20735 {"bits": [0, 0], "name": "PERSP_SAMPLE_ENA"},
20736 {"bits": [1, 1], "name": "PERSP_CENTER_ENA"},
20737 {"bits": [2, 2], "name": "PERSP_CENTROID_ENA"},
20738 {"bits": [3, 3], "name": "PERSP_PULL_MODEL_ENA"},
20739 {"bits": [4, 4], "name": "LINEAR_SAMPLE_ENA"},
20740 {"bits": [5, 5], "name": "LINEAR_CENTER_ENA"},
20741 {"bits": [6, 6], "name": "LINEAR_CENTROID_ENA"},
20742 {"bits": [7, 7], "name": "LINE_STIPPLE_TEX_ENA"},
20743 {"bits": [8, 8], "name": "POS_X_FLOAT_ENA"},
20744 {"bits": [9, 9], "name": "POS_Y_FLOAT_ENA"},
20745 {"bits": [10, 10], "name": "POS_Z_FLOAT_ENA"},
20746 {"bits": [11, 11], "name": "POS_W_FLOAT_ENA"},
20747 {"bits": [12, 12], "name": "FRONT_FACE_ENA"},
20748 {"bits": [13, 13], "name": "ANCILLARY_ENA"},
20749 {"bits": [14, 14], "name": "SAMPLE_COVERAGE_ENA"},
20750 {"bits": [15, 15], "name": "POS_FIXED_PT_ENA"}
20751 ]
20752 },
20753 "SPI_PS_IN_CONTROL": {
20754 "fields": [
20755 {"bits": [0, 5], "name": "NUM_INTERP"},
20756 {"bits": [6, 6], "name": "PARAM_GEN"},
20757 {"bits": [7, 7], "name": "OFFCHIP_PARAM_EN"},
20758 {"bits": [8, 8], "name": "LATE_PC_DEALLOC"},
20759 {"bits": [14, 14], "name": "BC_OPTIMIZE_DISABLE"},
20760 {"bits": [15, 15], "name": "PS_W32_EN"}
20761 ]
20762 },
20763 "SPI_PS_MAX_WAVE_ID": {
20764 "fields": [
20765 {"bits": [0, 11], "name": "MAX_WAVE_ID"},
20766 {"bits": [16, 25], "name": "MAX_COLLISION_WAVE_ID"}
20767 ]
20768 },
20769 "SPI_SHADER_COL_FORMAT": {
20770 "fields": [
20771 {"bits": [0, 3], "enum_ref": "SPI_SHADER_EX_FORMAT", "name": "COL0_EXPORT_FORMAT"},
20772 {"bits": [4, 7], "enum_ref": "SPI_SHADER_EX_FORMAT", "name": "COL1_EXPORT_FORMAT"},
20773 {"bits": [8, 11], "enum_ref": "SPI_SHADER_EX_FORMAT", "name": "COL2_EXPORT_FORMAT"},
20774 {"bits": [12, 15], "enum_ref": "SPI_SHADER_EX_FORMAT", "name": "COL3_EXPORT_FORMAT"},
20775 {"bits": [16, 19], "enum_ref": "SPI_SHADER_EX_FORMAT", "name": "COL4_EXPORT_FORMAT"},
20776 {"bits": [20, 23], "enum_ref": "SPI_SHADER_EX_FORMAT", "name": "COL5_EXPORT_FORMAT"},
20777 {"bits": [24, 27], "enum_ref": "SPI_SHADER_EX_FORMAT", "name": "COL6_EXPORT_FORMAT"},
20778 {"bits": [28, 31], "enum_ref": "SPI_SHADER_EX_FORMAT", "name": "COL7_EXPORT_FORMAT"}
20779 ]
20780 },
20781 "SPI_SHADER_IDX_FORMAT": {
20782 "fields": [
20783 {"bits": [0, 3], "enum_ref": "SPI_SHADER_FORMAT", "name": "IDX0_EXPORT_FORMAT"}
20784 ]
20785 },
20786 "SPI_SHADER_LATE_ALLOC_VS": {
20787 "fields": [
20788 {"bits": [0, 5], "name": "LIMIT"}
20789 ]
20790 },
20791 "SPI_SHADER_PGM_RSRC1_ES": {
20792 "fields": [
20793 {"bits": [0, 5], "name": "VGPRS"},
20794 {"bits": [6, 9], "name": "SGPRS"},
20795 {"bits": [10, 11], "name": "PRIORITY"},
20796 {"bits": [12, 19], "name": "FLOAT_MODE"},
20797 {"bits": [20, 20], "name": "PRIV"},
20798 {"bits": [21, 21], "name": "DX10_CLAMP"},
20799 {"bits": [22, 22], "name": "DEBUG_MODE"},
20800 {"bits": [23, 23], "name": "IEEE_MODE"},
20801 {"bits": [24, 25], "name": "VGPR_COMP_CNT"},
20802 {"bits": [26, 26], "name": "CU_GROUP_ENABLE"},
20803 {"bits": [30, 30], "name": "CDBG_USER"},
20804 {"bits": [31, 31], "name": "FP16_OVFL"}
20805 ]
20806 },
20807 "SPI_SHADER_PGM_RSRC1_GS": {
20808 "fields": [
20809 {"bits": [0, 5], "name": "VGPRS"},
20810 {"bits": [6, 9], "name": "SGPRS"},
20811 {"bits": [10, 11], "name": "PRIORITY"},
20812 {"bits": [12, 19], "name": "FLOAT_MODE"},
20813 {"bits": [20, 20], "name": "PRIV"},
20814 {"bits": [21, 21], "name": "DX10_CLAMP"},
20815 {"bits": [22, 22], "name": "DEBUG_MODE"},
20816 {"bits": [23, 23], "name": "IEEE_MODE"},
20817 {"bits": [24, 24], "name": "CU_GROUP_ENABLE"},
20818 {"bits": [25, 25], "name": "MEM_ORDERED"},
20819 {"bits": [26, 26], "name": "FWD_PROGRESS"},
20820 {"bits": [27, 27], "name": "WGP_MODE"},
20821 {"bits": [28, 28], "name": "CDBG_USER"},
20822 {"bits": [29, 30], "name": "GS_VGPR_COMP_CNT"},
20823 {"bits": [31, 31], "name": "FP16_OVFL"}
20824 ]
20825 },
20826 "SPI_SHADER_PGM_RSRC1_HS": {
20827 "fields": [
20828 {"bits": [0, 5], "name": "VGPRS"},
20829 {"bits": [6, 9], "name": "SGPRS"},
20830 {"bits": [10, 11], "name": "PRIORITY"},
20831 {"bits": [12, 19], "name": "FLOAT_MODE"},
20832 {"bits": [20, 20], "name": "PRIV"},
20833 {"bits": [21, 21], "name": "DX10_CLAMP"},
20834 {"bits": [22, 22], "name": "DEBUG_MODE"},
20835 {"bits": [23, 23], "name": "IEEE_MODE"},
20836 {"bits": [24, 24], "name": "MEM_ORDERED"},
20837 {"bits": [25, 25], "name": "FWD_PROGRESS"},
20838 {"bits": [26, 26], "name": "WGP_MODE"},
20839 {"bits": [27, 27], "name": "CDBG_USER"},
20840 {"bits": [28, 29], "name": "LS_VGPR_COMP_CNT"},
20841 {"bits": [30, 30], "name": "FP16_OVFL"}
20842 ]
20843 },
20844 "SPI_SHADER_PGM_RSRC1_LS": {
20845 "fields": [
20846 {"bits": [0, 5], "name": "VGPRS"},
20847 {"bits": [6, 9], "name": "SGPRS"},
20848 {"bits": [10, 11], "name": "PRIORITY"},
20849 {"bits": [12, 19], "name": "FLOAT_MODE"},
20850 {"bits": [20, 20], "name": "PRIV"},
20851 {"bits": [21, 21], "name": "DX10_CLAMP"},
20852 {"bits": [22, 22], "name": "DEBUG_MODE"},
20853 {"bits": [23, 23], "name": "IEEE_MODE"},
20854 {"bits": [24, 25], "name": "VGPR_COMP_CNT"},
20855 {"bits": [29, 29], "name": "CDBG_USER"},
20856 {"bits": [30, 30], "name": "FP16_OVFL"}
20857 ]
20858 },
20859 "SPI_SHADER_PGM_RSRC1_PS": {
20860 "fields": [
20861 {"bits": [0, 5], "name": "VGPRS"},
20862 {"bits": [6, 9], "name": "SGPRS"},
20863 {"bits": [10, 11], "name": "PRIORITY"},
20864 {"bits": [12, 19], "name": "FLOAT_MODE"},
20865 {"bits": [20, 20], "name": "PRIV"},
20866 {"bits": [21, 21], "name": "DX10_CLAMP"},
20867 {"bits": [22, 22], "name": "DEBUG_MODE"},
20868 {"bits": [23, 23], "name": "IEEE_MODE"},
20869 {"bits": [24, 24], "name": "CU_GROUP_DISABLE"},
20870 {"bits": [25, 25], "name": "MEM_ORDERED"},
20871 {"bits": [26, 26], "name": "FWD_PROGRESS"},
20872 {"bits": [28, 28], "name": "CDBG_USER"},
20873 {"bits": [29, 29], "name": "FP16_OVFL"}
20874 ]
20875 },
20876 "SPI_SHADER_PGM_RSRC1_VS": {
20877 "fields": [
20878 {"bits": [0, 5], "name": "VGPRS"},
20879 {"bits": [6, 9], "name": "SGPRS"},
20880 {"bits": [10, 11], "name": "PRIORITY"},
20881 {"bits": [12, 19], "name": "FLOAT_MODE"},
20882 {"bits": [20, 20], "name": "PRIV"},
20883 {"bits": [21, 21], "name": "DX10_CLAMP"},
20884 {"bits": [22, 22], "name": "DEBUG_MODE"},
20885 {"bits": [23, 23], "name": "IEEE_MODE"},
20886 {"bits": [24, 25], "name": "VGPR_COMP_CNT"},
20887 {"bits": [26, 26], "name": "CU_GROUP_ENABLE"},
20888 {"bits": [27, 27], "name": "MEM_ORDERED"},
20889 {"bits": [28, 28], "name": "FWD_PROGRESS"},
20890 {"bits": [30, 30], "name": "CDBG_USER"},
20891 {"bits": [31, 31], "name": "FP16_OVFL"}
20892 ]
20893 },
20894 "SPI_SHADER_PGM_RSRC2_ES_VS": {
20895 "fields": [
20896 {"bits": [0, 0], "name": "SCRATCH_EN"},
20897 {"bits": [1, 5], "name": "USER_SGPR"},
20898 {"bits": [6, 6], "name": "TRAP_PRESENT"},
20899 {"bits": [7, 7], "name": "OC_LDS_EN"},
20900 {"bits": [8, 16], "name": "EXCP_EN"},
20901 {"bits": [20, 28], "name": "LDS_SIZE"}
20902 ]
20903 },
20904 "SPI_SHADER_PGM_RSRC2_GS": {
20905 "fields": [
20906 {"bits": [0, 0], "name": "SCRATCH_EN"},
20907 {"bits": [1, 5], "name": "USER_SGPR"},
20908 {"bits": [6, 6], "name": "TRAP_PRESENT"},
20909 {"bits": [7, 15], "name": "EXCP_EN"},
20910 {"bits": [16, 17], "name": "ES_VGPR_COMP_CNT"},
20911 {"bits": [18, 18], "name": "OC_LDS_EN"},
20912 {"bits": [19, 26], "name": "LDS_SIZE"},
20913 {"bits": [27, 27], "name": "USER_SGPR_MSB"},
20914 {"bits": [28, 31], "name": "SHARED_VGPR_CNT"}
20915 ]
20916 },
20917 "SPI_SHADER_PGM_RSRC2_GS_VS": {
20918 "fields": [
20919 {"bits": [0, 0], "name": "SCRATCH_EN"},
20920 {"bits": [1, 5], "name": "USER_SGPR"},
20921 {"bits": [6, 6], "name": "TRAP_PRESENT"},
20922 {"bits": [7, 15], "name": "EXCP_EN"},
20923 {"bits": [16, 17], "name": "VGPR_COMP_CNT"},
20924 {"bits": [18, 18], "name": "OC_LDS_EN"},
20925 {"bits": [19, 26], "name": "LDS_SIZE"},
20926 {"bits": [27, 27], "name": "SKIP_USGPR0"},
20927 {"bits": [28, 28], "name": "USER_SGPR_MSB"}
20928 ]
20929 },
20930 "SPI_SHADER_PGM_RSRC2_HS": {
20931 "fields": [
20932 {"bits": [0, 0], "name": "SCRATCH_EN"},
20933 {"bits": [1, 5], "name": "USER_SGPR"},
20934 {"bits": [6, 6], "name": "TRAP_PRESENT"},
20935 {"bits": [7, 7], "name": "OC_LDS_EN"},
20936 {"bits": [8, 8], "name": "TG_SIZE_EN"},
20937 {"bits": [9, 17], "name": "EXCP_EN"},
20938 {"bits": [18, 26], "name": "LDS_SIZE"},
20939 {"bits": [27, 27], "name": "USER_SGPR_MSB"},
20940 {"bits": [28, 31], "name": "SHARED_VGPR_CNT"}
20941 ]
20942 },
20943 "SPI_SHADER_PGM_RSRC2_LS_VS": {
20944 "fields": [
20945 {"bits": [0, 0], "name": "SCRATCH_EN"},
20946 {"bits": [1, 5], "name": "USER_SGPR"},
20947 {"bits": [6, 6], "name": "TRAP_PRESENT"},
20948 {"bits": [7, 15], "name": "LDS_SIZE"},
20949 {"bits": [16, 24], "name": "EXCP_EN"}
20950 ]
20951 },
20952 "SPI_SHADER_PGM_RSRC2_PS": {
20953 "fields": [
20954 {"bits": [0, 0], "name": "SCRATCH_EN"},
20955 {"bits": [1, 5], "name": "USER_SGPR"},
20956 {"bits": [6, 6], "name": "TRAP_PRESENT"},
20957 {"bits": [7, 7], "name": "WAVE_CNT_EN"},
20958 {"bits": [8, 15], "name": "EXTRA_LDS_SIZE"},
20959 {"bits": [16, 24], "name": "EXCP_EN"},
20960 {"bits": [25, 25], "name": "LOAD_COLLISION_WAVEID"},
20961 {"bits": [26, 26], "name": "LOAD_INTRAWAVE_COLLISION"},
20962 {"bits": [27, 27], "name": "USER_SGPR_MSB"},
20963 {"bits": [28, 31], "name": "SHARED_VGPR_CNT"}
20964 ]
20965 },
20966 "SPI_SHADER_PGM_RSRC2_VS": {
20967 "fields": [
20968 {"bits": [0, 0], "name": "SCRATCH_EN"},
20969 {"bits": [1, 5], "name": "USER_SGPR"},
20970 {"bits": [6, 6], "name": "TRAP_PRESENT"},
20971 {"bits": [7, 7], "name": "OC_LDS_EN"},
20972 {"bits": [8, 8], "name": "SO_BASE0_EN"},
20973 {"bits": [9, 9], "name": "SO_BASE1_EN"},
20974 {"bits": [10, 10], "name": "SO_BASE2_EN"},
20975 {"bits": [11, 11], "name": "SO_BASE3_EN"},
20976 {"bits": [12, 12], "name": "SO_EN"},
20977 {"bits": [13, 21], "name": "EXCP_EN"},
20978 {"bits": [22, 22], "name": "PC_BASE_EN"},
20979 {"bits": [24, 24], "name": "DISPATCH_DRAW_EN"},
20980 {"bits": [27, 27], "name": "USER_SGPR_MSB"},
20981 {"bits": [28, 31], "name": "SHARED_VGPR_CNT"}
20982 ]
20983 },
20984 "SPI_SHADER_PGM_RSRC3_GS": {
20985 "fields": [
20986 {"bits": [0, 15], "name": "CU_EN"},
20987 {"bits": [16, 21], "name": "WAVE_LIMIT"},
20988 {"bits": [22, 25], "name": "LOCK_LOW_THRESHOLD"},
20989 {"bits": [26, 31], "name": "GROUP_FIFO_DEPTH"}
20990 ]
20991 },
20992 "SPI_SHADER_PGM_RSRC3_HS": {
20993 "fields": [
20994 {"bits": [0, 5], "name": "WAVE_LIMIT"},
20995 {"bits": [6, 9], "name": "LOCK_LOW_THRESHOLD"},
20996 {"bits": [10, 15], "name": "GROUP_FIFO_DEPTH"},
20997 {"bits": [16, 31], "name": "CU_EN"}
20998 ]
20999 },
21000 "SPI_SHADER_PGM_RSRC3_PS": {
21001 "fields": [
21002 {"bits": [0, 15], "name": "CU_EN"},
21003 {"bits": [16, 21], "name": "WAVE_LIMIT"},
21004 {"bits": [22, 25], "name": "LOCK_LOW_THRESHOLD"}
21005 ]
21006 },
21007 "SPI_SHADER_PGM_RSRC4_GS": {
21008 "fields": [
21009 {"bits": [0, 15], "name": "CU_EN"},
21010 {"bits": [16, 22], "name": "SPI_SHADER_LATE_ALLOC_GS"}
21011 ]
21012 },
21013 "SPI_SHADER_PGM_RSRC4_PS": {
21014 "fields": [
21015 {"bits": [0, 15], "name": "CU_EN"}
21016 ]
21017 },
21018 "SPI_SHADER_POS_FORMAT": {
21019 "fields": [
21020 {"bits": [0, 3], "enum_ref": "SPI_SHADER_FORMAT", "name": "POS0_EXPORT_FORMAT"},
21021 {"bits": [4, 7], "enum_ref": "SPI_SHADER_FORMAT", "name": "POS1_EXPORT_FORMAT"},
21022 {"bits": [8, 11], "enum_ref": "SPI_SHADER_FORMAT", "name": "POS2_EXPORT_FORMAT"},
21023 {"bits": [12, 15], "enum_ref": "SPI_SHADER_FORMAT", "name": "POS3_EXPORT_FORMAT"},
21024 {"bits": [16, 19], "enum_ref": "SPI_SHADER_FORMAT", "name": "POS4_EXPORT_FORMAT"}
21025 ]
21026 },
21027 "SPI_SHADER_REQ_CTRL_ESGS": {
21028 "fields": [
21029 {"bits": [0, 0], "name": "SOFT_GROUPING_EN"},
21030 {"bits": [1, 4], "name": "NUMBER_OF_REQUESTS_PER_CU"},
21031 {"bits": [5, 8], "name": "SOFT_GROUPING_ALLOCATION_TIMEOUT"},
21032 {"bits": [9, 9], "name": "HARD_LOCK_HYSTERESIS"},
21033 {"bits": [10, 14], "name": "HARD_LOCK_LOW_THRESHOLD"},
21034 {"bits": [15, 15], "name": "PRODUCER_REQUEST_LOCKOUT"},
21035 {"bits": [16, 16], "name": "GLOBAL_SCANNING_EN"},
21036 {"bits": [17, 19], "name": "ALLOCATION_RATE_THROTTLING_THRESHOLD"}
21037 ]
21038 },
21039 "SPI_SHADER_Z_FORMAT": {
21040 "fields": [
21041 {"bits": [0, 3], "enum_ref": "SPI_SHADER_EX_FORMAT", "name": "Z_EXPORT_FORMAT"}
21042 ]
21043 },
21044 "SPI_SLAVE_DEBUG_BUSY": {
21045 "fields": [
21046 {"bits": [0, 0], "name": "LS_VTX_BUSY"},
21047 {"bits": [1, 1], "name": "HS_VTX_BUSY"},
21048 {"bits": [2, 2], "name": "ES_VTX_BUSY"},
21049 {"bits": [3, 3], "name": "GS_VTX_BUSY"},
21050 {"bits": [4, 4], "name": "VS_VTX_BUSY"},
21051 {"bits": [5, 5], "name": "VGPR_WC00_BUSY"},
21052 {"bits": [6, 6], "name": "VGPR_WC01_BUSY"},
21053 {"bits": [7, 7], "name": "SGPR_WC00_BUSY"},
21054 {"bits": [8, 8], "name": "SGPR_WC01_BUSY"},
21055 {"bits": [9, 9], "name": "WAVEBUFFER_BUSY"},
21056 {"bits": [10, 10], "name": "WAVE_WR_WCTL_BUSY"},
21057 {"bits": [11, 11], "name": "EVENT_CNTL_BUSY"},
21058 {"bits": [12, 12], "name": "SAVE_CTX_BUSY"},
21059 {"bits": [13, 13], "name": "WR_CTL_MUX_BUSY"}
21060 ]
21061 },
21062 "SPI_START_PHASE": {
21063 "fields": [
21064 {"bits": [0, 1], "name": "PC_X_PHASE"}
21065 ]
21066 },
21067 "SPI_SX_EXPORT_BUFFER_SIZES": {
21068 "fields": [
21069 {"bits": [0, 15], "name": "COLOR_BUFFER_SIZE"},
21070 {"bits": [16, 31], "name": "POSITION_BUFFER_SIZE"}
21071 ]
21072 },
21073 "SPI_SX_SCOREBOARD_BUFFER_SIZES": {
21074 "fields": [
21075 {"bits": [0, 15], "name": "COLOR_SCOREBOARD_SIZE"},
21076 {"bits": [16, 31], "name": "POSITION_SCOREBOARD_SIZE"}
21077 ]
21078 },
21079 "SPI_VS_OUT_CONFIG": {
21080 "fields": [
21081 {"bits": [1, 5], "name": "VS_EXPORT_COUNT"},
21082 {"bits": [6, 6], "name": "VS_HALF_PACK"},
21083 {"bits": [7, 7], "name": "NO_PC_EXPORT"}
21084 ]
21085 },
21086 "SPI_WAVE_LIMIT_CNTL": {
21087 "fields": [
21088 {"bits": [0, 1], "name": "PS_WAVE_GRAN"},
21089 {"bits": [2, 3], "name": "VS_WAVE_GRAN"},
21090 {"bits": [4, 5], "name": "GS_WAVE_GRAN"},
21091 {"bits": [6, 7], "name": "HS_WAVE_GRAN"}
21092 ]
21093 },
21094 "SPI_WF_LIFETIME_CNTL": {
21095 "fields": [
21096 {"bits": [0, 3], "name": "SAMPLE_PERIOD"},
21097 {"bits": [4, 4], "name": "EN"}
21098 ]
21099 },
21100 "SPI_WF_LIFETIME_DEBUG": {
21101 "fields": [
21102 {"bits": [0, 30], "name": "START_VALUE"},
21103 {"bits": [31, 31], "name": "OVERRIDE_EN"}
21104 ]
21105 },
21106 "SPI_WF_LIFETIME_LIMIT_0": {
21107 "fields": [
21108 {"bits": [0, 30], "name": "MAX_CNT"},
21109 {"bits": [31, 31], "name": "EN_WARN"}
21110 ]
21111 },
21112 "SPI_WF_LIFETIME_STATUS_0": {
21113 "fields": [
21114 {"bits": [0, 30], "name": "MAX_CNT"},
21115 {"bits": [31, 31], "name": "INT_SENT"}
21116 ]
21117 },
21118 "SQC_CACHES": {
21119 "fields": [
21120 {"bits": [0, 0], "name": "TARGET_INST"},
21121 {"bits": [1, 1], "name": "TARGET_DATA"},
21122 {"bits": [2, 2], "name": "INVALIDATE"},
21123 {"bits": [3, 3], "name": "WRITEBACK"},
21124 {"bits": [4, 4], "name": "VOL"},
21125 {"bits": [16, 16], "name": "COMPLETE"},
21126 {"bits": [17, 18], "name": "L2_WB_POLICY"}
21127 ]
21128 },
21129 "SQC_WRITEBACK": {
21130 "fields": [
21131 {"bits": [0, 0], "name": "DWB"},
21132 {"bits": [1, 1], "name": "DIRTY"}
21133 ]
21134 },
21135 "SQ_PERFCOUNTER0_SELECT": {
21136 "fields": [
21137 {"bits": [0, 8], "enum_ref": "SQ_PERF_SEL", "name": "PERF_SEL"},
21138 {"bits": [12, 15], "name": "SQC_BANK_MASK"},
21139 {"bits": [20, 23], "name": "SPM_MODE"},
21140 {"bits": [28, 31], "name": "PERF_MODE"}
21141 ]
21142 },
21143 "SQ_PERFCOUNTER_CTRL": {
21144 "fields": [
21145 {"bits": [0, 0], "name": "PS_EN"},
21146 {"bits": [1, 1], "name": "VS_EN"},
21147 {"bits": [2, 2], "name": "GS_EN"},
21148 {"bits": [3, 3], "name": "ES_EN"},
21149 {"bits": [4, 4], "name": "HS_EN"},
21150 {"bits": [5, 5], "name": "LS_EN"},
21151 {"bits": [6, 6], "name": "CS_EN"},
21152 {"bits": [8, 9], "name": "CNTR_RATE"},
21153 {"bits": [13, 13], "name": "DISABLE_FLUSH"}
21154 ]
21155 },
21156 "SQ_PERFCOUNTER_CTRL2": {
21157 "fields": [
21158 {"bits": [0, 0], "name": "FORCE_EN"}
21159 ]
21160 },
21161 "SQ_THREAD_TRACE_BUF0_BASE": {
21162 "fields": [
21163 {"bits": [0, 31], "name": "BASE_LO"}
21164 ]
21165 },
21166 "SQ_THREAD_TRACE_BUF0_SIZE": {
21167 "fields": [
21168 {"bits": [0, 3], "name": "BASE_HI"},
21169 {"bits": [8, 29], "name": "SIZE"}
21170 ]
21171 },
21172 "SQ_THREAD_TRACE_WPTR": {
21173 "fields": [
21174 {"bits": [0, 28], "name": "OFFSET"},
21175 {"bits": [31, 31], "name": "BUFFER_ID"}
21176 ]
21177 },
21178 "SQ_THREAD_TRACE_MASK": {
21179 "fields": [
21180 {"bits": [0, 1], "name": "SIMD_SEL"},
21181 {"bits": [4, 7], "name": "WGP_SEL"},
21182 {"bits": [9, 9], "name": "SA_SEL"},
21183 {"bits": [10, 16], "name": "WTYPE_INCLUDE"}
21184 ]
21185 },
21186 "SQ_THREAD_TRACE_TOKEN_MASK": {
21187 "fields": [
21188 {"bits": [0, 11], "enum_ref": "ThreadTraceTokenExclude", "name": "TOKEN_EXCLUDE"},
21189 {"bits": [16, 23], "enum_ref": "ThreadTraceRegInclude", "name": "REG_INCLUDE"},
21190 {"bits": [24, 25], "name": "INST_EXCLUDE"},
21191 {"bits": [31, 31], "name": "REG_DETAIL_ALL"}
21192 ]
21193 },
21194 "SQ_THREAD_TRACE_CTRL": {
21195 "fields": [
21196 {"bits": [0, 1], "name": "MODE"},
21197 {"bits": [2, 2], "name": "ALL_VMID"},
21198 {"bits": [3, 3], "name": "CH_PERF_END"},
21199 {"bits": [4, 4], "name": "INTERRUPT_EN"},
21200 {"bits": [5, 5], "name": "DOUBLE_BUFFER"},
21201 {"bits": [6, 8], "name": "HIWATER"},
21202 {"bits": [9, 9], "name": "REG_STALL_EN"},
21203 {"bits": [10, 10], "name": "SPI_STALL_EN"},
21204 {"bits": [11, 11], "name": "SQ_STALL_EN"},
21205 {"bits": [12, 12], "name": "REG_DROP_ON_STALL"},
21206 {"bits": [13, 13], "name": "UTIL_TIMER"},
21207 {"bits": [14, 15], "name": "WAVESTART_MODE"},
21208 {"bits": [16, 17], "name": "RT_FREQ"},
21209 {"bits": [18, 18], "name": "SYNC_COUNT_MARKERS"},
21210 {"bits": [19, 19], "name": "SYNC_COUNT_DRAWS"},
21211 {"bits": [30, 30], "name": "CAPTURE_ALL"},
21212 {"bits": [31, 31], "name": "DRAW_EVENT_EN"}
21213 ]
21214 },
21215 "SQ_THREAD_TRACE_STATUS": {
21216 "fields": [
21217 {"bits": [0, 11], "name": "FINISH_PENDING"},
21218 {"bits": [12, 23], "name": "FINISH_DONE"},
21219 {"bits": [24, 24], "name": "UTC_ERR"},
21220 {"bits": [25, 25], "name": "BUSY"},
21221 {"bits": [26, 26], "name": "EVENT_CNTR_OVERFLOW"},
21222 {"bits": [27, 27], "name": "EVENT_CNTR_STALL"}
21223 ]
21224 },
21225 "SQ_THREAD_TRACE_DROPPED_CNTR": {
21226 "fields": [
21227 {"bits": [0, 31], "name": "CNTR"}
21228 ]
21229 },
21230 "SX_BLEND_OPT_CONTROL": {
21231 "fields": [
21232 {"bits": [0, 0], "name": "MRT0_COLOR_OPT_DISABLE"},
21233 {"bits": [1, 1], "name": "MRT0_ALPHA_OPT_DISABLE"},
21234 {"bits": [4, 4], "name": "MRT1_COLOR_OPT_DISABLE"},
21235 {"bits": [5, 5], "name": "MRT1_ALPHA_OPT_DISABLE"},
21236 {"bits": [8, 8], "name": "MRT2_COLOR_OPT_DISABLE"},
21237 {"bits": [9, 9], "name": "MRT2_ALPHA_OPT_DISABLE"},
21238 {"bits": [12, 12], "name": "MRT3_COLOR_OPT_DISABLE"},
21239 {"bits": [13, 13], "name": "MRT3_ALPHA_OPT_DISABLE"},
21240 {"bits": [16, 16], "name": "MRT4_COLOR_OPT_DISABLE"},
21241 {"bits": [17, 17], "name": "MRT4_ALPHA_OPT_DISABLE"},
21242 {"bits": [20, 20], "name": "MRT5_COLOR_OPT_DISABLE"},
21243 {"bits": [21, 21], "name": "MRT5_ALPHA_OPT_DISABLE"},
21244 {"bits": [24, 24], "name": "MRT6_COLOR_OPT_DISABLE"},
21245 {"bits": [25, 25], "name": "MRT6_ALPHA_OPT_DISABLE"},
21246 {"bits": [28, 28], "name": "MRT7_COLOR_OPT_DISABLE"},
21247 {"bits": [29, 29], "name": "MRT7_ALPHA_OPT_DISABLE"},
21248 {"bits": [31, 31], "name": "PIXEN_ZERO_OPT_DISABLE"}
21249 ]
21250 },
21251 "SX_BLEND_OPT_EPSILON": {
21252 "fields": [
21253 {"bits": [0, 3], "name": "MRT0_EPSILON"},
21254 {"bits": [4, 7], "name": "MRT1_EPSILON"},
21255 {"bits": [8, 11], "name": "MRT2_EPSILON"},
21256 {"bits": [12, 15], "name": "MRT3_EPSILON"},
21257 {"bits": [16, 19], "name": "MRT4_EPSILON"},
21258 {"bits": [20, 23], "name": "MRT5_EPSILON"},
21259 {"bits": [24, 27], "name": "MRT6_EPSILON"},
21260 {"bits": [28, 31], "name": "MRT7_EPSILON"}
21261 ]
21262 },
21263 "SX_DEBUG_1": {
21264 "fields": [
21265 {"bits": [0, 6], "name": "SX_DB_QUAD_CREDIT"},
21266 {"bits": [8, 8], "name": "DISABLE_BLEND_OPT_DONT_RD_DST"},
21267 {"bits": [9, 9], "name": "DISABLE_BLEND_OPT_BYPASS"},
21268 {"bits": [10, 10], "name": "DISABLE_BLEND_OPT_DISCARD_PIXEL"},
21269 {"bits": [11, 11], "name": "DISABLE_QUAD_PAIR_OPT"},
21270 {"bits": [12, 12], "name": "DISABLE_PIX_EN_ZERO_OPT"},
21271 {"bits": [13, 13], "name": "DISABLE_SX_DB_FGCG"},
21272 {"bits": [14, 14], "name": "ENABLE_SAME_PC_GDS_CGTS"},
21273 {"bits": [15, 15], "name": "DISABLE_RAM_FGCG"},
21274 {"bits": [16, 16], "name": "PC_DISABLE_SAME_ADDR_OPT"},
21275 {"bits": [17, 31], "name": "DEBUG_DATA"}
21276 ]
21277 },
21278 "SX_DEBUG_BUSY": {
21279 "fields": [
21280 {"bits": [0, 0], "name": "POS_FREE_OR_VALIDS"},
21281 {"bits": [1, 1], "name": "POS_REQUESTER_BUSY"},
21282 {"bits": [2, 2], "name": "PA_SX_BUSY"},
21283 {"bits": [3, 3], "name": "POS_SCBD_BUSY"},
21284 {"bits": [4, 4], "name": "POS_BANK3VAL3_BUSY"},
21285 {"bits": [5, 5], "name": "POS_BANK3VAL2_BUSY"},
21286 {"bits": [6, 6], "name": "POS_BANK3VAL1_BUSY"},
21287 {"bits": [7, 7], "name": "POS_BANK3VAL0_BUSY"},
21288 {"bits": [8, 8], "name": "POS_BANK2VAL3_BUSY"},
21289 {"bits": [9, 9], "name": "POS_BANK2VAL2_BUSY"},
21290 {"bits": [10, 10], "name": "POS_BANK2VAL1_BUSY"},
21291 {"bits": [11, 11], "name": "POS_BANK2VAL0_BUSY"},
21292 {"bits": [12, 12], "name": "POS_BANK1VAL3_BUSY"},
21293 {"bits": [13, 13], "name": "POS_BANK1VAL2_BUSY"},
21294 {"bits": [14, 14], "name": "POS_BANK1VAL1_BUSY"},
21295 {"bits": [15, 15], "name": "POS_BANK1VAL0_BUSY"},
21296 {"bits": [16, 16], "name": "POS_BANK0VAL3_BUSY"},
21297 {"bits": [17, 17], "name": "POS_BANK0VAL2_BUSY"},
21298 {"bits": [18, 18], "name": "POS_BANK0VAL1_BUSY"},
21299 {"bits": [19, 19], "name": "POS_BANK0VAL0_BUSY"},
21300 {"bits": [20, 20], "name": "RESERVED"},
21301 {"bits": [21, 21], "name": "COL_WRCTRL1_VALIDQ3"},
21302 {"bits": [22, 22], "name": "COL_WRCTRL1_VALIDQ2"},
21303 {"bits": [23, 23], "name": "COL_WRCTRL1_VALIDQ1"},
21304 {"bits": [24, 24], "name": "COL_WRCTRL0_VALIDQ3"},
21305 {"bits": [25, 25], "name": "COL_WRCTRL0_VALIDQ2"},
21306 {"bits": [26, 26], "name": "COL_WRCTRL0_VALIDQ1"},
21307 {"bits": [27, 27], "name": "PCCMD_VALID"},
21308 {"bits": [28, 28], "name": "VDATA1_VALID"},
21309 {"bits": [29, 29], "name": "VDATA0_VALID"},
21310 {"bits": [30, 30], "name": "CMD_BUSYORVAL"},
21311 {"bits": [31, 31], "name": "ADDR_BUSYORVAL"}
21312 ]
21313 },
21314 "SX_DEBUG_BUSY_2": {
21315 "fields": [
21316 {"bits": [0, 0], "name": "COL_SCBD0_BUSY"},
21317 {"bits": [1, 1], "name": "COL_REQ3_FREECNT_NE0"},
21318 {"bits": [2, 2], "name": "COL_REQ3_IDLE"},
21319 {"bits": [3, 3], "name": "COL_REQ3_BUSY"},
21320 {"bits": [4, 4], "name": "COL_REQ2_FREECNT_NE0"},
21321 {"bits": [5, 5], "name": "COL_REQ2_IDLE"},
21322 {"bits": [6, 6], "name": "COL_REQ2_BUSY"},
21323 {"bits": [7, 7], "name": "COL_REQ1_FREECNT_NE0"},
21324 {"bits": [8, 8], "name": "COL_REQ1_IDLE"},
21325 {"bits": [9, 9], "name": "COL_REQ1_BUSY"},
21326 {"bits": [10, 10], "name": "COL_REQ0_FREECNT_NE0"},
21327 {"bits": [11, 11], "name": "COL_REQ0_IDLE"},
21328 {"bits": [12, 12], "name": "COL_REQ0_BUSY"},
21329 {"bits": [13, 13], "name": "COL_DBIF3_SENDFREE_BUSY"},
21330 {"bits": [14, 14], "name": "COL_DBIF3_FIFO_BUSY"},
21331 {"bits": [15, 15], "name": "COL_DBIF3_QUAD_FREE"},
21332 {"bits": [16, 16], "name": "COL_DBIF2_SENDFREE_BUSY"},
21333 {"bits": [17, 17], "name": "COL_DBIF2_FIFO_BUSY"},
21334 {"bits": [18, 18], "name": "COL_DBIF2_QUAD_FREE"},
21335 {"bits": [19, 19], "name": "COL_DBIF1_SENDFREE_BUSY"},
21336 {"bits": [20, 20], "name": "COL_DBIF1_FIFO_BUSY"},
21337 {"bits": [21, 21], "name": "COL_DBIF1_QUAD_FREE"},
21338 {"bits": [22, 22], "name": "COL_DBIF0_SENDFREE_BUSY"},
21339 {"bits": [23, 23], "name": "COL_DBIF0_FIFO_BUSY"},
21340 {"bits": [24, 24], "name": "COL_DBIF0_QUAD_FREE"},
21341 {"bits": [25, 25], "name": "COL_BUFF3_BANK3_VAL3_BUSY"},
21342 {"bits": [26, 26], "name": "COL_BUFF3_BANK3_VAL2_BUSY"},
21343 {"bits": [27, 27], "name": "COL_BUFF3_BANK3_VAL1_BUSY"},
21344 {"bits": [28, 28], "name": "COL_BUFF3_BANK3_VAL0_BUSY"},
21345 {"bits": [29, 29], "name": "COL_BUFF3_BANK2_VAL3_BUSY"},
21346 {"bits": [30, 30], "name": "COL_BUFF3_BANK2_VAL2_BUSY"},
21347 {"bits": [31, 31], "name": "COL_BUFF3_BANK2_VAL1_BUSY"}
21348 ]
21349 },
21350 "SX_DEBUG_BUSY_3": {
21351 "fields": [
21352 {"bits": [0, 0], "name": "COL_BUFF3_BANK2_VAL0_BUSY"},
21353 {"bits": [1, 1], "name": "COL_BUFF3_BANK1_VAL3_BUSY"},
21354 {"bits": [2, 2], "name": "COL_BUFF3_BANK1_VAL2_BUSY"},
21355 {"bits": [3, 3], "name": "COL_BUFF3_BANK1_VAL1_BUSY"},
21356 {"bits": [4, 4], "name": "COL_BUFF3_BANK1_VAL0_BUSY"},
21357 {"bits": [5, 5], "name": "COL_BUFF3_BANK0_VAL3_BUSY"},
21358 {"bits": [6, 6], "name": "COL_BUFF3_BANK0_VAL2_BUSY"},
21359 {"bits": [7, 7], "name": "COL_BUFF3_BANK0_VAL1_BUSY"},
21360 {"bits": [8, 8], "name": "COL_BUFF3_BANK0_VAL0_BUSY"},
21361 {"bits": [9, 9], "name": "COL_BUFF2_BANK3_VAL3_BUSY"},
21362 {"bits": [10, 10], "name": "COL_BUFF2_BANK3_VAL2_BUSY"},
21363 {"bits": [11, 11], "name": "COL_BUFF2_BANK3_VAL1_BUSY"},
21364 {"bits": [12, 12], "name": "COL_BUFF2_BANK3_VAL0_BUSY"},
21365 {"bits": [13, 13], "name": "COL_BUFF2_BANK2_VAL3_BUSY"},
21366 {"bits": [14, 14], "name": "COL_BUFF2_BANK2_VAL2_BUSY"},
21367 {"bits": [15, 15], "name": "COL_BUFF2_BANK2_VAL1_BUSY"},
21368 {"bits": [16, 16], "name": "COL_BUFF2_BANK2_VAL0_BUSY"},
21369 {"bits": [17, 17], "name": "COL_BUFF2_BANK1_VAL3_BUSY"},
21370 {"bits": [18, 18], "name": "COL_BUFF2_BANK1_VAL2_BUSY"},
21371 {"bits": [19, 19], "name": "COL_BUFF2_BANK1_VAL1_BUSY"},
21372 {"bits": [20, 20], "name": "COL_BUFF2_BANK1_VAL0_BUSY"},
21373 {"bits": [21, 21], "name": "COL_BUFF2_BANK0_VAL3_BUSY"},
21374 {"bits": [22, 22], "name": "COL_BUFF2_BANK0_VAL2_BUSY"},
21375 {"bits": [23, 23], "name": "COL_BUFF2_BANK0_VAL1_BUSY"},
21376 {"bits": [24, 24], "name": "COL_BUFF2_BANK0_VAL0_BUSY"},
21377 {"bits": [25, 25], "name": "COL_BUFF1_BANK3_VAL3_BUSY"},
21378 {"bits": [26, 26], "name": "COL_BUFF1_BANK3_VAL2_BUSY"},
21379 {"bits": [27, 27], "name": "COL_BUFF1_BANK3_VAL1_BUSY"},
21380 {"bits": [28, 28], "name": "COL_BUFF1_BANK3_VAL0_BUSY"},
21381 {"bits": [29, 29], "name": "COL_BUFF1_BANK2_VAL3_BUSY"},
21382 {"bits": [30, 30], "name": "COL_BUFF1_BANK2_VAL2_BUSY"},
21383 {"bits": [31, 31], "name": "COL_BUFF1_BANK2_VAL1_BUSY"}
21384 ]
21385 },
21386 "SX_DEBUG_BUSY_4": {
21387 "fields": [
21388 {"bits": [0, 0], "name": "COL_BUFF1_BANK2_VAL0_BUSY"},
21389 {"bits": [1, 1], "name": "COL_BUFF1_BANK1_VAL3_BUSY"},
21390 {"bits": [2, 2], "name": "COL_BUFF1_BANK1_VAL2_BUSY"},
21391 {"bits": [3, 3], "name": "COL_BUFF1_BANK1_VAL1_BUSY"},
21392 {"bits": [4, 4], "name": "COL_BUFF1_BANK1_VAL0_BUSY"},
21393 {"bits": [5, 5], "name": "COL_BUFF1_BANK0_VAL3_BUSY"},
21394 {"bits": [6, 6], "name": "COL_BUFF1_BANK0_VAL2_BUSY"},
21395 {"bits": [7, 7], "name": "COL_BUFF1_BANK0_VAL1_BUSY"},
21396 {"bits": [8, 8], "name": "COL_BUFF1_BANK0_VAL0_BUSY"},
21397 {"bits": [9, 9], "name": "COL_BUFF0_BANK3_VAL3_BUSY"},
21398 {"bits": [10, 10], "name": "COL_BUFF0_BANK3_VAL2_BUSY"},
21399 {"bits": [11, 11], "name": "COL_BUFF0_BANK3_VAL1_BUSY"},
21400 {"bits": [12, 12], "name": "COL_BUFF0_BANK3_VAL0_BUSY"},
21401 {"bits": [13, 13], "name": "COL_BUFF0_BANK2_VAL3_BUSY"},
21402 {"bits": [14, 14], "name": "COL_BUFF0_BANK2_VAL2_BUSY"},
21403 {"bits": [15, 15], "name": "COL_BUFF0_BANK2_VAL1_BUSY"},
21404 {"bits": [16, 16], "name": "COL_BUFF0_BANK2_VAL0_BUSY"},
21405 {"bits": [17, 17], "name": "COL_BUFF0_BANK1_VAL3_BUSY"},
21406 {"bits": [18, 18], "name": "COL_BUFF0_BANK1_VAL2_BUSY"},
21407 {"bits": [19, 19], "name": "COL_BUFF0_BANK1_VAL1_BUSY"},
21408 {"bits": [20, 20], "name": "COL_BUFF0_BANK1_VAL0_BUSY"},
21409 {"bits": [21, 21], "name": "COL_BUFF0_BANK0_VAL3_BUSY"},
21410 {"bits": [22, 22], "name": "COL_BUFF0_BANK0_VAL2_BUSY"},
21411 {"bits": [23, 23], "name": "COL_BUFF0_BANK0_VAL1_BUSY"},
21412 {"bits": [24, 24], "name": "COL_BUFF0_BANK0_VAL0_BUSY"},
21413 {"bits": [25, 25], "name": "COL_BUFF3_BANK7_VAL3_BUSY"},
21414 {"bits": [26, 26], "name": "COL_BUFF3_BANK7_VAL2_BUSY"},
21415 {"bits": [27, 27], "name": "COL_BUFF3_BANK7_VAL1_BUSY"},
21416 {"bits": [28, 28], "name": "COL_BUFF3_BANK7_VAL0_BUSY"},
21417 {"bits": [29, 29], "name": "COL_BUFF3_BANK6_VAL3_BUSY"},
21418 {"bits": [30, 30], "name": "COL_BUFF3_BANK6_VAL2_BUSY"},
21419 {"bits": [31, 31], "name": "COL_BUFF3_BANK6_VAL1_BUSY"}
21420 ]
21421 },
21422 "SX_DEBUG_BUSY_5": {
21423 "fields": [
21424 {"bits": [0, 0], "name": "COL_BUFF3_BANK6_VAL0_BUSY"},
21425 {"bits": [1, 1], "name": "COL_BUFF3_BANK5_VAL3_BUSY"},
21426 {"bits": [2, 2], "name": "COL_BUFF3_BANK5_VAL2_BUSY"},
21427 {"bits": [3, 3], "name": "COL_BUFF3_BANK5_VAL1_BUSY"},
21428 {"bits": [4, 4], "name": "COL_BUFF3_BANK5_VAL0_BUSY"},
21429 {"bits": [5, 5], "name": "COL_BUFF3_BANK4_VAL3_BUSY"},
21430 {"bits": [6, 6], "name": "COL_BUFF3_BANK4_VAL2_BUSY"},
21431 {"bits": [7, 7], "name": "COL_BUFF3_BANK4_VAL1_BUSY"},
21432 {"bits": [8, 8], "name": "COL_BUFF3_BANK4_VAL0_BUSY"},
21433 {"bits": [9, 9], "name": "COL_BUFF2_BANK7_VAL3_BUSY"},
21434 {"bits": [10, 10], "name": "COL_BUFF2_BANK7_VAL2_BUSY"},
21435 {"bits": [11, 11], "name": "COL_BUFF2_BANK7_VAL1_BUSY"},
21436 {"bits": [12, 12], "name": "COL_BUFF2_BANK7_VAL0_BUSY"},
21437 {"bits": [13, 13], "name": "COL_BUFF2_BANK6_VAL3_BUSY"},
21438 {"bits": [14, 14], "name": "COL_BUFF2_BANK6_VAL2_BUSY"},
21439 {"bits": [15, 15], "name": "COL_BUFF2_BANK6_VAL1_BUSY"},
21440 {"bits": [16, 16], "name": "COL_BUFF2_BANK6_VAL0_BUSY"},
21441 {"bits": [17, 17], "name": "COL_BUFF2_BANK5_VAL3_BUSY"},
21442 {"bits": [18, 18], "name": "COL_BUFF2_BANK5_VAL2_BUSY"},
21443 {"bits": [19, 19], "name": "COL_BUFF2_BANK5_VAL1_BUSY"},
21444 {"bits": [20, 20], "name": "COL_BUFF2_BANK5_VAL0_BUSY"},
21445 {"bits": [21, 21], "name": "COL_BUFF2_BANK4_VAL3_BUSY"},
21446 {"bits": [22, 22], "name": "COL_BUFF2_BANK4_VAL2_BUSY"},
21447 {"bits": [23, 23], "name": "COL_BUFF2_BANK4_VAL1_BUSY"},
21448 {"bits": [24, 24], "name": "COL_BUFF2_BANK4_VAL0_BUSY"},
21449 {"bits": [25, 25], "name": "COL_BUFF1_BANK7_VAL3_BUSY"},
21450 {"bits": [26, 26], "name": "COL_BUFF1_BANK7_VAL2_BUSY"},
21451 {"bits": [27, 27], "name": "COL_BUFF1_BANK7_VAL1_BUSY"},
21452 {"bits": [28, 28], "name": "COL_BUFF1_BANK7_VAL0_BUSY"},
21453 {"bits": [29, 29], "name": "COL_BUFF1_BANK6_VAL3_BUSY"},
21454 {"bits": [30, 30], "name": "COL_BUFF1_BANK6_VAL2_BUSY"},
21455 {"bits": [31, 31], "name": "COL_BUFF1_BANK6_VAL1_BUSY"}
21456 ]
21457 },
21458 "SX_DEBUG_BUSY_6": {
21459 "fields": [
21460 {"bits": [0, 0], "name": "COL_BUFF1_BANK6_VAL0_BUSY"},
21461 {"bits": [1, 1], "name": "COL_BUFF1_BANK5_VAL3_BUSY"},
21462 {"bits": [2, 2], "name": "COL_BUFF1_BANK5_VAL2_BUSY"},
21463 {"bits": [3, 3], "name": "COL_BUFF1_BANK5_VAL1_BUSY"},
21464 {"bits": [4, 4], "name": "COL_BUFF1_BANK5_VAL0_BUSY"},
21465 {"bits": [5, 5], "name": "COL_BUFF1_BANK4_VAL3_BUSY"},
21466 {"bits": [6, 6], "name": "COL_BUFF1_BANK4_VAL2_BUSY"},
21467 {"bits": [7, 7], "name": "COL_BUFF1_BANK4_VAL1_BUSY"},
21468 {"bits": [8, 8], "name": "COL_BUFF1_BANK4_VAL0_BUSY"},
21469 {"bits": [9, 9], "name": "COL_BUFF0_BANK7_VAL3_BUSY"},
21470 {"bits": [10, 10], "name": "COL_BUFF0_BANK7_VAL2_BUSY"},
21471 {"bits": [11, 11], "name": "COL_BUFF0_BANK7_VAL1_BUSY"},
21472 {"bits": [12, 12], "name": "COL_BUFF0_BANK7_VAL0_BUSY"},
21473 {"bits": [13, 13], "name": "COL_BUFF0_BANK6_VAL3_BUSY"},
21474 {"bits": [14, 14], "name": "COL_BUFF0_BANK6_VAL2_BUSY"},
21475 {"bits": [15, 15], "name": "COL_BUFF0_BANK6_VAL1_BUSY"},
21476 {"bits": [16, 16], "name": "COL_BUFF0_BANK6_VAL0_BUSY"},
21477 {"bits": [17, 17], "name": "COL_BUFF0_BANK5_VAL3_BUSY"},
21478 {"bits": [18, 18], "name": "COL_BUFF0_BANK5_VAL2_BUSY"},
21479 {"bits": [19, 19], "name": "COL_BUFF0_BANK5_VAL1_BUSY"},
21480 {"bits": [20, 20], "name": "COL_BUFF0_BANK5_VAL0_BUSY"},
21481 {"bits": [21, 21], "name": "COL_BUFF0_BANK4_VAL3_BUSY"},
21482 {"bits": [22, 22], "name": "COL_BUFF0_BANK4_VAL2_BUSY"},
21483 {"bits": [23, 23], "name": "COL_BUFF0_BANK4_VAL1_BUSY"},
21484 {"bits": [24, 24], "name": "COL_BUFF0_BANK4_VAL0_BUSY"},
21485 {"bits": [25, 25], "name": "COL_REQ3_CREDIT_BUSY"},
21486 {"bits": [26, 26], "name": "COL_REQ3_FLOP_BUSY"},
21487 {"bits": [27, 27], "name": "COL_REQ2_CREDIT_BUSY"},
21488 {"bits": [28, 28], "name": "COL_REQ2_FLOP_BUSY"},
21489 {"bits": [29, 29], "name": "COL_REQ1_CREDIT_BUSY"},
21490 {"bits": [30, 30], "name": "COL_REQ1_FLOP_BUSY"},
21491 {"bits": [31, 31], "name": "COL_REQ0_CREDIT_BUSY"}
21492 ]
21493 },
21494 "SX_DEBUG_BUSY_7": {
21495 "fields": [
21496 {"bits": [0, 0], "name": "COL_REQ0_FLOP_BUSY"},
21497 {"bits": [1, 1], "name": "COL_SCBD0_BUSY"},
21498 {"bits": [2, 2], "name": "COL_BLEND3_DATA_VALIDQ1"},
21499 {"bits": [3, 3], "name": "COL_BLEND3_DATA_VALIDQ1_ADJ"},
21500 {"bits": [4, 4], "name": "COL_BLEND3_DATA_VALIDQ2"},
21501 {"bits": [5, 5], "name": "COL_BLEND3_DATA_VALIDQ3"},
21502 {"bits": [6, 6], "name": "COL_BLEND3_DATA_VALIDQ4"},
21503 {"bits": [7, 7], "name": "COL_BLEND3_DATA_VALIDQ5"},
21504 {"bits": [8, 8], "name": "COL_BLEND3_DATA_VALID_OUT"},
21505 {"bits": [9, 9], "name": "RESERVED"},
21506 {"bits": [10, 10], "name": "COL_BLEND2_DATA_VALIDQ1"},
21507 {"bits": [11, 11], "name": "COL_BLEND2_DATA_VALIDQ1_ADJ"},
21508 {"bits": [12, 12], "name": "COL_BLEND2_DATA_VALIDQ2"},
21509 {"bits": [13, 13], "name": "COL_BLEND2_DATA_VALIDQ3"},
21510 {"bits": [14, 14], "name": "COL_BLEND2_DATA_VALIDQ4"},
21511 {"bits": [15, 15], "name": "COL_BLEND2_DATA_VALIDQ5"},
21512 {"bits": [16, 16], "name": "COL_BLEND2_DATA_VALID_OUT"},
21513 {"bits": [17, 17], "name": "RESERVED"},
21514 {"bits": [18, 18], "name": "COL_BLEND1_DATA_VALIDQ1"},
21515 {"bits": [19, 19], "name": "COL_BLEND1_DATA_VALIDQ1_ADJ"},
21516 {"bits": [20, 20], "name": "COL_BLEND1_DATA_VALIDQ2"},
21517 {"bits": [21, 21], "name": "COL_BLEND1_DATA_VALIDQ3"},
21518 {"bits": [22, 22], "name": "COL_BLEND1_DATA_VALIDQ4"},
21519 {"bits": [23, 23], "name": "COL_BLEND1_DATA_VALIDQ5"},
21520 {"bits": [24, 24], "name": "COL_BLEND1_DATA_VALID_OUT"},
21521 {"bits": [25, 25], "name": "RESERVED"},
21522 {"bits": [26, 26], "name": "COL_BLEND0_DATA_VALIDQ1"},
21523 {"bits": [27, 27], "name": "COL_BLEND0_DATA_VALIDQ1_ADJ"},
21524 {"bits": [28, 28], "name": "COL_BLEND0_DATA_VALIDQ2"},
21525 {"bits": [29, 29], "name": "COL_BLEND0_DATA_VALIDQ3"},
21526 {"bits": [30, 30], "name": "COL_BLEND0_DATA_VALIDQ4"},
21527 {"bits": [31, 31], "name": "COL_BLEND0_DATA_VALIDQ5"}
21528 ]
21529 },
21530 "SX_DEBUG_BUSY_8": {
21531 "fields": [
21532 {"bits": [0, 0], "name": "COL_BLEND0_DATA_VALID_OUT"},
21533 {"bits": [1, 1], "name": "RESERVED"},
21534 {"bits": [2, 2], "name": "POS_BANK7VAL3_BUSY"},
21535 {"bits": [3, 3], "name": "POS_BANK7VAL2_BUSY"},
21536 {"bits": [4, 4], "name": "POS_BANK7VAL1_BUSY"},
21537 {"bits": [5, 5], "name": "POS_BANK7VAL0_BUSY"},
21538 {"bits": [6, 6], "name": "POS_BANK6VAL3_BUSY"},
21539 {"bits": [7, 7], "name": "POS_BANK6VAL2_BUSY"},
21540 {"bits": [8, 8], "name": "POS_BANK6VAL1_BUSY"},
21541 {"bits": [9, 9], "name": "POS_BANK6VAL0_BUSY"},
21542 {"bits": [10, 10], "name": "POS_BANK5VAL3_BUSY"},
21543 {"bits": [11, 11], "name": "POS_BANK5VAL2_BUSY"},
21544 {"bits": [12, 12], "name": "POS_BANK5VAL1_BUSY"},
21545 {"bits": [13, 13], "name": "POS_BANK5VAL0_BUSY"},
21546 {"bits": [14, 14], "name": "POS_BANK4VAL3_BUSY"},
21547 {"bits": [15, 15], "name": "POS_BANK4VAL2_BUSY"},
21548 {"bits": [16, 16], "name": "POS_BANK4VAL1_BUSY"},
21549 {"bits": [17, 17], "name": "POS_BANK4VAL0_BUSY"},
21550 {"bits": [18, 18], "name": "POS_WRCTRL1_VALIDQ3"},
21551 {"bits": [19, 19], "name": "POS_WRCTRL1_VALIDQ2"},
21552 {"bits": [20, 20], "name": "POS_WRCTRL1_VALIDQ1"},
21553 {"bits": [21, 21], "name": "IDX_WRCTRL1_VALIDQ3"},
21554 {"bits": [22, 22], "name": "IDX_WRCTRL1_VALIDQ2"},
21555 {"bits": [23, 23], "name": "IDX_WRCTRL1_VALIDQ1"},
21556 {"bits": [24, 24], "name": "IDX_SCBD_BUSY"},
21557 {"bits": [25, 25], "name": "IDX_FREE_OR_VALIDS"},
21558 {"bits": [26, 26], "name": "IDX_REQUESTER_BUSY"},
21559 {"bits": [27, 27], "name": "PA_SX_IDX_BUSY"},
21560 {"bits": [28, 28], "name": "IDX_BANK7VAL3_BUSY"},
21561 {"bits": [29, 29], "name": "IDX_BANK7VAL2_BUSY"},
21562 {"bits": [30, 30], "name": "IDX_BANK7VAL1_BUSY"},
21563 {"bits": [31, 31], "name": "IDX_BANK7VAL0_BUSY"}
21564 ]
21565 },
21566 "SX_DEBUG_BUSY_9": {
21567 "fields": [
21568 {"bits": [0, 0], "name": "IDX_BANK6VAL3_BUSY"},
21569 {"bits": [1, 1], "name": "IDX_BANK6VAL2_BUSY"},
21570 {"bits": [2, 2], "name": "IDX_BANK6VAL1_BUSY"},
21571 {"bits": [3, 3], "name": "IDX_BANK6VAL0_BUSY"},
21572 {"bits": [4, 4], "name": "IDX_BANK5VAL3_BUSY"},
21573 {"bits": [5, 5], "name": "IDX_BANK5VAL2_BUSY"},
21574 {"bits": [6, 6], "name": "IDX_BANK5VAL1_BUSY"},
21575 {"bits": [7, 7], "name": "IDX_BANK5VAL0_BUSY"},
21576 {"bits": [8, 8], "name": "IDX_BANK4VAL3_BUSY"},
21577 {"bits": [9, 9], "name": "IDX_BANK4VAL2_BUSY"},
21578 {"bits": [10, 10], "name": "IDX_BANK4VAL1_BUSY"},
21579 {"bits": [11, 11], "name": "IDX_BANK4VAL0_BUSY"},
21580 {"bits": [12, 12], "name": "IDX_BANK3VAL3_BUSY"},
21581 {"bits": [13, 13], "name": "IDX_BANK3VAL2_BUSY"},
21582 {"bits": [14, 14], "name": "IDX_BANK3VAL1_BUSY"},
21583 {"bits": [15, 15], "name": "IDX_BANK3VAL0_BUSY"},
21584 {"bits": [16, 16], "name": "IDX_BANK2VAL3_BUSY"},
21585 {"bits": [17, 17], "name": "IDX_BANK2VAL2_BUSY"},
21586 {"bits": [18, 18], "name": "IDX_BANK2VAL1_BUSY"},
21587 {"bits": [19, 19], "name": "IDX_BANK2VAL0_BUSY"},
21588 {"bits": [20, 20], "name": "IDX_BANK1VAL3_BUSY"},
21589 {"bits": [21, 21], "name": "IDX_BANK1VAL2_BUSY"},
21590 {"bits": [22, 22], "name": "IDX_BANK1VAL1_BUSY"},
21591 {"bits": [23, 23], "name": "IDX_BANK1VAL0_BUSY"},
21592 {"bits": [24, 24], "name": "IDX_BANK0VAL3_BUSY"},
21593 {"bits": [25, 25], "name": "IDX_BANK0VAL2_BUSY"},
21594 {"bits": [26, 26], "name": "IDX_BANK0VAL1_BUSY"},
21595 {"bits": [27, 27], "name": "IDX_BANK0VAL0_BUSY"},
21596 {"bits": [28, 28], "name": "SX_SX_IN_VALID"},
21597 {"bits": [29, 29], "name": "SX_SX_OUT_VALID"},
21598 {"bits": [30, 31], "name": "RESERVED"}
21599 ]
21600 },
21601 "SX_MRT0_BLEND_OPT": {
21602 "fields": [
21603 {"bits": [0, 2], "enum_ref": "SX_BLEND_OPT", "name": "COLOR_SRC_OPT"},
21604 {"bits": [4, 6], "enum_ref": "SX_BLEND_OPT", "name": "COLOR_DST_OPT"},
21605 {"bits": [8, 10], "enum_ref": "SX_OPT_COMB_FCN", "name": "COLOR_COMB_FCN"},
21606 {"bits": [16, 18], "enum_ref": "SX_BLEND_OPT", "name": "ALPHA_SRC_OPT"},
21607 {"bits": [20, 22], "enum_ref": "SX_BLEND_OPT", "name": "ALPHA_DST_OPT"},
21608 {"bits": [24, 26], "enum_ref": "SX_OPT_COMB_FCN", "name": "ALPHA_COMB_FCN"}
21609 ]
21610 },
21611 "SX_PERFCOUNTER0_SELECT": {
21612 "fields": [
21613 {"bits": [0, 9], "enum_ref": "SX_PERFCOUNTER_VALS", "name": "PERFCOUNTER_SELECT"},
21614 {"bits": [10, 19], "name": "PERFCOUNTER_SELECT1"},
21615 {"bits": [20, 23], "name": "CNTR_MODE"}
21616 ]
21617 },
21618 "SX_PERFCOUNTER0_SELECT1": {
21619 "fields": [
21620 {"bits": [0, 9], "name": "PERFCOUNTER_SELECT2"},
21621 {"bits": [10, 19], "name": "PERFCOUNTER_SELECT3"}
21622 ]
21623 },
21624 "SX_PS_DOWNCONVERT_CONTROL": {
21625 "fields": [
21626 {"bits": [0, 0], "name": "MRT0_FMT_MAPPING_DISABLE"},
21627 {"bits": [1, 1], "name": "MRT1_FMT_MAPPING_DISABLE"},
21628 {"bits": [2, 2], "name": "MRT2_FMT_MAPPING_DISABLE"},
21629 {"bits": [3, 3], "name": "MRT3_FMT_MAPPING_DISABLE"},
21630 {"bits": [4, 4], "name": "MRT4_FMT_MAPPING_DISABLE"},
21631 {"bits": [5, 5], "name": "MRT5_FMT_MAPPING_DISABLE"},
21632 {"bits": [6, 6], "name": "MRT6_FMT_MAPPING_DISABLE"},
21633 {"bits": [7, 7], "name": "MRT7_FMT_MAPPING_DISABLE"}
21634 ]
21635 },
21636 "SX_PS_DOWNCONVERT": {
21637 "fields": [
21638 {"bits": [0, 3], "enum_ref": "SX_DOWNCONVERT_FORMAT", "name": "MRT0"},
21639 {"bits": [4, 7], "enum_ref": "SX_DOWNCONVERT_FORMAT", "name": "MRT1"},
21640 {"bits": [8, 11], "enum_ref": "SX_DOWNCONVERT_FORMAT", "name": "MRT2"},
21641 {"bits": [12, 15], "enum_ref": "SX_DOWNCONVERT_FORMAT", "name": "MRT3"},
21642 {"bits": [16, 19], "enum_ref": "SX_DOWNCONVERT_FORMAT", "name": "MRT4"},
21643 {"bits": [20, 23], "enum_ref": "SX_DOWNCONVERT_FORMAT", "name": "MRT5"},
21644 {"bits": [24, 27], "enum_ref": "SX_DOWNCONVERT_FORMAT", "name": "MRT6"},
21645 {"bits": [28, 31], "enum_ref": "SX_DOWNCONVERT_FORMAT", "name": "MRT7"}
21646 ]
21647 },
21648 "TA_BC_BASE_ADDR_HI": {
21649 "fields": [
21650 {"bits": [0, 7], "name": "ADDRESS"}
21651 ]
21652 },
21653 "TA_PERFCOUNTER0_SELECT": {
21654 "fields": [
21655 {"bits": [0, 7], "enum_ref": "TA_PERFCOUNT_SEL", "name": "PERF_SEL"},
21656 {"bits": [10, 17], "enum_ref": "TA_PERFCOUNT_SEL", "name": "PERF_SEL1"},
21657 {"bits": [20, 23], "name": "CNTR_MODE"},
21658 {"bits": [24, 27], "name": "PERF_MODE1"},
21659 {"bits": [28, 31], "name": "PERF_MODE"}
21660 ]
21661 },
21662 "TA_PERFCOUNTER0_SELECT1": {
21663 "fields": [
21664 {"bits": [0, 7], "enum_ref": "TA_PERFCOUNT_SEL", "name": "PERF_SEL2"},
21665 {"bits": [10, 17], "enum_ref": "TA_PERFCOUNT_SEL", "name": "PERF_SEL3"},
21666 {"bits": [24, 27], "name": "PERF_MODE3"},
21667 {"bits": [28, 31], "name": "PERF_MODE2"}
21668 ]
21669 },
21670 "TA_PERFCOUNTER1_SELECT": {
21671 "fields": [
21672 {"bits": [0, 7], "enum_ref": "TA_PERFCOUNT_SEL", "name": "PERF_SEL"},
21673 {"bits": [20, 23], "name": "CNTR_MODE"},
21674 {"bits": [28, 31], "name": "PERF_MODE"}
21675 ]
21676 },
21677 "TCP_PERFCOUNTER0_SELECT": {
21678 "fields": [
21679 {"bits": [0, 9], "enum_ref": "TCP_PERFCOUNT_SELECT", "name": "PERF_SEL"},
21680 {"bits": [10, 19], "enum_ref": "TCP_PERFCOUNT_SELECT", "name": "PERF_SEL1"},
21681 {"bits": [20, 23], "name": "CNTR_MODE"},
21682 {"bits": [24, 27], "name": "PERF_MODE1"},
21683 {"bits": [28, 31], "name": "PERF_MODE"}
21684 ]
21685 },
21686 "TCP_PERFCOUNTER0_SELECT1": {
21687 "fields": [
21688 {"bits": [0, 9], "enum_ref": "TCP_PERFCOUNT_SELECT", "name": "PERF_SEL2"},
21689 {"bits": [10, 19], "enum_ref": "TCP_PERFCOUNT_SELECT", "name": "PERF_SEL3"},
21690 {"bits": [24, 27], "name": "PERF_MODE3"},
21691 {"bits": [28, 31], "name": "PERF_MODE2"}
21692 ]
21693 },
21694 "TCP_PERFCOUNTER2_SELECT": {
21695 "fields": [
21696 {"bits": [0, 9], "enum_ref": "TCP_PERFCOUNT_SELECT", "name": "PERF_SEL"},
21697 {"bits": [20, 23], "name": "CNTR_MODE"},
21698 {"bits": [28, 31], "name": "PERF_MODE"}
21699 ]
21700 },
21701 "TD_PERFCOUNTER0_SELECT": {
21702 "fields": [
21703 {"bits": [0, 7], "enum_ref": "TD_PERFCOUNT_SEL", "name": "PERF_SEL"},
21704 {"bits": [10, 17], "enum_ref": "TD_PERFCOUNT_SEL", "name": "PERF_SEL1"},
21705 {"bits": [20, 23], "name": "CNTR_MODE"},
21706 {"bits": [24, 27], "name": "PERF_MODE1"},
21707 {"bits": [28, 31], "name": "PERF_MODE"}
21708 ]
21709 },
21710 "TD_PERFCOUNTER0_SELECT1": {
21711 "fields": [
21712 {"bits": [0, 7], "enum_ref": "TD_PERFCOUNT_SEL", "name": "PERF_SEL2"},
21713 {"bits": [10, 17], "enum_ref": "TD_PERFCOUNT_SEL", "name": "PERF_SEL3"},
21714 {"bits": [24, 27], "name": "PERF_MODE3"},
21715 {"bits": [28, 31], "name": "PERF_MODE2"}
21716 ]
21717 },
21718 "TD_PERFCOUNTER1_SELECT": {
21719 "fields": [
21720 {"bits": [0, 7], "enum_ref": "TD_PERFCOUNT_SEL", "name": "PERF_SEL"},
21721 {"bits": [20, 23], "name": "CNTR_MODE"},
21722 {"bits": [28, 31], "name": "PERF_MODE"}
21723 ]
21724 },
21725 "UTCL1_PERFCOUNTER0_SELECT": {
21726 "fields": [
21727 {"bits": [0, 9], "enum_ref": "UTCL1PerfSel", "name": "PERF_SEL"},
21728 {"bits": [28, 31], "name": "COUNTER_MODE"}
21729 ]
21730 },
21731 "VGT_DISPATCH_DRAW_INDEX": {
21732 "fields": [
21733 {"bits": [0, 31], "name": "MATCH_INDEX"}
21734 ]
21735 },
21736 "VGT_DMA_BASE_HI": {
21737 "fields": [
21738 {"bits": [0, 15], "name": "BASE_ADDR"}
21739 ]
21740 },
21741 "VGT_DMA_INDEX_TYPE": {
21742 "fields": [
21743 {"bits": [0, 1], "enum_ref": "VGT_INDEX_TYPE_MODE", "name": "INDEX_TYPE"},
21744 {"bits": [2, 3], "enum_ref": "VGT_DMA_SWAP_MODE", "name": "SWAP_MODE"},
21745 {"bits": [4, 5], "enum_ref": "VGT_DMA_BUF_TYPE", "name": "BUF_TYPE"},
21746 {"bits": [6, 7], "enum_ref": "VGT_RDREQ_POLICY", "name": "RDREQ_POLICY"},
21747 {"bits": [8, 8], "name": "ATC"},
21748 {"bits": [9, 9], "name": "NOT_EOP"},
21749 {"bits": [10, 10], "name": "REQ_PATH"},
21750 {"bits": [11, 13], "name": "MTYPE"}
21751 ]
21752 },
21753 "VGT_DMA_MAX_SIZE": {
21754 "fields": [
21755 {"bits": [0, 31], "name": "MAX_SIZE"}
21756 ]
21757 },
21758 "VGT_DMA_NUM_INSTANCES": {
21759 "fields": [
21760 {"bits": [0, 31], "name": "NUM_INSTANCES"}
21761 ]
21762 },
21763 "VGT_DMA_SIZE": {
21764 "fields": [
21765 {"bits": [0, 31], "name": "NUM_INDICES"}
21766 ]
21767 },
21768 "VGT_DRAW_INITIATOR": {
21769 "fields": [
21770 {"bits": [0, 1], "enum_ref": "VGT_DI_SOURCE_SELECT", "name": "SOURCE_SELECT"},
21771 {"bits": [2, 3], "enum_ref": "VGT_DI_MAJOR_MODE_SELECT", "name": "MAJOR_MODE"},
21772 {"bits": [4, 4], "name": "SPRITE_EN_R6XX"},
21773 {"bits": [5, 5], "name": "NOT_EOP"},
21774 {"bits": [6, 6], "name": "USE_OPAQUE"},
21775 {"bits": [7, 7], "name": "UNROLLED_INST"},
21776 {"bits": [8, 8], "name": "GRBM_SKEW_NO_DEC"},
21777 {"bits": [29, 31], "name": "REG_RT_INDEX"}
21778 ]
21779 },
21780 "VGT_DRAW_PAYLOAD_CNTL": {
21781 "fields": [
21782 {"bits": [0, 0], "name": "OBJPRIM_ID_EN"},
21783 {"bits": [1, 1], "name": "EN_REG_RT_INDEX"},
21784 {"bits": [2, 2], "name": "OBJECT_ID_INST_EN"},
21785 {"bits": [3, 3], "name": "EN_PRIM_PAYLOAD"},
21786 {"bits": [4, 4], "name": "EN_DRAW_VP"}
21787 ]
21788 },
21789 "VGT_ESGS_RING_ITEMSIZE": {
21790 "fields": [
21791 {"bits": [0, 14], "name": "ITEMSIZE"}
21792 ]
21793 },
21794 "VGT_ESGS_RING_SIZE": {
21795 "fields": [
21796 {"bits": [0, 31], "name": "MEM_SIZE"}
21797 ]
21798 },
21799 "VGT_ES_PER_GS": {
21800 "fields": [
21801 {"bits": [0, 10], "name": "ES_PER_GS"}
21802 ]
21803 },
21804 "VGT_EVENT_ADDRESS_REG": {
21805 "fields": [
21806 {"bits": [0, 27], "name": "ADDRESS_LOW"}
21807 ]
21808 },
21809 "VGT_EVENT_INITIATOR": {
21810 "fields": [
21811 {"bits": [0, 5], "enum_ref": "VGT_EVENT_TYPE", "name": "EVENT_TYPE"},
21812 {"bits": [10, 26], "name": "ADDRESS_HI"},
21813 {"bits": [27, 27], "name": "EXTENDED_EVENT"}
21814 ]
21815 },
21816 "VGT_GSVS_RING_OFFSET_1": {
21817 "fields": [
21818 {"bits": [0, 14], "name": "OFFSET"}
21819 ]
21820 },
21821 "VGT_GS_INSTANCE_CNT": {
21822 "fields": [
21823 {"bits": [0, 0], "name": "ENABLE"},
21824 {"bits": [2, 8], "name": "CNT"},
21825 {"bits": [31, 31], "name": "EN_MAX_VERT_OUT_PER_GS_INSTANCE"}
21826 ]
21827 },
21828 "VGT_GS_MAX_VERT_OUT": {
21829 "fields": [
21830 {"bits": [0, 10], "name": "MAX_VERT_OUT"}
21831 ]
21832 },
21833 "VGT_GS_MODE": {
21834 "fields": [
21835 {"bits": [0, 2], "enum_ref": "VGT_GS_MODE_TYPE", "name": "MODE"},
21836 {"bits": [3, 3], "name": "RESERVED_0"},
21837 {"bits": [4, 5], "enum_ref": "VGT_GS_CUT_MODE", "name": "CUT_MODE"},
21838 {"bits": [6, 10], "name": "RESERVED_1"},
21839 {"bits": [11, 11], "name": "GS_C_PACK_EN"},
21840 {"bits": [12, 12], "name": "RESERVED_2"},
21841 {"bits": [13, 13], "name": "ES_PASSTHRU"},
21842 {"bits": [14, 14], "name": "COMPUTE_MODE"},
21843 {"bits": [15, 15], "name": "FAST_COMPUTE_MODE"},
21844 {"bits": [16, 16], "name": "ELEMENT_INFO_EN"},
21845 {"bits": [17, 17], "name": "PARTIAL_THD_AT_EOI"},
21846 {"bits": [18, 18], "name": "SUPPRESS_CUTS"},
21847 {"bits": [19, 19], "name": "ES_WRITE_OPTIMIZE"},
21848 {"bits": [20, 20], "name": "GS_WRITE_OPTIMIZE"},
21849 {"bits": [21, 22], "name": "ONCHIP"}
21850 ]
21851 },
21852 "VGT_GS_ONCHIP_CNTL": {
21853 "fields": [
21854 {"bits": [0, 10], "name": "ES_VERTS_PER_SUBGRP"},
21855 {"bits": [11, 21], "name": "GS_PRIMS_PER_SUBGRP"},
21856 {"bits": [22, 31], "name": "GS_INST_PRIMS_IN_SUBGRP"}
21857 ]
21858 },
21859 "VGT_GS_OUT_PRIM_TYPE": {
21860 "fields": [
21861 {"bits": [0, 5], "enum_ref": "VGT_GS_OUT_PRIM_TYPE__OUTPRIM_TYPE", "name": "OUTPRIM_TYPE"},
21862 {"bits": [8, 13], "enum_ref": "VGT_GS_OUT_PRIM_TYPE__OUTPRIM_TYPE", "name": "OUTPRIM_TYPE_1"},
21863 {"bits": [16, 21], "enum_ref": "VGT_GS_OUT_PRIM_TYPE__OUTPRIM_TYPE", "name": "OUTPRIM_TYPE_2"},
21864 {"bits": [22, 27], "enum_ref": "VGT_GS_OUT_PRIM_TYPE__OUTPRIM_TYPE", "name": "OUTPRIM_TYPE_3"},
21865 {"bits": [31, 31], "name": "UNIQUE_TYPE_PER_STREAM"}
21866 ]
21867 },
21868 "VGT_GS_PER_ES": {
21869 "fields": [
21870 {"bits": [0, 10], "name": "GS_PER_ES"}
21871 ]
21872 },
21873 "VGT_GS_PER_VS": {
21874 "fields": [
21875 {"bits": [0, 3], "name": "GS_PER_VS"}
21876 ]
21877 },
21878 "VGT_HOS_MAX_TESS_LEVEL": {
21879 "fields": [
21880 {"bits": [0, 31], "name": "MAX_TESS"}
21881 ]
21882 },
21883 "VGT_HOS_MIN_TESS_LEVEL": {
21884 "fields": [
21885 {"bits": [0, 31], "name": "MIN_TESS"}
21886 ]
21887 },
21888 "VGT_HS_OFFCHIP_PARAM_UMD": {
21889 "fields": [
21890 {"bits": [0, 8], "name": "OFFCHIP_BUFFERING"},
21891 {"bits": [9, 10], "name": "OFFCHIP_GRANULARITY"},
21892 {"bits": [0, 9], "name": "OFFCHIP_BUFFERING_GFX103"},
21893 {"bits": [10, 11], "name": "OFFCHIP_GRANULARITY_GFX103"}
21894 ]
21895 },
21896 "VGT_INSTANCE_BASE_ID": {
21897 "fields": [
21898 {"bits": [0, 31], "name": "INSTANCE_BASE_ID"}
21899 ]
21900 },
21901 "VGT_INSTANCE_STEP_RATE_0": {
21902 "fields": [
21903 {"bits": [0, 31], "name": "STEP_RATE"}
21904 ]
21905 },
21906 "VGT_LS_HS_CONFIG": {
21907 "fields": [
21908 {"bits": [0, 7], "name": "NUM_PATCHES"},
21909 {"bits": [8, 13], "name": "HS_NUM_INPUT_CP"},
21910 {"bits": [14, 19], "name": "HS_NUM_OUTPUT_CP"}
21911 ]
21912 },
21913 "VGT_MULTI_PRIM_IB_RESET_INDX": {
21914 "fields": [
21915 {"bits": [0, 31], "name": "RESET_INDX"}
21916 ]
21917 },
21918 "VGT_OUTPUT_PATH_CNTL": {
21919 "fields": [
21920 {"bits": [0, 2], "name": "PATH_SELECT"}
21921 ]
21922 },
21923 "VGT_OUT_DEALLOC_CNTL": {
21924 "fields": [
21925 {"bits": [0, 6], "name": "DEALLOC_DIST"}
21926 ]
21927 },
21928 "VGT_PRIMITIVEID_EN": {
21929 "fields": [
21930 {"bits": [0, 0], "name": "PRIMITIVEID_EN"},
21931 {"bits": [1, 1], "name": "DISABLE_RESET_ON_EOI"},
21932 {"bits": [2, 2], "name": "NGG_DISABLE_PROVOK_REUSE"}
21933 ]
21934 },
21935 "VGT_PRIMITIVEID_RESET": {
21936 "fields": [
21937 {"bits": [0, 31], "name": "VALUE"}
21938 ]
21939 },
21940 "VGT_PRIMITIVE_TYPE": {
21941 "fields": [
21942 {"bits": [0, 5], "enum_ref": "VGT_DI_PRIM_TYPE", "name": "PRIM_TYPE"}
21943 ]
21944 },
21945 "VGT_REUSE_OFF": {
21946 "fields": [
21947 {"bits": [0, 0], "name": "REUSE_OFF"}
21948 ]
21949 },
21950 "VGT_SHADER_STAGES_EN": {
21951 "fields": [
21952 {"bits": [0, 1], "enum_ref": "VGT_STAGES_LS_EN", "name": "LS_EN"},
21953 {"bits": [2, 2], "enum_ref": "VGT_STAGES_HS_EN", "name": "HS_EN"},
21954 {"bits": [3, 4], "enum_ref": "VGT_STAGES_ES_EN", "name": "ES_EN"},
21955 {"bits": [5, 5], "enum_ref": "VGT_STAGES_GS_EN", "name": "GS_EN"},
21956 {"bits": [6, 7], "enum_ref": "VGT_STAGES_VS_EN", "name": "VS_EN"},
21957 {"bits": [8, 8], "name": "DYNAMIC_HS"},
21958 {"bits": [9, 9], "name": "DISPATCH_DRAW_EN"},
21959 {"bits": [10, 10], "name": "DIS_DEALLOC_ACCUM_0"},
21960 {"bits": [11, 11], "name": "DIS_DEALLOC_ACCUM_1"},
21961 {"bits": [12, 12], "name": "VS_WAVE_ID_EN"},
21962 {"bits": [13, 13], "name": "PRIMGEN_EN"},
21963 {"bits": [14, 14], "name": "ORDERED_ID_MODE"},
21964 {"bits": [15, 18], "name": "MAX_PRIMGRP_IN_WAVE"},
21965 {"bits": [19, 20], "name": "GS_FAST_LAUNCH"},
21966 {"bits": [21, 21], "name": "HS_W32_EN"},
21967 {"bits": [22, 22], "name": "GS_W32_EN"},
21968 {"bits": [23, 23], "name": "VS_W32_EN"},
21969 {"bits": [24, 24], "name": "NGG_WAVE_ID_EN"},
21970 {"bits": [25, 25], "name": "PRIMGEN_PASSTHRU_EN"}
21971 ]
21972 },
21973 "VGT_STRMOUT_BUFFER_CONFIG": {
21974 "fields": [
21975 {"bits": [0, 3], "name": "STREAM_0_BUFFER_EN"},
21976 {"bits": [4, 7], "name": "STREAM_1_BUFFER_EN"},
21977 {"bits": [8, 11], "name": "STREAM_2_BUFFER_EN"},
21978 {"bits": [12, 15], "name": "STREAM_3_BUFFER_EN"}
21979 ]
21980 },
21981 "VGT_STRMOUT_CONFIG": {
21982 "fields": [
21983 {"bits": [0, 0], "name": "STREAMOUT_0_EN"},
21984 {"bits": [1, 1], "name": "STREAMOUT_1_EN"},
21985 {"bits": [2, 2], "name": "STREAMOUT_2_EN"},
21986 {"bits": [3, 3], "name": "STREAMOUT_3_EN"},
21987 {"bits": [4, 6], "name": "RAST_STREAM"},
21988 {"bits": [7, 7], "name": "EN_PRIMS_NEEDED_CNT"},
21989 {"bits": [8, 11], "name": "RAST_STREAM_MASK"},
21990 {"bits": [31, 31], "name": "USE_RAST_STREAM_MASK"}
21991 ]
21992 },
21993 "VGT_STRMOUT_DRAW_OPAQUE_VERTEX_STRIDE": {
21994 "fields": [
21995 {"bits": [0, 8], "name": "VERTEX_STRIDE"}
21996 ]
21997 },
21998 "VGT_STRMOUT_VTX_STRIDE_0": {
21999 "fields": [
22000 {"bits": [0, 9], "name": "STRIDE"}
22001 ]
22002 },
22003 "VGT_TESS_DISTRIBUTION": {
22004 "fields": [
22005 {"bits": [0, 7], "name": "ACCUM_ISOLINE"},
22006 {"bits": [8, 15], "name": "ACCUM_TRI"},
22007 {"bits": [16, 23], "name": "ACCUM_QUAD"},
22008 {"bits": [24, 28], "name": "DONUT_SPLIT"},
22009 {"bits": [29, 31], "name": "TRAP_SPLIT"}
22010 ]
22011 },
22012 "VGT_TF_MEMORY_BASE": {
22013 "fields": [
22014 {"bits": [0, 31], "name": "BASE"}
22015 ]
22016 },
22017 "VGT_TF_PARAM": {
22018 "fields": [
22019 {"bits": [0, 1], "enum_ref": "VGT_TESS_TYPE", "name": "TYPE"},
22020 {"bits": [2, 4], "enum_ref": "VGT_TESS_PARTITION", "name": "PARTITIONING"},
22021 {"bits": [5, 7], "enum_ref": "VGT_TESS_TOPOLOGY", "name": "TOPOLOGY"},
22022 {"bits": [8, 8], "name": "RESERVED_REDUC_AXIS"},
22023 {"bits": [9, 9], "name": "DEPRECATED"},
22024 {"bits": [10, 13], "name": "NUM_DS_WAVES_PER_SIMD"},
22025 {"bits": [14, 14], "name": "DISABLE_DONUTS"},
22026 {"bits": [15, 16], "enum_ref": "VGT_RDREQ_POLICY", "name": "RDREQ_POLICY"},
22027 {"bits": [17, 18], "enum_ref": "VGT_DIST_MODE", "name": "DISTRIBUTION_MODE"},
22028 {"bits": [19, 19], "enum_ref": "VGT_DETECT_ONE", "name": "DETECT_ONE"},
22029 {"bits": [20, 20], "enum_ref": "VGT_DETECT_ZERO", "name": "DETECT_ZERO"},
22030 {"bits": [23, 25], "name": "MTYPE"}
22031 ]
22032 },
22033 "VGT_TF_RING_SIZE": {
22034 "fields": [
22035 {"bits": [0, 15], "name": "SIZE"}
22036 ]
22037 },
22038 "VGT_VERTEX_REUSE_BLOCK_CNTL": {
22039 "fields": [
22040 {"bits": [0, 7], "name": "VTX_REUSE_DEPTH"}
22041 ]
22042 },
22043 "VGT_VTX_CNT_EN": {
22044 "fields": [
22045 {"bits": [0, 0], "name": "VTX_CNT_EN"}
22046 ]
22047 }
22048 }
22049 }