ac: add tables for CP register shadowing
[mesa.git] / src / amd / registers / gfx10.json
1 {
2 "enums": {
3 "ReadPolicy": {
4 "entries": [
5 {"name": "CACHE_LRU_RD", "value": 0},
6 {"name": "CACHE_STREAM_RD", "value": 1},
7 {"name": "CACHE_NOA_RD", "value": 2},
8 {"name": "RESERVED_RDPOLICY", "value": 3}
9 ]
10 },
11 "WritePolicy": {
12 "entries": [
13 {"name": "CACHE_LRU_WR", "value": 0},
14 {"name": "CACHE_STREAM_WR", "value": 1},
15 {"name": "CACHE_NOA_WR", "value": 2},
16 {"name": "CACHE_BYPASS_WR", "value": 3}
17 ]
18 },
19 "BinMapMode": {
20 "entries": [
21 {"name": "BIN_MAP_MODE_NONE", "value": 0},
22 {"name": "BIN_MAP_MODE_RTA_INDEX", "value": 1},
23 {"name": "BIN_MAP_MODE_POPS", "value": 2}
24 ]
25 },
26 "BinSizeExtend": {
27 "entries": [
28 {"name": "BIN_SIZE_32_PIXELS", "value": 0},
29 {"name": "BIN_SIZE_64_PIXELS", "value": 1},
30 {"name": "BIN_SIZE_128_PIXELS", "value": 2},
31 {"name": "BIN_SIZE_256_PIXELS", "value": 3},
32 {"name": "BIN_SIZE_512_PIXELS", "value": 4}
33 ]
34 },
35 "BinningMode": {
36 "entries": [
37 {"name": "BINNING_ALLOWED", "value": 0},
38 {"name": "FORCE_BINNING_ON", "value": 1},
39 {"name": "DISABLE_BINNING_USE_NEW_SC", "value": 2},
40 {"name": "DISABLE_BINNING_USE_LEGACY_SC", "value": 3}
41 ]
42 },
43 "BlendOp": {
44 "entries": [
45 {"name": "BLEND_ZERO", "value": 0},
46 {"name": "BLEND_ONE", "value": 1},
47 {"name": "BLEND_SRC_COLOR", "value": 2},
48 {"name": "BLEND_ONE_MINUS_SRC_COLOR", "value": 3},
49 {"name": "BLEND_SRC_ALPHA", "value": 4},
50 {"name": "BLEND_ONE_MINUS_SRC_ALPHA", "value": 5},
51 {"name": "BLEND_DST_ALPHA", "value": 6},
52 {"name": "BLEND_ONE_MINUS_DST_ALPHA", "value": 7},
53 {"name": "BLEND_DST_COLOR", "value": 8},
54 {"name": "BLEND_ONE_MINUS_DST_COLOR", "value": 9},
55 {"name": "BLEND_SRC_ALPHA_SATURATE", "value": 10},
56 {"name": "BLEND_BOTH_SRC_ALPHA", "value": 11},
57 {"name": "BLEND_BOTH_INV_SRC_ALPHA", "value": 12},
58 {"name": "BLEND_CONSTANT_COLOR", "value": 13},
59 {"name": "BLEND_ONE_MINUS_CONSTANT_COLOR", "value": 14},
60 {"name": "BLEND_SRC1_COLOR", "value": 15},
61 {"name": "BLEND_INV_SRC1_COLOR", "value": 16},
62 {"name": "BLEND_SRC1_ALPHA", "value": 17},
63 {"name": "BLEND_INV_SRC1_ALPHA", "value": 18},
64 {"name": "BLEND_CONSTANT_ALPHA", "value": 19},
65 {"name": "BLEND_ONE_MINUS_CONSTANT_ALPHA", "value": 20}
66 ]
67 },
68 "BlendOpt": {
69 "entries": [
70 {"name": "FORCE_OPT_AUTO", "value": 0},
71 {"name": "FORCE_OPT_DISABLE", "value": 1},
72 {"name": "FORCE_OPT_ENABLE_IF_SRC_A_0", "value": 2},
73 {"name": "FORCE_OPT_ENABLE_IF_SRC_RGB_0", "value": 3},
74 {"name": "FORCE_OPT_ENABLE_IF_SRC_ARGB_0", "value": 4},
75 {"name": "FORCE_OPT_ENABLE_IF_SRC_A_1", "value": 5},
76 {"name": "FORCE_OPT_ENABLE_IF_SRC_RGB_1", "value": 6},
77 {"name": "FORCE_OPT_ENABLE_IF_SRC_ARGB_1", "value": 7}
78 ]
79 },
80 "CBMode": {
81 "entries": [
82 {"name": "CB_DISABLE", "value": 0},
83 {"name": "CB_NORMAL", "value": 1},
84 {"name": "CB_ELIMINATE_FAST_CLEAR", "value": 2},
85 {"name": "CB_RESOLVE", "value": 3},
86 {"name": "CB_DECOMPRESS", "value": 4},
87 {"name": "CB_FMASK_DECOMPRESS", "value": 5},
88 {"name": "CB_DCC_DECOMPRESS", "value": 6},
89 {"name": "CB_RESERVED", "value": 7}
90 ]
91 },
92 "CB_COLOR0_INFO__FORMAT": {
93 "entries": [
94 {"name": "COLOR_INVALID", "value": 0},
95 {"name": "COLOR_8", "value": 1},
96 {"name": "COLOR_16", "value": 2},
97 {"name": "COLOR_8_8", "value": 3},
98 {"name": "COLOR_32", "value": 4},
99 {"name": "COLOR_16_16", "value": 5},
100 {"name": "COLOR_10_11_11", "value": 6},
101 {"name": "COLOR_11_11_10", "value": 7},
102 {"name": "COLOR_10_10_10_2", "value": 8},
103 {"name": "COLOR_2_10_10_10", "value": 9},
104 {"name": "COLOR_8_8_8_8", "value": 10},
105 {"name": "COLOR_32_32", "value": 11},
106 {"name": "COLOR_16_16_16_16", "value": 12},
107 {"name": "COLOR_32_32_32_32", "value": 14},
108 {"name": "COLOR_5_6_5", "value": 16},
109 {"name": "COLOR_1_5_5_5", "value": 17},
110 {"name": "COLOR_5_5_5_1", "value": 18},
111 {"name": "COLOR_4_4_4_4", "value": 19},
112 {"name": "COLOR_8_24", "value": 20},
113 {"name": "COLOR_24_8", "value": 21},
114 {"name": "COLOR_X24_8_32_FLOAT", "value": 22},
115 {"name": "COLOR_5_9_9_9", "value": 24}
116 ]
117 },
118 "CBPerfClearFilterSel": {
119 "entries": [
120 {"name": "CB_PERF_CLEAR_FILTER_SEL_NONCLEAR", "value": 0},
121 {"name": "CB_PERF_CLEAR_FILTER_SEL_CLEAR", "value": 1}
122 ]
123 },
124 "CBPerfOpFilterSel": {
125 "entries": [
126 {"name": "CB_PERF_OP_FILTER_SEL_WRITE_ONLY", "value": 0},
127 {"name": "CB_PERF_OP_FILTER_SEL_NEEDS_DESTINATION", "value": 1},
128 {"name": "CB_PERF_OP_FILTER_SEL_RESOLVE", "value": 2},
129 {"name": "CB_PERF_OP_FILTER_SEL_DECOMPRESS", "value": 3},
130 {"name": "CB_PERF_OP_FILTER_SEL_FMASK_DECOMPRESS", "value": 4},
131 {"name": "CB_PERF_OP_FILTER_SEL_ELIMINATE_FAST_CLEAR", "value": 5}
132 ]
133 },
134 "CBPerfSel": {
135 "entries": [
136 {"name": "CB_PERF_SEL_NONE", "value": 0},
137 {"name": "CB_PERF_SEL_BUSY", "value": 1},
138 {"name": "CB_PERF_SEL_CORE_SCLK_VLD", "value": 2},
139 {"name": "CB_PERF_SEL_REG_SCLK0_VLD", "value": 3},
140 {"name": "CB_PERF_SEL_REG_SCLK1_VLD", "value": 4},
141 {"name": "CB_PERF_SEL_DRAWN_QUAD", "value": 5},
142 {"name": "CB_PERF_SEL_DRAWN_PIXEL", "value": 6},
143 {"name": "CB_PERF_SEL_DRAWN_QUAD_FRAGMENT", "value": 7},
144 {"name": "CB_PERF_SEL_DRAWN_TILE", "value": 8},
145 {"name": "CB_PERF_SEL_DB_CB_TILE_VALID_READY", "value": 9},
146 {"name": "CB_PERF_SEL_DB_CB_TILE_VALID_READYB", "value": 10},
147 {"name": "CB_PERF_SEL_DB_CB_TILE_VALIDB_READY", "value": 11},
148 {"name": "CB_PERF_SEL_DB_CB_TILE_VALIDB_READYB", "value": 12},
149 {"name": "CB_PERF_SEL_CM_FC_TILE_VALID_READY", "value": 13},
150 {"name": "CB_PERF_SEL_CM_FC_TILE_VALID_READYB", "value": 14},
151 {"name": "CB_PERF_SEL_CM_FC_TILE_VALIDB_READY", "value": 15},
152 {"name": "CB_PERF_SEL_CM_FC_TILE_VALIDB_READYB", "value": 16},
153 {"name": "CB_PERF_SEL_MERGE_TILE_ONLY_VALID_READY", "value": 17},
154 {"name": "CB_PERF_SEL_MERGE_TILE_ONLY_VALID_READYB", "value": 18},
155 {"name": "CB_PERF_SEL_DB_CB_LQUAD_VALID_READY", "value": 19},
156 {"name": "CB_PERF_SEL_DB_CB_LQUAD_VALID_READYB", "value": 20},
157 {"name": "CB_PERF_SEL_DB_CB_LQUAD_VALIDB_READY", "value": 21},
158 {"name": "CB_PERF_SEL_DB_CB_LQUAD_VALIDB_READYB", "value": 22},
159 {"name": "CB_PERF_SEL_LQUAD_NO_TILE", "value": 23},
160 {"name": "CB_PERF_SEL_LQUAD_FORMAT_IS_EXPORT_32_R", "value": 24},
161 {"name": "CB_PERF_SEL_LQUAD_FORMAT_IS_EXPORT_32_AR", "value": 25},
162 {"name": "CB_PERF_SEL_LQUAD_FORMAT_IS_EXPORT_32_GR", "value": 26},
163 {"name": "CB_PERF_SEL_LQUAD_FORMAT_IS_EXPORT_32_ABGR", "value": 27},
164 {"name": "CB_PERF_SEL_LQUAD_FORMAT_IS_EXPORT_FP16_ABGR", "value": 28},
165 {"name": "CB_PERF_SEL_LQUAD_FORMAT_IS_EXPORT_SIGNED16_ABGR", "value": 29},
166 {"name": "CB_PERF_SEL_LQUAD_FORMAT_IS_EXPORT_UNSIGNED16_ABGR", "value": 30},
167 {"name": "CB_PERF_SEL_QUAD_KILLED_BY_EXTRA_PIXEL_EXPORT", "value": 31},
168 {"name": "CB_PERF_SEL_QUAD_KILLED_BY_COLOR_INVALID", "value": 32},
169 {"name": "CB_PERF_SEL_QUAD_KILLED_BY_NULL_TARGET_SHADER_MASK", "value": 33},
170 {"name": "CB_PERF_SEL_QUAD_KILLED_BY_NULL_SAMPLE_MASK", "value": 34},
171 {"name": "CB_PERF_SEL_QUAD_KILLED_BY_DISCARD_PIXEL", "value": 35},
172 {"name": "CB_PERF_SEL_FC_CLEAR_QUAD_VALID_READY", "value": 36},
173 {"name": "CB_PERF_SEL_FC_CLEAR_QUAD_VALID_READYB", "value": 37},
174 {"name": "CB_PERF_SEL_FC_CLEAR_QUAD_VALIDB_READY", "value": 38},
175 {"name": "CB_PERF_SEL_FC_CLEAR_QUAD_VALIDB_READYB", "value": 39},
176 {"name": "CB_PERF_SEL_FOP_IN_VALID_READY", "value": 40},
177 {"name": "CB_PERF_SEL_FOP_IN_VALID_READYB", "value": 41},
178 {"name": "CB_PERF_SEL_FOP_IN_VALIDB_READY", "value": 42},
179 {"name": "CB_PERF_SEL_FOP_IN_VALIDB_READYB", "value": 43},
180 {"name": "CB_PERF_SEL_FC_CC_QUADFRAG_VALID_READY", "value": 44},
181 {"name": "CB_PERF_SEL_FC_CC_QUADFRAG_VALID_READYB", "value": 45},
182 {"name": "CB_PERF_SEL_FC_CC_QUADFRAG_VALIDB_READY", "value": 46},
183 {"name": "CB_PERF_SEL_FC_CC_QUADFRAG_VALIDB_READYB", "value": 47},
184 {"name": "CB_PERF_SEL_CC_IB_SR_FRAG_VALID_READY", "value": 48},
185 {"name": "CB_PERF_SEL_CC_IB_SR_FRAG_VALID_READYB", "value": 49},
186 {"name": "CB_PERF_SEL_CC_IB_SR_FRAG_VALIDB_READY", "value": 50},
187 {"name": "CB_PERF_SEL_CC_IB_SR_FRAG_VALIDB_READYB", "value": 51},
188 {"name": "CB_PERF_SEL_CC_IB_TB_FRAG_VALID_READY", "value": 52},
189 {"name": "CB_PERF_SEL_CC_IB_TB_FRAG_VALID_READYB", "value": 53},
190 {"name": "CB_PERF_SEL_CC_IB_TB_FRAG_VALIDB_READY", "value": 54},
191 {"name": "CB_PERF_SEL_CC_IB_TB_FRAG_VALIDB_READYB", "value": 55},
192 {"name": "CB_PERF_SEL_CC_RB_BC_EVENFRAG_VALID_READY", "value": 56},
193 {"name": "CB_PERF_SEL_CC_RB_BC_EVENFRAG_VALID_READYB", "value": 57},
194 {"name": "CB_PERF_SEL_CC_RB_BC_EVENFRAG_VALIDB_READY", "value": 58},
195 {"name": "CB_PERF_SEL_CC_RB_BC_EVENFRAG_VALIDB_READYB", "value": 59},
196 {"name": "CB_PERF_SEL_CC_RB_BC_ODDFRAG_VALID_READY", "value": 60},
197 {"name": "CB_PERF_SEL_CC_RB_BC_ODDFRAG_VALID_READYB", "value": 61},
198 {"name": "CB_PERF_SEL_CC_RB_BC_ODDFRAG_VALIDB_READY", "value": 62},
199 {"name": "CB_PERF_SEL_CC_RB_BC_ODDFRAG_VALIDB_READYB", "value": 63},
200 {"name": "CB_PERF_SEL_CC_BC_CS_FRAG_VALID", "value": 64},
201 {"name": "CB_PERF_SEL_CM_CACHE_HIT", "value": 65},
202 {"name": "CB_PERF_SEL_CM_CACHE_TAG_MISS", "value": 66},
203 {"name": "CB_PERF_SEL_CM_CACHE_SECTOR_MISS", "value": 67},
204 {"name": "CB_PERF_SEL_CM_CACHE_REEVICTION_STALL", "value": 68},
205 {"name": "CB_PERF_SEL_CM_CACHE_EVICT_NONZERO_INFLIGHT_STALL", "value": 69},
206 {"name": "CB_PERF_SEL_CM_CACHE_REPLACE_PENDING_EVICT_STALL", "value": 70},
207 {"name": "CB_PERF_SEL_CM_CACHE_INFLIGHT_COUNTER_MAXIMUM_STALL", "value": 71},
208 {"name": "CB_PERF_SEL_CM_CACHE_READ_OUTPUT_STALL", "value": 72},
209 {"name": "CB_PERF_SEL_CM_CACHE_WRITE_OUTPUT_STALL", "value": 73},
210 {"name": "CB_PERF_SEL_CM_CACHE_ACK_OUTPUT_STALL", "value": 74},
211 {"name": "CB_PERF_SEL_CM_CACHE_STALL", "value": 75},
212 {"name": "CB_PERF_SEL_CM_CACHE_FLUSH", "value": 76},
213 {"name": "CB_PERF_SEL_CM_CACHE_TAGS_FLUSHED", "value": 77},
214 {"name": "CB_PERF_SEL_CM_CACHE_SECTORS_FLUSHED", "value": 78},
215 {"name": "CB_PERF_SEL_CM_CACHE_DIRTY_SECTORS_FLUSHED", "value": 79},
216 {"name": "CB_PERF_SEL_FC_CACHE_HIT", "value": 80},
217 {"name": "CB_PERF_SEL_FC_CACHE_TAG_MISS", "value": 81},
218 {"name": "CB_PERF_SEL_FC_CACHE_SECTOR_MISS", "value": 82},
219 {"name": "CB_PERF_SEL_FC_CACHE_REEVICTION_STALL", "value": 83},
220 {"name": "CB_PERF_SEL_FC_CACHE_EVICT_NONZERO_INFLIGHT_STALL", "value": 84},
221 {"name": "CB_PERF_SEL_FC_CACHE_REPLACE_PENDING_EVICT_STALL", "value": 85},
222 {"name": "CB_PERF_SEL_FC_CACHE_INFLIGHT_COUNTER_MAXIMUM_STALL", "value": 86},
223 {"name": "CB_PERF_SEL_FC_CACHE_READ_OUTPUT_STALL", "value": 87},
224 {"name": "CB_PERF_SEL_FC_CACHE_WRITE_OUTPUT_STALL", "value": 88},
225 {"name": "CB_PERF_SEL_FC_CACHE_ACK_OUTPUT_STALL", "value": 89},
226 {"name": "CB_PERF_SEL_FC_CACHE_STALL", "value": 90},
227 {"name": "CB_PERF_SEL_FC_CACHE_FLUSH", "value": 91},
228 {"name": "CB_PERF_SEL_FC_CACHE_TAGS_FLUSHED", "value": 92},
229 {"name": "CB_PERF_SEL_FC_CACHE_SECTORS_FLUSHED", "value": 93},
230 {"name": "CB_PERF_SEL_FC_CACHE_DIRTY_SECTORS_FLUSHED", "value": 94},
231 {"name": "CB_PERF_SEL_CC_CACHE_HIT", "value": 95},
232 {"name": "CB_PERF_SEL_CC_CACHE_TAG_MISS", "value": 96},
233 {"name": "CB_PERF_SEL_CC_CACHE_SECTOR_MISS", "value": 97},
234 {"name": "CB_PERF_SEL_CC_CACHE_REEVICTION_STALL", "value": 98},
235 {"name": "CB_PERF_SEL_CC_CACHE_EVICT_NONZERO_INFLIGHT_STALL", "value": 99},
236 {"name": "CB_PERF_SEL_CC_CACHE_REPLACE_PENDING_EVICT_STALL", "value": 100},
237 {"name": "CB_PERF_SEL_CC_CACHE_INFLIGHT_COUNTER_MAXIMUM_STALL", "value": 101},
238 {"name": "CB_PERF_SEL_CC_CACHE_READ_OUTPUT_STALL", "value": 102},
239 {"name": "CB_PERF_SEL_CC_CACHE_WRITE_OUTPUT_STALL", "value": 103},
240 {"name": "CB_PERF_SEL_CC_CACHE_ACK_OUTPUT_STALL", "value": 104},
241 {"name": "CB_PERF_SEL_CC_CACHE_STALL", "value": 105},
242 {"name": "CB_PERF_SEL_CC_CACHE_FLUSH", "value": 106},
243 {"name": "CB_PERF_SEL_CC_CACHE_TAGS_FLUSHED", "value": 107},
244 {"name": "CB_PERF_SEL_CC_CACHE_SECTORS_FLUSHED", "value": 108},
245 {"name": "CB_PERF_SEL_CC_CACHE_DIRTY_SECTORS_FLUSHED", "value": 109},
246 {"name": "CB_PERF_SEL_CC_CACHE_WA_TO_RMW_CONVERSION", "value": 110},
247 {"name": "CB_PERF_SEL_CB_TAP_WRREQ_VALID_READY", "value": 111},
248 {"name": "CB_PERF_SEL_CB_TAP_WRREQ_VALID_READYB", "value": 112},
249 {"name": "CB_PERF_SEL_CB_TAP_WRREQ_VALIDB_READY", "value": 113},
250 {"name": "CB_PERF_SEL_CB_TAP_WRREQ_VALIDB_READYB", "value": 114},
251 {"name": "CB_PERF_SEL_CM_MC_WRITE_REQUEST", "value": 115},
252 {"name": "CB_PERF_SEL_FC_MC_WRITE_REQUEST", "value": 116},
253 {"name": "CB_PERF_SEL_CC_MC_WRITE_REQUEST", "value": 117},
254 {"name": "CB_PERF_SEL_CM_MC_WRITE_REQUESTS_IN_FLIGHT", "value": 118},
255 {"name": "CB_PERF_SEL_FC_MC_WRITE_REQUESTS_IN_FLIGHT", "value": 119},
256 {"name": "CB_PERF_SEL_CC_MC_WRITE_REQUESTS_IN_FLIGHT", "value": 120},
257 {"name": "CB_PERF_SEL_CB_TAP_RDREQ_VALID_READY", "value": 121},
258 {"name": "CB_PERF_SEL_CB_TAP_RDREQ_VALID_READYB", "value": 122},
259 {"name": "CB_PERF_SEL_CB_TAP_RDREQ_VALIDB_READY", "value": 123},
260 {"name": "CB_PERF_SEL_CB_TAP_RDREQ_VALIDB_READYB", "value": 124},
261 {"name": "CB_PERF_SEL_CM_MC_READ_REQUEST", "value": 125},
262 {"name": "CB_PERF_SEL_FC_MC_READ_REQUEST", "value": 126},
263 {"name": "CB_PERF_SEL_CC_MC_READ_REQUEST", "value": 127},
264 {"name": "CB_PERF_SEL_CM_MC_READ_REQUESTS_IN_FLIGHT", "value": 128},
265 {"name": "CB_PERF_SEL_FC_MC_READ_REQUESTS_IN_FLIGHT", "value": 129},
266 {"name": "CB_PERF_SEL_CC_MC_READ_REQUESTS_IN_FLIGHT", "value": 130},
267 {"name": "CB_PERF_SEL_CM_TQ_FULL", "value": 131},
268 {"name": "CB_PERF_SEL_CM_TQ_FIFO_TILE_RESIDENCY_STALL", "value": 132},
269 {"name": "CB_PERF_SEL_CM_TQ_FIFO_STUTTER_STALL", "value": 133},
270 {"name": "CB_PERF_SEL_FC_QUAD_RDLAT_FIFO_FULL", "value": 134},
271 {"name": "CB_PERF_SEL_FC_TILE_RDLAT_FIFO_FULL", "value": 135},
272 {"name": "CB_PERF_SEL_FC_RDLAT_FIFO_QUAD_RESIDENCY_STALL", "value": 136},
273 {"name": "CB_PERF_SEL_FC_TILE_STUTTER_STALL", "value": 137},
274 {"name": "CB_PERF_SEL_FC_QUAD_STUTTER_STALL", "value": 138},
275 {"name": "CB_PERF_SEL_FC_KEYID_STUTTER_STALL", "value": 139},
276 {"name": "CB_PERF_SEL_FOP_FMASK_RAW_STALL", "value": 140},
277 {"name": "CB_PERF_SEL_FOP_FMASK_BYPASS_STALL", "value": 141},
278 {"name": "CB_PERF_SEL_CC_SF_FULL", "value": 142},
279 {"name": "CB_PERF_SEL_CC_RB_FULL", "value": 143},
280 {"name": "CB_PERF_SEL_CC_EVENFIFO_QUAD_RESIDENCY_STALL", "value": 144},
281 {"name": "CB_PERF_SEL_CC_ODDFIFO_QUAD_RESIDENCY_STALL", "value": 145},
282 {"name": "CB_PERF_SEL_CC_EVENFIFO_STUTTER_STALL", "value": 146},
283 {"name": "CB_PERF_SEL_CC_ODDFIFO_STUTTER_STALL", "value": 147},
284 {"name": "CB_PERF_SEL_BLENDER_RAW_HAZARD_STALL", "value": 148},
285 {"name": "CB_PERF_SEL_EVENT", "value": 149},
286 {"name": "CB_PERF_SEL_EVENT_CACHE_FLUSH_TS", "value": 150},
287 {"name": "CB_PERF_SEL_EVENT_CONTEXT_DONE", "value": 151},
288 {"name": "CB_PERF_SEL_EVENT_CACHE_FLUSH", "value": 152},
289 {"name": "CB_PERF_SEL_EVENT_CACHE_FLUSH_AND_INV_TS_EVENT", "value": 153},
290 {"name": "CB_PERF_SEL_EVENT_CACHE_FLUSH_AND_INV_EVENT", "value": 154},
291 {"name": "CB_PERF_SEL_EVENT_FLUSH_AND_INV_CB_DATA_TS", "value": 155},
292 {"name": "CB_PERF_SEL_EVENT_FLUSH_AND_INV_CB_META", "value": 156},
293 {"name": "CB_PERF_SEL_CC_SURFACE_SYNC", "value": 157},
294 {"name": "CB_PERF_SEL_CMASK_READ_DATA_0xC", "value": 158},
295 {"name": "CB_PERF_SEL_CMASK_READ_DATA_0xD", "value": 159},
296 {"name": "CB_PERF_SEL_CMASK_READ_DATA_0xE", "value": 160},
297 {"name": "CB_PERF_SEL_CMASK_READ_DATA_0xF", "value": 161},
298 {"name": "CB_PERF_SEL_CMASK_WRITE_DATA_0xC", "value": 162},
299 {"name": "CB_PERF_SEL_CMASK_WRITE_DATA_0xD", "value": 163},
300 {"name": "CB_PERF_SEL_CMASK_WRITE_DATA_0xE", "value": 164},
301 {"name": "CB_PERF_SEL_CMASK_WRITE_DATA_0xF", "value": 165},
302 {"name": "CB_PERF_SEL_TWO_PROBE_QUAD_FRAGMENT", "value": 166},
303 {"name": "CB_PERF_SEL_EXPORT_32_ABGR_QUAD_FRAGMENT", "value": 167},
304 {"name": "CB_PERF_SEL_DUAL_SOURCE_COLOR_QUAD_FRAGMENT", "value": 168},
305 {"name": "CB_PERF_SEL_QUAD_HAS_1_FRAGMENT_BEFORE_UPDATE", "value": 169},
306 {"name": "CB_PERF_SEL_QUAD_HAS_2_FRAGMENTS_BEFORE_UPDATE", "value": 170},
307 {"name": "CB_PERF_SEL_QUAD_HAS_3_FRAGMENTS_BEFORE_UPDATE", "value": 171},
308 {"name": "CB_PERF_SEL_QUAD_HAS_4_FRAGMENTS_BEFORE_UPDATE", "value": 172},
309 {"name": "CB_PERF_SEL_QUAD_HAS_5_FRAGMENTS_BEFORE_UPDATE", "value": 173},
310 {"name": "CB_PERF_SEL_QUAD_HAS_6_FRAGMENTS_BEFORE_UPDATE", "value": 174},
311 {"name": "CB_PERF_SEL_QUAD_HAS_7_FRAGMENTS_BEFORE_UPDATE", "value": 175},
312 {"name": "CB_PERF_SEL_QUAD_HAS_8_FRAGMENTS_BEFORE_UPDATE", "value": 176},
313 {"name": "CB_PERF_SEL_QUAD_HAS_1_FRAGMENT_AFTER_UPDATE", "value": 177},
314 {"name": "CB_PERF_SEL_QUAD_HAS_2_FRAGMENTS_AFTER_UPDATE", "value": 178},
315 {"name": "CB_PERF_SEL_QUAD_HAS_3_FRAGMENTS_AFTER_UPDATE", "value": 179},
316 {"name": "CB_PERF_SEL_QUAD_HAS_4_FRAGMENTS_AFTER_UPDATE", "value": 180},
317 {"name": "CB_PERF_SEL_QUAD_HAS_5_FRAGMENTS_AFTER_UPDATE", "value": 181},
318 {"name": "CB_PERF_SEL_QUAD_HAS_6_FRAGMENTS_AFTER_UPDATE", "value": 182},
319 {"name": "CB_PERF_SEL_QUAD_HAS_7_FRAGMENTS_AFTER_UPDATE", "value": 183},
320 {"name": "CB_PERF_SEL_QUAD_HAS_8_FRAGMENTS_AFTER_UPDATE", "value": 184},
321 {"name": "CB_PERF_SEL_QUAD_ADDED_1_FRAGMENT", "value": 185},
322 {"name": "CB_PERF_SEL_QUAD_ADDED_2_FRAGMENTS", "value": 186},
323 {"name": "CB_PERF_SEL_QUAD_ADDED_3_FRAGMENTS", "value": 187},
324 {"name": "CB_PERF_SEL_QUAD_ADDED_4_FRAGMENTS", "value": 188},
325 {"name": "CB_PERF_SEL_QUAD_ADDED_5_FRAGMENTS", "value": 189},
326 {"name": "CB_PERF_SEL_QUAD_ADDED_6_FRAGMENTS", "value": 190},
327 {"name": "CB_PERF_SEL_QUAD_ADDED_7_FRAGMENTS", "value": 191},
328 {"name": "CB_PERF_SEL_QUAD_REMOVED_1_FRAGMENT", "value": 192},
329 {"name": "CB_PERF_SEL_QUAD_REMOVED_2_FRAGMENTS", "value": 193},
330 {"name": "CB_PERF_SEL_QUAD_REMOVED_3_FRAGMENTS", "value": 194},
331 {"name": "CB_PERF_SEL_QUAD_REMOVED_4_FRAGMENTS", "value": 195},
332 {"name": "CB_PERF_SEL_QUAD_REMOVED_5_FRAGMENTS", "value": 196},
333 {"name": "CB_PERF_SEL_QUAD_REMOVED_6_FRAGMENTS", "value": 197},
334 {"name": "CB_PERF_SEL_QUAD_REMOVED_7_FRAGMENTS", "value": 198},
335 {"name": "CB_PERF_SEL_QUAD_READS_FRAGMENT_0", "value": 199},
336 {"name": "CB_PERF_SEL_QUAD_READS_FRAGMENT_1", "value": 200},
337 {"name": "CB_PERF_SEL_QUAD_READS_FRAGMENT_2", "value": 201},
338 {"name": "CB_PERF_SEL_QUAD_READS_FRAGMENT_3", "value": 202},
339 {"name": "CB_PERF_SEL_QUAD_READS_FRAGMENT_4", "value": 203},
340 {"name": "CB_PERF_SEL_QUAD_READS_FRAGMENT_5", "value": 204},
341 {"name": "CB_PERF_SEL_QUAD_READS_FRAGMENT_6", "value": 205},
342 {"name": "CB_PERF_SEL_QUAD_READS_FRAGMENT_7", "value": 206},
343 {"name": "CB_PERF_SEL_QUAD_WRITES_FRAGMENT_0", "value": 207},
344 {"name": "CB_PERF_SEL_QUAD_WRITES_FRAGMENT_1", "value": 208},
345 {"name": "CB_PERF_SEL_QUAD_WRITES_FRAGMENT_2", "value": 209},
346 {"name": "CB_PERF_SEL_QUAD_WRITES_FRAGMENT_3", "value": 210},
347 {"name": "CB_PERF_SEL_QUAD_WRITES_FRAGMENT_4", "value": 211},
348 {"name": "CB_PERF_SEL_QUAD_WRITES_FRAGMENT_5", "value": 212},
349 {"name": "CB_PERF_SEL_QUAD_WRITES_FRAGMENT_6", "value": 213},
350 {"name": "CB_PERF_SEL_QUAD_WRITES_FRAGMENT_7", "value": 214},
351 {"name": "CB_PERF_SEL_QUAD_BLEND_OPT_DONT_READ_DST", "value": 215},
352 {"name": "CB_PERF_SEL_QUAD_BLEND_OPT_BLEND_BYPASS", "value": 216},
353 {"name": "CB_PERF_SEL_QUAD_BLEND_OPT_DISCARD_PIXELS", "value": 217},
354 {"name": "CB_PERF_SEL_QUAD_DST_READ_COULD_HAVE_BEEN_OPTIMIZED", "value": 218},
355 {"name": "CB_PERF_SEL_QUAD_BLENDING_COULD_HAVE_BEEN_BYPASSED", "value": 219},
356 {"name": "CB_PERF_SEL_QUAD_COULD_HAVE_BEEN_DISCARDED", "value": 220},
357 {"name": "CB_PERF_SEL_BLEND_OPT_PIXELS_RESULT_EQ_DEST", "value": 221},
358 {"name": "CB_PERF_SEL_DRAWN_BUSY", "value": 222},
359 {"name": "CB_PERF_SEL_TILE_TO_CMR_REGION_BUSY", "value": 223},
360 {"name": "CB_PERF_SEL_CMR_TO_FCR_REGION_BUSY", "value": 224},
361 {"name": "CB_PERF_SEL_FCR_TO_CCR_REGION_BUSY", "value": 225},
362 {"name": "CB_PERF_SEL_CCR_TO_CCW_REGION_BUSY", "value": 226},
363 {"name": "CB_PERF_SEL_FC_PF_SLOW_MODE_QUAD_EMPTY_HALF_DROPPED", "value": 227},
364 {"name": "CB_PERF_SEL_FC_SEQUENCER_CLEAR", "value": 228},
365 {"name": "CB_PERF_SEL_FC_SEQUENCER_ELIMINATE_FAST_CLEAR", "value": 229},
366 {"name": "CB_PERF_SEL_FC_SEQUENCER_FMASK_DECOMPRESS", "value": 230},
367 {"name": "CB_PERF_SEL_FC_SEQUENCER_FMASK_COMPRESSION_DISABLE", "value": 231},
368 {"name": "CB_PERF_SEL_CC_CACHE_READS_SAVED_DUE_TO_DCC", "value": 232},
369 {"name": "CB_PERF_SEL_FC_KEYID_RDLAT_FIFO_FULL", "value": 233},
370 {"name": "CB_PERF_SEL_FC_DOC_IS_STALLED", "value": 234},
371 {"name": "CB_PERF_SEL_FC_DOC_MRTS_NOT_COMBINED", "value": 235},
372 {"name": "CB_PERF_SEL_FC_DOC_MRTS_COMBINED", "value": 236},
373 {"name": "CB_PERF_SEL_FC_DOC_QTILE_CAM_MISS", "value": 237},
374 {"name": "CB_PERF_SEL_FC_DOC_QTILE_CAM_HIT", "value": 238},
375 {"name": "CB_PERF_SEL_FC_DOC_CLINE_CAM_MISS", "value": 239},
376 {"name": "CB_PERF_SEL_FC_DOC_CLINE_CAM_HIT", "value": 240},
377 {"name": "CB_PERF_SEL_FC_DOC_QUAD_PTR_FIFO_IS_FULL", "value": 241},
378 {"name": "CB_PERF_SEL_FC_DOC_OVERWROTE_1_SECTOR", "value": 242},
379 {"name": "CB_PERF_SEL_FC_DOC_OVERWROTE_2_SECTORS", "value": 243},
380 {"name": "CB_PERF_SEL_FC_DOC_OVERWROTE_3_SECTORS", "value": 244},
381 {"name": "CB_PERF_SEL_FC_DOC_OVERWROTE_4_SECTORS", "value": 245},
382 {"name": "CB_PERF_SEL_FC_DOC_TOTAL_OVERWRITTEN_SECTORS", "value": 246},
383 {"name": "CB_PERF_SEL_FC_DCC_CACHE_HIT", "value": 247},
384 {"name": "CB_PERF_SEL_FC_DCC_CACHE_TAG_MISS", "value": 248},
385 {"name": "CB_PERF_SEL_FC_DCC_CACHE_SECTOR_MISS", "value": 249},
386 {"name": "CB_PERF_SEL_FC_DCC_CACHE_REEVICTION_STALL", "value": 250},
387 {"name": "CB_PERF_SEL_FC_DCC_CACHE_EVICT_NONZERO_INFLIGHT_STALL", "value": 251},
388 {"name": "CB_PERF_SEL_FC_DCC_CACHE_REPLACE_PENDING_EVICT_STALL", "value": 252},
389 {"name": "CB_PERF_SEL_FC_DCC_CACHE_INFLIGHT_COUNTER_MAXIMUM_STALL", "value": 253},
390 {"name": "CB_PERF_SEL_FC_DCC_CACHE_READ_OUTPUT_STALL", "value": 254},
391 {"name": "CB_PERF_SEL_FC_DCC_CACHE_WRITE_OUTPUT_STALL", "value": 255},
392 {"name": "CB_PERF_SEL_FC_DCC_CACHE_ACK_OUTPUT_STALL", "value": 256},
393 {"name": "CB_PERF_SEL_FC_DCC_CACHE_STALL", "value": 257},
394 {"name": "CB_PERF_SEL_FC_DCC_CACHE_FLUSH", "value": 258},
395 {"name": "CB_PERF_SEL_FC_DCC_CACHE_TAGS_FLUSHED", "value": 259},
396 {"name": "CB_PERF_SEL_FC_DCC_CACHE_SECTORS_FLUSHED", "value": 260},
397 {"name": "CB_PERF_SEL_FC_DCC_CACHE_DIRTY_SECTORS_FLUSHED", "value": 261},
398 {"name": "CB_PERF_SEL_CC_DCC_BEYOND_TILE_SPLIT", "value": 262},
399 {"name": "CB_PERF_SEL_FC_MC_DCC_WRITE_REQUEST", "value": 263},
400 {"name": "CB_PERF_SEL_FC_MC_DCC_WRITE_REQUESTS_IN_FLIGHT", "value": 264},
401 {"name": "CB_PERF_SEL_FC_MC_DCC_READ_REQUEST", "value": 265},
402 {"name": "CB_PERF_SEL_FC_MC_DCC_READ_REQUESTS_IN_FLIGHT", "value": 266},
403 {"name": "CB_PERF_SEL_CC_DCC_RDREQ_STALL", "value": 267},
404 {"name": "CB_PERF_SEL_CC_DCC_DECOMPRESS_TIDS_IN", "value": 268},
405 {"name": "CB_PERF_SEL_CC_DCC_DECOMPRESS_TIDS_OUT", "value": 269},
406 {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_TIDS_IN", "value": 270},
407 {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_TIDS_OUT", "value": 271},
408 {"name": "CB_PERF_SEL_FC_DCC_KEY_VALUE__CLEAR", "value": 272},
409 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__4_BLOCKS__2TO1", "value": 273},
410 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__3BLOCKS_2TO1__1BLOCK_2TO2", "value": 274},
411 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_2TO1__1BLOCK_2TO2__1BLOCK_2TO1", "value": 275},
412 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_2TO2__2BLOCKS_2TO1", "value": 276},
413 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__3BLOCKS_2TO1", "value": 277},
414 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_2TO1__2BLOCKS_2TO2", "value": 278},
415 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__2BLOCKS_2TO2__1BLOCK_2TO1", "value": 279},
416 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_2TO2__1BLOCK_2TO1__1BLOCK_2TO2", "value": 280},
417 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_2TO1__1BLOCK_2TO2__1BLOCK_2TO1", "value": 281},
418 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_2TO2__2BLOCKS_2TO1", "value": 282},
419 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__2BLOCKS_2TO1__1BLOCK_2TO2", "value": 283},
420 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__3BLOCKS_2TO2", "value": 284},
421 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_2TO1__2BLOCKS_2TO2", "value": 285},
422 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_2TO2__1BLOCK_2TO1__1BLOCK_2TO2", "value": 286},
423 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__3BLOCKS_2TO2__1BLOCK_2TO1", "value": 287},
424 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_4TO1", "value": 288},
425 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO1__1BLOCK_4TO2", "value": 289},
426 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO1__1BLOCK_4TO3", "value": 290},
427 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO1__1BLOCK_4TO4", "value": 291},
428 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO2__1BLOCK_4TO1", "value": 292},
429 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_4TO2", "value": 293},
430 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO2__1BLOCK_4TO3", "value": 294},
431 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO2__1BLOCK_4TO4", "value": 295},
432 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO3__1BLOCK_4TO1", "value": 296},
433 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO3__1BLOCK_4TO2", "value": 297},
434 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_4TO3", "value": 298},
435 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO3__1BLOCK_4TO4", "value": 299},
436 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO4__1BLOCK_4TO1", "value": 300},
437 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO4__1BLOCK_4TO2", "value": 301},
438 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO4__1BLOCK_4TO3", "value": 302},
439 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_2TO1__1BLOCK_4TO1", "value": 303},
440 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_2TO1__1BLOCK_4TO2", "value": 304},
441 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_2TO1__1BLOCK_4TO3", "value": 305},
442 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_2TO1__1BLOCK_4TO4", "value": 306},
443 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_2TO2__1BLOCK_4TO1", "value": 307},
444 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_2TO2__1BLOCK_4TO2", "value": 308},
445 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_2TO2__1BLOCK_4TO3", "value": 309},
446 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_2TO2__1BLOCK_4TO4", "value": 310},
447 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_2TO1__1BLOCK_4TO1", "value": 311},
448 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_2TO1__1BLOCK_4TO2", "value": 312},
449 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_2TO1__1BLOCK_4TO3", "value": 313},
450 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_2TO1__1BLOCK_4TO4", "value": 314},
451 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_2TO2__1BLOCK_4TO1", "value": 315},
452 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_2TO2__1BLOCK_4TO2", "value": 316},
453 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_2TO2__1BLOCK_4TO3", "value": 317},
454 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_4TO1__1BLOCK_2TO1", "value": 318},
455 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_4TO2__1BLOCK_2TO1", "value": 319},
456 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_4TO3__1BLOCK_2TO1", "value": 320},
457 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_4TO4__1BLOCK_2TO1", "value": 321},
458 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_4TO1__1BLOCK_2TO1", "value": 322},
459 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_4TO2__1BLOCK_2TO1", "value": 323},
460 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_4TO3__1BLOCK_2TO1", "value": 324},
461 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_4TO4__1BLOCK_2TO1", "value": 325},
462 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_4TO1__1BLOCK_2TO2", "value": 326},
463 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_4TO2__1BLOCK_2TO2", "value": 327},
464 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_4TO3__1BLOCK_2TO2", "value": 328},
465 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_4TO4__1BLOCK_2TO2", "value": 329},
466 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_4TO1__1BLOCK_2TO2", "value": 330},
467 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_4TO2__1BLOCK_2TO2", "value": 331},
468 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_4TO3__1BLOCK_2TO2", "value": 332},
469 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO1__2BLOCKS_2TO1", "value": 333},
470 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO2__2BLOCKS_2TO1", "value": 334},
471 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO3__2BLOCKS_2TO1", "value": 335},
472 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO4__2BLOCKS_2TO1", "value": 336},
473 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO1__2BLOCKS_2TO2", "value": 337},
474 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO2__2BLOCKS_2TO2", "value": 338},
475 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO3__2BLOCKS_2TO2", "value": 339},
476 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO1__1BLOCK_2TO1__1BLOCK_2TO2", "value": 340},
477 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO2__1BLOCK_2TO1__1BLOCK_2TO2", "value": 341},
478 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO3__1BLOCK_2TO1__1BLOCK_2TO2", "value": 342},
479 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO4__1BLOCK_2TO1__1BLOCK_2TO2", "value": 343},
480 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO1__1BLOCK_2TO2__1BLOCK_2TO1", "value": 344},
481 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO2__1BLOCK_2TO2__1BLOCK_2TO1", "value": 345},
482 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO3__1BLOCK_2TO2__1BLOCK_2TO1", "value": 346},
483 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO4__1BLOCK_2TO2__1BLOCK_2TO1", "value": 347},
484 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_6TO1", "value": 348},
485 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_6TO2", "value": 349},
486 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_6TO3", "value": 350},
487 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_6TO4", "value": 351},
488 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_6TO5", "value": 352},
489 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_6TO6", "value": 353},
490 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__INV0", "value": 354},
491 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__INV1", "value": 355},
492 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_6TO1", "value": 356},
493 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_6TO2", "value": 357},
494 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_6TO3", "value": 358},
495 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_6TO4", "value": 359},
496 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_6TO5", "value": 360},
497 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__INV0", "value": 361},
498 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__INV1", "value": 362},
499 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_6TO1__1BLOCK_2TO1", "value": 363},
500 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_6TO2__1BLOCK_2TO1", "value": 364},
501 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_6TO3__1BLOCK_2TO1", "value": 365},
502 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_6TO4__1BLOCK_2TO1", "value": 366},
503 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_6TO5__1BLOCK_2TO1", "value": 367},
504 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_6TO6__1BLOCK_2TO1", "value": 368},
505 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__INV0__1BLOCK_2TO1", "value": 369},
506 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__INV1__1BLOCK_2TO1", "value": 370},
507 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_6TO1__1BLOCK_2TO2", "value": 371},
508 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_6TO2__1BLOCK_2TO2", "value": 372},
509 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_6TO3__1BLOCK_2TO2", "value": 373},
510 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_6TO4__1BLOCK_2TO2", "value": 374},
511 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_6TO5__1BLOCK_2TO2", "value": 375},
512 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__INV0__1BLOCK_2TO2", "value": 376},
513 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__INV1__1BLOCK_2TO2", "value": 377},
514 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_8TO1", "value": 378},
515 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_8TO2", "value": 379},
516 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_8TO3", "value": 380},
517 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_8TO4", "value": 381},
518 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_8TO5", "value": 382},
519 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_8TO6", "value": 383},
520 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_8TO7", "value": 384},
521 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__UNCOMPRESSED", "value": 385},
522 {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_2TO1", "value": 386},
523 {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_4TO1", "value": 387},
524 {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_4TO2", "value": 388},
525 {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_4TO3", "value": 389},
526 {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_6TO1", "value": 390},
527 {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_6TO2", "value": 391},
528 {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_6TO3", "value": 392},
529 {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_6TO4", "value": 393},
530 {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_6TO5", "value": 394},
531 {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_8TO1", "value": 395},
532 {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_8TO2", "value": 396},
533 {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_8TO3", "value": 397},
534 {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_8TO4", "value": 398},
535 {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_8TO5", "value": 399},
536 {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_8TO6", "value": 400},
537 {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_8TO7", "value": 401},
538 {"name": "CB_PERF_SEL_RBP_EXPORT_8PIX_LIT_BOTH", "value": 402},
539 {"name": "CB_PERF_SEL_RBP_EXPORT_8PIX_LIT_LEFT", "value": 403},
540 {"name": "CB_PERF_SEL_RBP_EXPORT_8PIX_LIT_RIGHT", "value": 404},
541 {"name": "CB_PERF_SEL_RBP_SPLIT_MICROTILE", "value": 405},
542 {"name": "CB_PERF_SEL_RBP_SPLIT_AA_SAMPLE_MASK", "value": 406},
543 {"name": "CB_PERF_SEL_RBP_SPLIT_PARTIAL_TARGET_MASK", "value": 407},
544 {"name": "CB_PERF_SEL_RBP_SPLIT_LINEAR_ADDRESSING", "value": 408},
545 {"name": "CB_PERF_SEL_RBP_SPLIT_AA_NO_FMASK_COMPRESS", "value": 409},
546 {"name": "CB_PERF_SEL_RBP_INSERT_MISSING_LAST_QUAD", "value": 410},
547 {"name": "CB_PERF_SEL_NACK_CM_READ", "value": 411},
548 {"name": "CB_PERF_SEL_NACK_CM_WRITE", "value": 412},
549 {"name": "CB_PERF_SEL_NACK_FC_READ", "value": 413},
550 {"name": "CB_PERF_SEL_NACK_FC_WRITE", "value": 414},
551 {"name": "CB_PERF_SEL_NACK_DC_READ", "value": 415},
552 {"name": "CB_PERF_SEL_NACK_DC_WRITE", "value": 416},
553 {"name": "CB_PERF_SEL_NACK_CC_READ", "value": 417},
554 {"name": "CB_PERF_SEL_NACK_CC_WRITE", "value": 418},
555 {"name": "CB_PERF_SEL_CM_MC_EARLY_WRITE_RETURN", "value": 419},
556 {"name": "CB_PERF_SEL_FC_MC_EARLY_WRITE_RETURN", "value": 420},
557 {"name": "CB_PERF_SEL_DC_MC_EARLY_WRITE_RETURN", "value": 421},
558 {"name": "CB_PERF_SEL_CC_MC_EARLY_WRITE_RETURN", "value": 422},
559 {"name": "CB_PERF_SEL_CM_MC_EARLY_WRITE_REQUESTS_IN_FLIGHT", "value": 423},
560 {"name": "CB_PERF_SEL_FC_MC_EARLY_WRITE_REQUESTS_IN_FLIGHT", "value": 424},
561 {"name": "CB_PERF_SEL_DC_MC_EARLY_WRITE_REQUESTS_IN_FLIGHT", "value": 425},
562 {"name": "CB_PERF_SEL_CC_MC_EARLY_WRITE_REQUESTS_IN_FLIGHT", "value": 426},
563 {"name": "CB_PERF_SEL_CM_MC_WRITE_ACK64B", "value": 427},
564 {"name": "CB_PERF_SEL_FC_MC_WRITE_ACK64B", "value": 428},
565 {"name": "CB_PERF_SEL_DC_MC_WRITE_ACK64B", "value": 429},
566 {"name": "CB_PERF_SEL_CC_MC_WRITE_ACK64B", "value": 430},
567 {"name": "CB_PERF_SEL_EVENT_BOTTOM_OF_PIPE_TS", "value": 431},
568 {"name": "CB_PERF_SEL_EVENT_FLUSH_AND_INV_DB_DATA_TS", "value": 432},
569 {"name": "CB_PERF_SEL_EVENT_FLUSH_AND_INV_CB_PIXEL_DATA", "value": 433},
570 {"name": "CB_PERF_SEL_DB_CB_TILE_TILENOTEVENT", "value": 434},
571 {"name": "CB_PERF_SEL_LQUAD_FORMAT_IS_EXPORT_32BPP_8PIX", "value": 435},
572 {"name": "CB_PERF_SEL_LQUAD_FORMAT_IS_EXPORT_16_16_UNSIGNED_8PIX", "value": 436},
573 {"name": "CB_PERF_SEL_LQUAD_FORMAT_IS_EXPORT_16_16_SIGNED_8PIX", "value": 437},
574 {"name": "CB_PERF_SEL_LQUAD_FORMAT_IS_EXPORT_16_16_FLOAT_8PIX", "value": 438},
575 {"name": "CB_PERF_SEL_MERGE_PIXELS_WITH_BLEND_ENABLED", "value": 439},
576 {"name": "CB_PERF_SEL_DB_CB_CONTEXT_DONE", "value": 440},
577 {"name": "CB_PERF_SEL_DB_CB_EOP_DONE", "value": 441},
578 {"name": "CB_PERF_SEL_CC_MC_WRITE_REQUEST_PARTIAL", "value": 442},
579 {"name": "CB_PERF_SEL_CC_BB_BLEND_PIXEL_VLD", "value": 443},
580 {"name": "CB_PERF_SEL_CC_CACHE_256BS_SAVED_DUE_TO_QSB", "value": 444},
581 {"name": "CB_PERF_SEL_FC_CACHE_FMASK_NO_FETCH", "value": 445},
582 {"name": "CB_PERF_SEL_CC_CACHE_SECTOR_HIT", "value": 446},
583 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__CONST_CLEAR_REG", "value": 447},
584 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__CONST_CLEAR_SINGLE", "value": 448},
585 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__CONST_CLEAR_AC00", "value": 449},
586 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__CONST_CLEAR_AC01", "value": 450},
587 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__CONST_CLEAR_AC10", "value": 451},
588 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__CONST_CLEAR_AC11", "value": 452},
589 {"name": "CB_PERF_SEL_TQ_STUTTER_STALL", "value": 453}
590 ]
591 },
592 "CHA_PERF_SEL": {
593 "entries": [
594 {"name": "CHA_PERF_SEL_BUSY", "value": 0},
595 {"name": "CHA_PERF_SEL_STALL_CHC0", "value": 1},
596 {"name": "CHA_PERF_SEL_STALL_CHC1", "value": 2},
597 {"name": "CHA_PERF_SEL_STALL_CHC2", "value": 3},
598 {"name": "CHA_PERF_SEL_STALL_CHC3", "value": 4},
599 {"name": "CHA_PERF_SEL_STALL_CHC4", "value": 5},
600 {"name": "CHA_PERF_SEL_STALL_CHC5", "value": 6},
601 {"name": "CHA_PERF_SEL_REQUEST_CHC0", "value": 7},
602 {"name": "CHA_PERF_SEL_REQUEST_CHC1", "value": 8},
603 {"name": "CHA_PERF_SEL_REQUEST_CHC2", "value": 9},
604 {"name": "CHA_PERF_SEL_REQUEST_CHC3", "value": 10},
605 {"name": "CHA_PERF_SEL_REQUEST_CHC4", "value": 11},
606 {"name": "CHA_PERF_SEL_MEM_32B_WDS_CHC0", "value": 12},
607 {"name": "CHA_PERF_SEL_MEM_32B_WDS_CHC1", "value": 13},
608 {"name": "CHA_PERF_SEL_MEM_32B_WDS_CHC2", "value": 14},
609 {"name": "CHA_PERF_SEL_MEM_32B_WDS_CHC3", "value": 15},
610 {"name": "CHA_PERF_SEL_MEM_32B_WDS_CHC4", "value": 16},
611 {"name": "CHA_PERF_SEL_IO_32B_WDS_CHC0", "value": 17},
612 {"name": "CHA_PERF_SEL_IO_32B_WDS_CHC1", "value": 18},
613 {"name": "CHA_PERF_SEL_IO_32B_WDS_CHC2", "value": 19},
614 {"name": "CHA_PERF_SEL_IO_32B_WDS_CHC3", "value": 20},
615 {"name": "CHA_PERF_SEL_IO_32B_WDS_CHC4", "value": 21},
616 {"name": "CHA_PERF_SEL_MEM_BURST_COUNT_CHC0", "value": 22},
617 {"name": "CHA_PERF_SEL_MEM_BURST_COUNT_CHC1", "value": 23},
618 {"name": "CHA_PERF_SEL_MEM_BURST_COUNT_CHC2", "value": 24},
619 {"name": "CHA_PERF_SEL_MEM_BURST_COUNT_CHC3", "value": 25},
620 {"name": "CHA_PERF_SEL_MEM_BURST_COUNT_CHC4", "value": 26},
621 {"name": "CHA_PERF_SEL_IO_BURST_COUNT_CHC0", "value": 27},
622 {"name": "CHA_PERF_SEL_IO_BURST_COUNT_CHC1", "value": 28},
623 {"name": "CHA_PERF_SEL_IO_BURST_COUNT_CHC2", "value": 29},
624 {"name": "CHA_PERF_SEL_IO_BURST_COUNT_CHC3", "value": 30},
625 {"name": "CHA_PERF_SEL_IO_BURST_COUNT_CHC4", "value": 31},
626 {"name": "CHA_PERF_SEL_ARB_REQUESTS", "value": 32},
627 {"name": "CHA_PERF_SEL_REQ_ARB_LEVEL_CHC0", "value": 33},
628 {"name": "CHA_PERF_SEL_REQ_ARB_LEVEL_CHC1", "value": 34},
629 {"name": "CHA_PERF_SEL_REQ_ARB_LEVEL_CHC2", "value": 35},
630 {"name": "CHA_PERF_SEL_REQ_ARB_LEVEL_CHC3", "value": 36},
631 {"name": "CHA_PERF_SEL_REQ_ARB_LEVEL_CHC4", "value": 37},
632 {"name": "CHA_PERF_SEL_REQ_INFLIGHT_LEVEL", "value": 38},
633 {"name": "CHA_PERF_SEL_STALL_RET_CONFLICT_CHC0", "value": 39},
634 {"name": "CHA_PERF_SEL_STALL_RET_CONFLICT_CHC1", "value": 40},
635 {"name": "CHA_PERF_SEL_STALL_RET_CONFLICT_CHC2", "value": 41},
636 {"name": "CHA_PERF_SEL_STALL_RET_CONFLICT_CHC3", "value": 42},
637 {"name": "CHA_PERF_SEL_STALL_RET_CONFLICT_CHC4", "value": 43},
638 {"name": "CHA_PERF_SEL_CYCLE", "value": 44}
639 ]
640 },
641 "CHCG_PERF_SEL": {
642 "entries": [
643 {"name": "CHCG_PERF_SEL_CYCLE", "value": 0},
644 {"name": "CHCG_PERF_SEL_BUSY", "value": 1},
645 {"name": "CHCG_PERF_SEL_ARB_RET_LEVEL", "value": 2},
646 {"name": "CHCG_PERF_SEL_GL2_REQ_READ_LATENCY", "value": 3},
647 {"name": "CHCG_PERF_SEL_GL2_REQ_WRITE_LATENCY", "value": 4},
648 {"name": "CHCG_PERF_SEL_REQ", "value": 5},
649 {"name": "CHCG_PERF_SEL_REQ_ATOMIC_WITH_RET", "value": 6},
650 {"name": "CHCG_PERF_SEL_REQ_ATOMIC_WITHOUT_RET", "value": 7},
651 {"name": "CHCG_PERF_SEL_REQ_NOP_ACK", "value": 8},
652 {"name": "CHCG_PERF_SEL_REQ_NOP_RTN0", "value": 9},
653 {"name": "CHCG_PERF_SEL_REQ_READ", "value": 10},
654 {"name": "CHCG_PERF_SEL_REQ_READ_128B", "value": 11},
655 {"name": "CHCG_PERF_SEL_REQ_READ_32B", "value": 12},
656 {"name": "CHCG_PERF_SEL_REQ_READ_64B", "value": 13},
657 {"name": "CHCG_PERF_SEL_REQ_WRITE", "value": 14},
658 {"name": "CHCG_PERF_SEL_REQ_WRITE_32B", "value": 15},
659 {"name": "CHCG_PERF_SEL_REQ_WRITE_64B", "value": 16},
660 {"name": "CHCG_PERF_SEL_STALL_GUS_GL1", "value": 17},
661 {"name": "CHCG_PERF_SEL_STALL_BUFFER_FULL", "value": 18},
662 {"name": "CHCG_PERF_SEL_REQ_CLIENT0", "value": 19},
663 {"name": "CHCG_PERF_SEL_REQ_CLIENT1", "value": 20},
664 {"name": "CHCG_PERF_SEL_REQ_CLIENT2", "value": 21},
665 {"name": "CHCG_PERF_SEL_REQ_CLIENT3", "value": 22},
666 {"name": "CHCG_PERF_SEL_REQ_CLIENT4", "value": 23},
667 {"name": "CHCG_PERF_SEL_REQ_CLIENT5", "value": 24},
668 {"name": "CHCG_PERF_SEL_REQ_CLIENT6", "value": 25},
669 {"name": "CHCG_PERF_SEL_REQ_CLIENT7", "value": 26},
670 {"name": "CHCG_PERF_SEL_REQ_CLIENT8", "value": 27},
671 {"name": "CHCG_PERF_SEL_REQ_CLIENT9", "value": 28},
672 {"name": "CHCG_PERF_SEL_REQ_CLIENT10", "value": 29},
673 {"name": "CHCG_PERF_SEL_REQ_CLIENT11", "value": 30},
674 {"name": "CHCG_PERF_SEL_REQ_CLIENT12", "value": 31},
675 {"name": "CHCG_PERF_SEL_REQ_CLIENT13", "value": 32},
676 {"name": "CHCG_PERF_SEL_REQ_CLIENT14", "value": 33}
677 ]
678 },
679 "CHC_PERF_SEL": {
680 "entries": [
681 {"name": "CHC_PERF_SEL_CYCLE", "value": 0},
682 {"name": "CHC_PERF_SEL_BUSY", "value": 1},
683 {"name": "CHC_PERF_SEL_ARB_RET_LEVEL", "value": 2},
684 {"name": "CHC_PERF_SEL_GL2_REQ_READ_LATENCY", "value": 3},
685 {"name": "CHC_PERF_SEL_GL2_REQ_WRITE_LATENCY", "value": 4},
686 {"name": "CHC_PERF_SEL_REQ", "value": 5},
687 {"name": "CHC_PERF_SEL_REQ_ATOMIC_WITH_RET", "value": 6},
688 {"name": "CHC_PERF_SEL_REQ_ATOMIC_WITHOUT_RET", "value": 7},
689 {"name": "CHC_PERF_SEL_REQ_NOP_ACK", "value": 8},
690 {"name": "CHC_PERF_SEL_REQ_NOP_RTN0", "value": 9},
691 {"name": "CHC_PERF_SEL_REQ_READ", "value": 10},
692 {"name": "CHC_PERF_SEL_REQ_READ_128B", "value": 11},
693 {"name": "CHC_PERF_SEL_REQ_READ_32B", "value": 12},
694 {"name": "CHC_PERF_SEL_REQ_READ_64B", "value": 13},
695 {"name": "CHC_PERF_SEL_REQ_WRITE", "value": 14},
696 {"name": "CHC_PERF_SEL_REQ_WRITE_32B", "value": 15},
697 {"name": "CHC_PERF_SEL_REQ_WRITE_64B", "value": 16},
698 {"name": "CHC_PERF_SEL_STALL_GL2_GL1", "value": 17},
699 {"name": "CHC_PERF_SEL_STALL_BUFFER_FULL", "value": 18},
700 {"name": "CHC_PERF_SEL_REQ_CLIENT0", "value": 19},
701 {"name": "CHC_PERF_SEL_REQ_CLIENT1", "value": 20},
702 {"name": "CHC_PERF_SEL_REQ_CLIENT2", "value": 21},
703 {"name": "CHC_PERF_SEL_REQ_CLIENT3", "value": 22},
704 {"name": "CHC_PERF_SEL_REQ_CLIENT4", "value": 23},
705 {"name": "CHC_PERF_SEL_REQ_CLIENT5", "value": 24},
706 {"name": "CHC_PERF_SEL_REQ_CLIENT6", "value": 25},
707 {"name": "CHC_PERF_SEL_REQ_CLIENT7", "value": 26},
708 {"name": "CHC_PERF_SEL_REQ_CLIENT8", "value": 27},
709 {"name": "CHC_PERF_SEL_REQ_CLIENT9", "value": 28},
710 {"name": "CHC_PERF_SEL_REQ_CLIENT10", "value": 29},
711 {"name": "CHC_PERF_SEL_REQ_CLIENT11", "value": 30},
712 {"name": "CHC_PERF_SEL_REQ_CLIENT12", "value": 31},
713 {"name": "CHC_PERF_SEL_REQ_CLIENT13", "value": 32},
714 {"name": "CHC_PERF_SEL_REQ_CLIENT14", "value": 33}
715 ]
716 },
717 "CPC_LATENCY_STATS_SEL": {
718 "entries": [
719 {"name": "CPC_LATENCY_STATS_SEL_XACK_MAX", "value": 0},
720 {"name": "CPC_LATENCY_STATS_SEL_XACK_MIN", "value": 1},
721 {"name": "CPC_LATENCY_STATS_SEL_XACK_LAST", "value": 2},
722 {"name": "CPC_LATENCY_STATS_SEL_XNACK_MAX", "value": 3},
723 {"name": "CPC_LATENCY_STATS_SEL_XNACK_MIN", "value": 4},
724 {"name": "CPC_LATENCY_STATS_SEL_XNACK_LAST", "value": 5},
725 {"name": "CPC_LATENCY_STATS_SEL_INVAL_MAX", "value": 6},
726 {"name": "CPC_LATENCY_STATS_SEL_INVAL_MIN", "value": 7},
727 {"name": "CPC_LATENCY_STATS_SEL_INVAL_LAST", "value": 8}
728 ]
729 },
730 "CPC_PERFCOUNT_SEL": {
731 "entries": [
732 {"name": "CPC_PERF_SEL_ALWAYS_COUNT", "value": 0},
733 {"name": "CPC_PERF_SEL_RCIU_STALL_WAIT_ON_FREE", "value": 1},
734 {"name": "CPC_PERF_SEL_RCIU_STALL_PRIV_VIOLATION", "value": 2},
735 {"name": "CPC_PERF_SEL_MIU_STALL_ON_RDREQ_FREE", "value": 3},
736 {"name": "CPC_PERF_SEL_MIU_STALL_ON_WRREQ_FREE", "value": 4},
737 {"name": "CPC_PERF_SEL_TCIU_STALL_WAIT_ON_FREE", "value": 5},
738 {"name": "CPC_PERF_SEL_ME1_STALL_WAIT_ON_RCIU_READY", "value": 6},
739 {"name": "CPC_PERF_SEL_ME1_STALL_WAIT_ON_RCIU_READY_PERF", "value": 7},
740 {"name": "CPC_PERF_SEL_ME1_STALL_WAIT_ON_RCIU_READ", "value": 8},
741 {"name": "CPC_PERF_SEL_ME1_STALL_WAIT_ON_GUS_READ", "value": 9},
742 {"name": "CPC_PERF_SEL_ME1_STALL_WAIT_ON_GUS_WRITE", "value": 10},
743 {"name": "CPC_PERF_SEL_ME1_STALL_ON_DATA_FROM_ROQ", "value": 11},
744 {"name": "CPC_PERF_SEL_ME1_STALL_ON_DATA_FROM_ROQ_PERF", "value": 12},
745 {"name": "CPC_PERF_SEL_ME1_BUSY_FOR_PACKET_DECODE", "value": 13},
746 {"name": "CPC_PERF_SEL_ME2_STALL_WAIT_ON_RCIU_READY", "value": 14},
747 {"name": "CPC_PERF_SEL_ME2_STALL_WAIT_ON_RCIU_READY_PERF", "value": 15},
748 {"name": "CPC_PERF_SEL_ME2_STALL_WAIT_ON_RCIU_READ", "value": 16},
749 {"name": "CPC_PERF_SEL_ME2_STALL_WAIT_ON_GUS_READ", "value": 17},
750 {"name": "CPC_PERF_SEL_ME2_STALL_WAIT_ON_GUS_WRITE", "value": 18},
751 {"name": "CPC_PERF_SEL_ME2_STALL_ON_DATA_FROM_ROQ", "value": 19},
752 {"name": "CPC_PERF_SEL_ME2_STALL_ON_DATA_FROM_ROQ_PERF", "value": 20},
753 {"name": "CPC_PERF_SEL_ME2_BUSY_FOR_PACKET_DECODE", "value": 21},
754 {"name": "CPC_PERF_SEL_UTCL2IU_STALL_WAIT_ON_FREE", "value": 22},
755 {"name": "CPC_PERF_SEL_UTCL2IU_STALL_WAIT_ON_TAGS", "value": 23},
756 {"name": "CPC_PERF_SEL_UTCL1_STALL_ON_TRANSLATION", "value": 24},
757 {"name": "CPC_PERF_SEL_CPC_STAT_BUSY", "value": 25},
758 {"name": "CPC_PERF_SEL_CPC_STAT_IDLE", "value": 26},
759 {"name": "CPC_PERF_SEL_CPC_STAT_STALL", "value": 27},
760 {"name": "CPC_PERF_SEL_CPC_TCIU_BUSY", "value": 28},
761 {"name": "CPC_PERF_SEL_CPC_TCIU_IDLE", "value": 29},
762 {"name": "CPC_PERF_SEL_CPC_UTCL2IU_BUSY", "value": 30},
763 {"name": "CPC_PERF_SEL_CPC_UTCL2IU_IDLE", "value": 31},
764 {"name": "CPC_PERF_SEL_CPC_UTCL2IU_STALL", "value": 32},
765 {"name": "CPC_PERF_SEL_ME1_DC0_SPI_BUSY", "value": 33},
766 {"name": "CPC_PERF_SEL_ME2_DC1_SPI_BUSY", "value": 34},
767 {"name": "CPC_PERF_SEL_CPC_GCRIU_BUSY", "value": 35},
768 {"name": "CPC_PERF_SEL_CPC_GCRIU_IDLE", "value": 36},
769 {"name": "CPC_PERF_SEL_CPC_GCRIU_STALL", "value": 37},
770 {"name": "CPC_PERF_SEL_GCRIU_STALL_WAIT_ON_FREE", "value": 38},
771 {"name": "CPC_PERF_SEL_ME1_STALL_WAIT_ON_TCIU_READ", "value": 39},
772 {"name": "CPC_PERF_SEL_ME2_STALL_WAIT_ON_TCIU_READ", "value": 40},
773 {"name": "CPC_PERF_SEL_CPC_UTCL2IU_XACK", "value": 41},
774 {"name": "CPC_PERF_SEL_CPC_UTCL2IU_XNACK", "value": 42},
775 {"name": "CPC_PERF_SEL_MEC_INSTR_CACHE_HIT", "value": 43},
776 {"name": "CPC_PERF_SEL_MEC_INSTR_CACHE_MISS", "value": 44},
777 {"name": "CPC_PERF_SEL_MES_THREAD0", "value": 45},
778 {"name": "CPC_PERF_SEL_MES_THREAD1", "value": 46}
779 ]
780 },
781 "CPF_LATENCY_STATS_SEL": {
782 "entries": [
783 {"name": "CPF_LATENCY_STATS_SEL_XACK_MAX", "value": 0},
784 {"name": "CPF_LATENCY_STATS_SEL_XACK_MIN", "value": 1},
785 {"name": "CPF_LATENCY_STATS_SEL_XACK_LAST", "value": 2},
786 {"name": "CPF_LATENCY_STATS_SEL_XNACK_MAX", "value": 3},
787 {"name": "CPF_LATENCY_STATS_SEL_XNACK_MIN", "value": 4},
788 {"name": "CPF_LATENCY_STATS_SEL_XNACK_LAST", "value": 5},
789 {"name": "CPF_LATENCY_STATS_SEL_READ_MAX", "value": 6},
790 {"name": "CPF_LATENCY_STATS_SEL_READ_MIN", "value": 7},
791 {"name": "CPF_LATENCY_STATS_SEL_READ_LAST", "value": 8},
792 {"name": "CPF_LATENCY_STATS_SEL_INVAL_MAX", "value": 9},
793 {"name": "CPF_LATENCY_STATS_SEL_INVAL_MIN", "value": 10},
794 {"name": "CPF_LATENCY_STATS_SEL_INVAL_LAST", "value": 11}
795 ]
796 },
797 "CPF_PERFCOUNTWINDOW_SEL": {
798 "entries": [
799 {"name": "CPF_PERFWINDOW_SEL_CSF", "value": 0},
800 {"name": "CPF_PERFWINDOW_SEL_HQD1", "value": 1},
801 {"name": "CPF_PERFWINDOW_SEL_HQD2", "value": 2},
802 {"name": "CPF_PERFWINDOW_SEL_RDMA", "value": 3},
803 {"name": "CPF_PERFWINDOW_SEL_RWPP", "value": 4}
804 ]
805 },
806 "CPF_PERFCOUNT_SEL": {
807 "entries": [
808 {"name": "CPF_PERF_SEL_ALWAYS_COUNT", "value": 0},
809 {"name": "CPF_PERF_SEL_MIU_STALLED_WAITING_RDREQ_FREE", "value": 1},
810 {"name": "CPF_PERF_SEL_TCIU_STALLED_WAITING_ON_FREE", "value": 2},
811 {"name": "CPF_PERF_SEL_TCIU_STALLED_WAITING_ON_TAGS", "value": 3},
812 {"name": "CPF_PERF_SEL_CSF_BUSY_FOR_FETCHING_RING", "value": 4},
813 {"name": "CPF_PERF_SEL_CSF_BUSY_FOR_FETCHING_IB1", "value": 5},
814 {"name": "CPF_PERF_SEL_CSF_BUSY_FOR_FETCHING_IB2", "value": 6},
815 {"name": "CPF_PERF_SEL_CSF_BUSY_FOR_FECTHINC_STATE", "value": 7},
816 {"name": "CPF_PERF_SEL_MIU_BUSY_FOR_OUTSTANDING_TAGS", "value": 8},
817 {"name": "CPF_PERF_SEL_CSF_RTS_MIU_NOT_RTR", "value": 9},
818 {"name": "CPF_PERF_SEL_CSF_STATE_FIFO_NOT_RTR", "value": 10},
819 {"name": "CPF_PERF_SEL_CSF_FETCHING_CMD_BUFFERS", "value": 11},
820 {"name": "CPF_PERF_SEL_GRBM_DWORDS_SENT", "value": 12},
821 {"name": "CPF_PERF_SEL_DYNAMIC_CLOCK_VALID", "value": 13},
822 {"name": "CPF_PERF_SEL_REGISTER_CLOCK_VALID", "value": 14},
823 {"name": "CPF_PERF_SEL_GUS_WRITE_REQUEST_SENT", "value": 15},
824 {"name": "CPF_PERF_SEL_GUS_READ_REQUEST_SENT", "value": 16},
825 {"name": "CPF_PERF_SEL_UTCL2IU_STALL_WAIT_ON_FREE", "value": 17},
826 {"name": "CPF_PERF_SEL_UTCL2IU_STALL_WAIT_ON_TAGS", "value": 18},
827 {"name": "CPF_PERF_SEL_GFX_UTCL1_STALL_ON_TRANSLATION", "value": 19},
828 {"name": "CPF_PERF_SEL_CMP_UTCL1_STALL_ON_TRANSLATION", "value": 20},
829 {"name": "CPF_PERF_SEL_RCIU_STALL_WAIT_ON_FREE", "value": 21},
830 {"name": "CPF_PERF_SEL_TCIU_WRITE_REQUEST_SENT", "value": 22},
831 {"name": "CPF_PERF_SEL_TCIU_READ_REQUEST_SENT", "value": 23},
832 {"name": "CPF_PERF_SEL_CPF_STAT_BUSY", "value": 24},
833 {"name": "CPF_PERF_SEL_CPF_STAT_IDLE", "value": 25},
834 {"name": "CPF_PERF_SEL_CPF_STAT_STALL", "value": 26},
835 {"name": "CPF_PERF_SEL_CPF_TCIU_BUSY", "value": 27},
836 {"name": "CPF_PERF_SEL_CPF_TCIU_IDLE", "value": 28},
837 {"name": "CPF_PERF_SEL_CPF_TCIU_STALL", "value": 29},
838 {"name": "CPF_PERF_SEL_CPF_UTCL2IU_BUSY", "value": 30},
839 {"name": "CPF_PERF_SEL_CPF_UTCL2IU_IDLE", "value": 31},
840 {"name": "CPF_PERF_SEL_CPF_UTCL2IU_STALL", "value": 32},
841 {"name": "CPF_PERF_SEL_CPF_GCRIU_BUSY", "value": 33},
842 {"name": "CPF_PERF_SEL_CPF_GCRIU_IDLE", "value": 34},
843 {"name": "CPF_PERF_SEL_CPF_GCRIU_STALL", "value": 35},
844 {"name": "CPF_PERF_SEL_GCRIU_STALL_WAIT_ON_FREE", "value": 36},
845 {"name": "CPF_PERF_SEL_CSF_BUSY_FOR_FETCHING_DB", "value": 37},
846 {"name": "CPF_PERF_SEL_CPF_UTCL2IU_XACK", "value": 38},
847 {"name": "CPF_PERF_SEL_CPF_UTCL2IU_XNACK", "value": 39}
848 ]
849 },
850 "CPG_LATENCY_STATS_SEL": {
851 "entries": [
852 {"name": "CPG_LATENCY_STATS_SEL_XACK_MAX", "value": 0},
853 {"name": "CPG_LATENCY_STATS_SEL_XACK_MIN", "value": 1},
854 {"name": "CPG_LATENCY_STATS_SEL_XACK_LAST", "value": 2},
855 {"name": "CPG_LATENCY_STATS_SEL_XNACK_MAX", "value": 3},
856 {"name": "CPG_LATENCY_STATS_SEL_XNACK_MIN", "value": 4},
857 {"name": "CPG_LATENCY_STATS_SEL_XNACK_LAST", "value": 5},
858 {"name": "CPG_LATENCY_STATS_SEL_WRITE_MAX", "value": 6},
859 {"name": "CPG_LATENCY_STATS_SEL_WRITE_MIN", "value": 7},
860 {"name": "CPG_LATENCY_STATS_SEL_WRITE_LAST", "value": 8},
861 {"name": "CPG_LATENCY_STATS_SEL_READ_MAX", "value": 9},
862 {"name": "CPG_LATENCY_STATS_SEL_READ_MIN", "value": 10},
863 {"name": "CPG_LATENCY_STATS_SEL_READ_LAST", "value": 11},
864 {"name": "CPG_LATENCY_STATS_SEL_ATOMIC_MAX", "value": 12},
865 {"name": "CPG_LATENCY_STATS_SEL_ATOMIC_MIN", "value": 13},
866 {"name": "CPG_LATENCY_STATS_SEL_ATOMIC_LAST", "value": 14},
867 {"name": "CPG_LATENCY_STATS_SEL_INVAL_MAX", "value": 15},
868 {"name": "CPG_LATENCY_STATS_SEL_INVAL_MIN", "value": 16},
869 {"name": "CPG_LATENCY_STATS_SEL_INVAL_LAST", "value": 17}
870 ]
871 },
872 "CPG_PERFCOUNTWINDOW_SEL": {
873 "entries": [
874 {"name": "CPG_PERFWINDOW_SEL_PFP", "value": 0},
875 {"name": "CPG_PERFWINDOW_SEL_ME", "value": 1},
876 {"name": "CPG_PERFWINDOW_SEL_CE", "value": 2},
877 {"name": "CPG_PERFWINDOW_SEL_MES", "value": 3},
878 {"name": "CPG_PERFWINDOW_SEL_MEC1", "value": 4},
879 {"name": "CPG_PERFWINDOW_SEL_MEC2", "value": 5},
880 {"name": "CPG_PERFWINDOW_SEL_DFY", "value": 6},
881 {"name": "CPG_PERFWINDOW_SEL_DMA", "value": 7},
882 {"name": "CPG_PERFWINDOW_SEL_SHADOW", "value": 8},
883 {"name": "CPG_PERFWINDOW_SEL_RB", "value": 9},
884 {"name": "CPG_PERFWINDOW_SEL_CEDMA", "value": 10},
885 {"name": "CPG_PERFWINDOW_SEL_PRT_HDR_RPTR", "value": 11},
886 {"name": "CPG_PERFWINDOW_SEL_PRT_SMP_RPTR", "value": 12},
887 {"name": "CPG_PERFWINDOW_SEL_PQ1", "value": 13},
888 {"name": "CPG_PERFWINDOW_SEL_PQ2", "value": 14},
889 {"name": "CPG_PERFWINDOW_SEL_PQ3", "value": 15},
890 {"name": "CPG_PERFWINDOW_SEL_MEMWR", "value": 16},
891 {"name": "CPG_PERFWINDOW_SEL_MEMRD", "value": 17},
892 {"name": "CPG_PERFWINDOW_SEL_VGT0", "value": 18},
893 {"name": "CPG_PERFWINDOW_SEL_VGT1", "value": 19},
894 {"name": "CPG_PERFWINDOW_SEL_APPEND", "value": 20},
895 {"name": "CPG_PERFWINDOW_SEL_QURD", "value": 21},
896 {"name": "CPG_PERFWINDOW_SEL_DDID", "value": 22},
897 {"name": "CPG_PERFWINDOW_SEL_SR", "value": 23},
898 {"name": "CPG_PERFWINDOW_SEL_QU_EOP", "value": 24},
899 {"name": "CPG_PERFWINDOW_SEL_QU_STRM", "value": 25},
900 {"name": "CPG_PERFWINDOW_SEL_QU_PIPE", "value": 26},
901 {"name": "CPG_PERFWINDOW_SEL_RESERVED1", "value": 27},
902 {"name": "CPG_PERFWINDOW_SEL_CPC_IC", "value": 28},
903 {"name": "CPG_PERFWINDOW_SEL_RESERVED2", "value": 29},
904 {"name": "CPG_PERFWINDOW_SEL_CPG_IC", "value": 30}
905 ]
906 },
907 "CPG_PERFCOUNT_SEL": {
908 "entries": [
909 {"name": "CPG_PERF_SEL_ALWAYS_COUNT", "value": 0},
910 {"name": "CPG_PERF_SEL_RBIU_FIFO_FULL", "value": 1},
911 {"name": "CPG_PERF_SEL_CSF_RTS_BUT_MIU_NOT_RTR", "value": 2},
912 {"name": "CPG_PERF_SEL_CSF_ST_BASE_SIZE_FIFO_FULL", "value": 3},
913 {"name": "CPG_PERF_SEL_CP_GRBM_DWORDS_SENT", "value": 4},
914 {"name": "CPG_PERF_SEL_ME_PARSER_BUSY", "value": 5},
915 {"name": "CPG_PERF_SEL_COUNT_TYPE0_PACKETS", "value": 6},
916 {"name": "CPG_PERF_SEL_COUNT_TYPE3_PACKETS", "value": 7},
917 {"name": "CPG_PERF_SEL_CSF_FETCHING_CMD_BUFFERS", "value": 8},
918 {"name": "CPG_PERF_SEL_CP_GRBM_OUT_OF_CREDITS", "value": 9},
919 {"name": "CPG_PERF_SEL_CP_PFP_GRBM_OUT_OF_CREDITS", "value": 10},
920 {"name": "CPG_PERF_SEL_CP_GDS_GRBM_OUT_OF_CREDITS", "value": 11},
921 {"name": "CPG_PERF_SEL_RCIU_STALLED_ON_ME_READ", "value": 12},
922 {"name": "CPG_PERF_SEL_RCIU_STALLED_ON_DMA_READ", "value": 13},
923 {"name": "CPG_PERF_SEL_SSU_STALLED_ON_ACTIVE_CNTX", "value": 14},
924 {"name": "CPG_PERF_SEL_SSU_STALLED_ON_CLEAN_SIGNALS", "value": 15},
925 {"name": "CPG_PERF_SEL_QU_STALLED_ON_EOP_DONE_PULSE", "value": 16},
926 {"name": "CPG_PERF_SEL_QU_STALLED_ON_EOP_DONE_WR_CONFIRM", "value": 17},
927 {"name": "CPG_PERF_SEL_PFP_STALLED_ON_CSF_READY", "value": 18},
928 {"name": "CPG_PERF_SEL_PFP_STALLED_ON_MEQ_READY", "value": 19},
929 {"name": "CPG_PERF_SEL_PFP_STALLED_ON_RCIU_READY", "value": 20},
930 {"name": "CPG_PERF_SEL_PFP_STALLED_FOR_DATA_FROM_ROQ", "value": 21},
931 {"name": "CPG_PERF_SEL_ME_STALLED_FOR_DATA_FROM_PFP", "value": 22},
932 {"name": "CPG_PERF_SEL_ME_STALLED_FOR_DATA_FROM_STQ", "value": 23},
933 {"name": "CPG_PERF_SEL_ME_STALLED_ON_NO_AVAIL_GFX_CNTX", "value": 24},
934 {"name": "CPG_PERF_SEL_ME_STALLED_WRITING_TO_RCIU", "value": 25},
935 {"name": "CPG_PERF_SEL_ME_STALLED_WRITING_CONSTANTS", "value": 26},
936 {"name": "CPG_PERF_SEL_ME_STALLED_ON_PARTIAL_FLUSH", "value": 27},
937 {"name": "CPG_PERF_SEL_ME_WAIT_ON_CE_COUNTER", "value": 28},
938 {"name": "CPG_PERF_SEL_ME_WAIT_ON_AVAIL_BUFFER", "value": 29},
939 {"name": "CPG_PERF_SEL_SEMAPHORE_BUSY_POLLING_FOR_PASS", "value": 30},
940 {"name": "CPG_PERF_SEL_LOAD_STALLED_ON_SET_COHERENCY", "value": 31},
941 {"name": "CPG_PERF_SEL_DYNAMIC_CLK_VALID", "value": 32},
942 {"name": "CPG_PERF_SEL_REGISTER_CLK_VALID", "value": 33},
943 {"name": "CPG_PERF_SEL_GUS_WRITE_REQUEST_SENT", "value": 34},
944 {"name": "CPG_PERF_SEL_GUS_READ_REQUEST_SENT", "value": 35},
945 {"name": "CPG_PERF_SEL_CE_STALL_RAM_DUMP", "value": 36},
946 {"name": "CPG_PERF_SEL_CE_STALL_RAM_WRITE", "value": 37},
947 {"name": "CPG_PERF_SEL_CE_STALL_ON_INC_FIFO", "value": 38},
948 {"name": "CPG_PERF_SEL_CE_STALL_ON_WR_RAM_FIFO", "value": 39},
949 {"name": "CPG_PERF_SEL_CE_STALL_ON_DATA_FROM_MIU", "value": 40},
950 {"name": "CPG_PERF_SEL_CE_STALL_ON_DATA_FROM_ROQ", "value": 41},
951 {"name": "CPG_PERF_SEL_CE_STALL_ON_CE_BUFFER_FLAG", "value": 42},
952 {"name": "CPG_PERF_SEL_CE_STALL_ON_DE_COUNTER", "value": 43},
953 {"name": "CPG_PERF_SEL_TCIU_STALL_WAIT_ON_FREE", "value": 44},
954 {"name": "CPG_PERF_SEL_TCIU_STALL_WAIT_ON_TAGS", "value": 45},
955 {"name": "CPG_PERF_SEL_UTCL2IU_STALL_WAIT_ON_FREE", "value": 46},
956 {"name": "CPG_PERF_SEL_UTCL2IU_STALL_WAIT_ON_TAGS", "value": 47},
957 {"name": "CPG_PERF_SEL_UTCL1_STALL_ON_TRANSLATION", "value": 48},
958 {"name": "CPG_PERF_SEL_TCIU_WRITE_REQUEST_SENT", "value": 49},
959 {"name": "CPG_PERF_SEL_TCIU_READ_REQUEST_SENT", "value": 50},
960 {"name": "CPG_PERF_SEL_CPG_STAT_BUSY", "value": 51},
961 {"name": "CPG_PERF_SEL_CPG_STAT_IDLE", "value": 52},
962 {"name": "CPG_PERF_SEL_CPG_STAT_STALL", "value": 53},
963 {"name": "CPG_PERF_SEL_CPG_TCIU_BUSY", "value": 54},
964 {"name": "CPG_PERF_SEL_CPG_TCIU_IDLE", "value": 55},
965 {"name": "CPG_PERF_SEL_CPG_TCIU_STALL", "value": 56},
966 {"name": "CPG_PERF_SEL_CPG_UTCL2IU_BUSY", "value": 57},
967 {"name": "CPG_PERF_SEL_CPG_UTCL2IU_IDLE", "value": 58},
968 {"name": "CPG_PERF_SEL_CPG_UTCL2IU_STALL", "value": 59},
969 {"name": "CPG_PERF_SEL_CPG_GCRIU_BUSY", "value": 60},
970 {"name": "CPG_PERF_SEL_CPG_GCRIU_IDLE", "value": 61},
971 {"name": "CPG_PERF_SEL_CPG_GCRIU_STALL", "value": 62},
972 {"name": "CPG_PERF_SEL_GCRIU_STALL_WAIT_ON_FREE", "value": 63},
973 {"name": "CPG_PERF_SEL_ALL_GFX_PIPES_BUSY", "value": 64},
974 {"name": "CPG_PERF_SEL_CPG_UTCL2IU_XACK", "value": 65},
975 {"name": "CPG_PERF_SEL_CPG_UTCL2IU_XNACK", "value": 66},
976 {"name": "CPG_PERF_SEL_PFP_STALLED_ON_MEQ_DDID_READY", "value": 67},
977 {"name": "CPG_PERF_SEL_PFP_INSTR_CACHE_HIT", "value": 68},
978 {"name": "CPG_PERF_SEL_PFP_INSTR_CACHE_MISS", "value": 69},
979 {"name": "CPG_PERF_SEL_CE_INSTR_CACHE_HIT", "value": 70},
980 {"name": "CPG_PERF_SEL_CE_INSTR_CACHE_MISS", "value": 71},
981 {"name": "CPG_PERF_SEL_ME_INSTR_CACHE_HIT", "value": 72},
982 {"name": "CPG_PERF_SEL_ME_INSTR_CACHE_MISS", "value": 73},
983 {"name": "CPG_PERF_SEL_PFP_PACKET_FILTER_HIT_IB1", "value": 74},
984 {"name": "CPG_PERF_SEL_PFP_PACKET_FILTER_MISS_IB1", "value": 75},
985 {"name": "CPG_PERF_SEL_PFP_PACKET_FILTER_HIT_IB2", "value": 76},
986 {"name": "CPG_PERF_SEL_PFP_PACKET_FILTER_MISS_IB2", "value": 77},
987 {"name": "CPG_PERF_SEL_DMA_BUSY", "value": 78},
988 {"name": "CPG_PERF_SEL_DMA_STARVED", "value": 79},
989 {"name": "CPG_PERF_SEL_DMA_STALLED", "value": 80},
990 {"name": "CPG_PERF_SEL_DMA_FETCHER_STALLED_ON_ROQ_FULL", "value": 81}
991 ]
992 },
993 "CP_PERFMON_ENABLE_MODE": {
994 "entries": [
995 {"name": "CP_PERFMON_ENABLE_MODE_ALWAYS_COUNT", "value": 0},
996 {"name": "CP_PERFMON_ENABLE_MODE_RESERVED_1", "value": 1},
997 {"name": "CP_PERFMON_ENABLE_MODE_COUNT_CONTEXT_TRUE", "value": 2},
998 {"name": "CP_PERFMON_ENABLE_MODE_COUNT_CONTEXT_FALSE", "value": 3}
999 ]
1000 },
1001 "CP_PERFMON_STATE": {
1002 "entries": [
1003 {"name": "CP_PERFMON_STATE_DISABLE_AND_RESET", "value": 0},
1004 {"name": "CP_PERFMON_STATE_START_COUNTING", "value": 1},
1005 {"name": "CP_PERFMON_STATE_STOP_COUNTING", "value": 2},
1006 {"name": "CP_PERFMON_STATE_RESERVED_3", "value": 3},
1007 {"name": "CP_PERFMON_STATE_DISABLE_AND_RESET_PHANTOM", "value": 4},
1008 {"name": "CP_PERFMON_STATE_COUNT_AND_DUMP_PHANTOM", "value": 5}
1009 ]
1010 },
1011 "CmaskAddr": {
1012 "entries": [
1013 {"name": "CMASK_ADDR_TILED", "value": 0},
1014 {"name": "CMASK_ADDR_LINEAR", "value": 1},
1015 {"name": "CMASK_ADDR_COMPATIBLE", "value": 2}
1016 ]
1017 },
1018 "CombFunc": {
1019 "entries": [
1020 {"name": "COMB_DST_PLUS_SRC", "value": 0},
1021 {"name": "COMB_SRC_MINUS_DST", "value": 1},
1022 {"name": "COMB_MIN_DST_SRC", "value": 2},
1023 {"name": "COMB_MAX_DST_SRC", "value": 3},
1024 {"name": "COMB_DST_MINUS_SRC", "value": 4}
1025 ]
1026 },
1027 "CompareFrag": {
1028 "entries": [
1029 {"name": "FRAG_NEVER", "value": 0},
1030 {"name": "FRAG_LESS", "value": 1},
1031 {"name": "FRAG_EQUAL", "value": 2},
1032 {"name": "FRAG_LEQUAL", "value": 3},
1033 {"name": "FRAG_GREATER", "value": 4},
1034 {"name": "FRAG_NOTEQUAL", "value": 5},
1035 {"name": "FRAG_GEQUAL", "value": 6},
1036 {"name": "FRAG_ALWAYS", "value": 7}
1037 ]
1038 },
1039 "ConservativeZExport": {
1040 "entries": [
1041 {"name": "EXPORT_ANY_Z", "value": 0},
1042 {"name": "EXPORT_LESS_THAN_Z", "value": 1},
1043 {"name": "EXPORT_GREATER_THAN_Z", "value": 2},
1044 {"name": "EXPORT_RESERVED", "value": 3}
1045 ]
1046 },
1047 "CovToShaderSel": {
1048 "entries": [
1049 {"name": "INPUT_COVERAGE", "value": 0},
1050 {"name": "INPUT_INNER_COVERAGE", "value": 1},
1051 {"name": "INPUT_DEPTH_COVERAGE", "value": 2},
1052 {"name": "RAW", "value": 3}
1053 ]
1054 },
1055 "DbPRTFaultBehavior": {
1056 "entries": [
1057 {"name": "FAULT_ZERO", "value": 0},
1058 {"name": "FAULT_ONE", "value": 1},
1059 {"name": "FAULT_FAIL", "value": 2},
1060 {"name": "FAULT_PASS", "value": 3}
1061 ]
1062 },
1063 "DbPSLControl": {
1064 "entries": [
1065 {"name": "PSLC_AUTO", "value": 0},
1066 {"name": "PSLC_ON_HANG_ONLY", "value": 1},
1067 {"name": "PSLC_ASAP", "value": 2},
1068 {"name": "PSLC_COUNTDOWN", "value": 3}
1069 ]
1070 },
1071 "ForceControl": {
1072 "entries": [
1073 {"name": "FORCE_OFF", "value": 0},
1074 {"name": "FORCE_ENABLE", "value": 1},
1075 {"name": "FORCE_DISABLE", "value": 2},
1076 {"name": "FORCE_RESERVED", "value": 3}
1077 ]
1078 },
1079 "GCRPerfSel": {
1080 "entries": [
1081 {"name": "GCR_PERF_SEL_NONE", "value": 0},
1082 {"name": "GCR_PERF_SEL_SDMA0_ALL_REQ", "value": 1},
1083 {"name": "GCR_PERF_SEL_SDMA0_GL2_RANGE_REQ", "value": 2},
1084 {"name": "GCR_PERF_SEL_SDMA0_GL2_RANGE_LT16K_REQ", "value": 3},
1085 {"name": "GCR_PERF_SEL_SDMA0_GL2_RANGE_16K_REQ", "value": 4},
1086 {"name": "GCR_PERF_SEL_SDMA0_GL2_RANGE_GT16K_REQ", "value": 5},
1087 {"name": "GCR_PERF_SEL_SDMA0_GL2_ALL_REQ", "value": 6},
1088 {"name": "GCR_PERF_SEL_SDMA0_GL1_RANGE_REQ", "value": 7},
1089 {"name": "GCR_PERF_SEL_SDMA0_GL1_RANGE_LT16K_REQ", "value": 8},
1090 {"name": "GCR_PERF_SEL_SDMA0_GL1_RANGE_16K_REQ", "value": 9},
1091 {"name": "GCR_PERF_SEL_SDMA0_GL1_RANGE_GT16K_REQ", "value": 10},
1092 {"name": "GCR_PERF_SEL_SDMA0_GL1_ALL_REQ", "value": 11},
1093 {"name": "GCR_PERF_SEL_SDMA0_METADATA_REQ", "value": 12},
1094 {"name": "GCR_PERF_SEL_SDMA0_SQC_DATA_REQ", "value": 13},
1095 {"name": "GCR_PERF_SEL_SDMA0_SQC_INST_REQ", "value": 14},
1096 {"name": "GCR_PERF_SEL_SDMA0_TCP_REQ", "value": 15},
1097 {"name": "GCR_PERF_SEL_SDMA0_TCP_TLB_SHOOTDOWN_REQ", "value": 16},
1098 {"name": "GCR_PERF_SEL_SDMA1_ALL_REQ", "value": 17},
1099 {"name": "GCR_PERF_SEL_SDMA1_GL2_RANGE_REQ", "value": 18},
1100 {"name": "GCR_PERF_SEL_SDMA1_GL2_RANGE_LT16K_REQ", "value": 19},
1101 {"name": "GCR_PERF_SEL_SDMA1_GL2_RANGE_16K_REQ", "value": 20},
1102 {"name": "GCR_PERF_SEL_SDMA1_GL2_RANGE_GT16K_REQ", "value": 21},
1103 {"name": "GCR_PERF_SEL_SDMA1_GL2_ALL_REQ", "value": 22},
1104 {"name": "GCR_PERF_SEL_SDMA1_GL1_RANGE_REQ", "value": 23},
1105 {"name": "GCR_PERF_SEL_SDMA1_GL1_RANGE_LT16K_REQ", "value": 24},
1106 {"name": "GCR_PERF_SEL_SDMA1_GL1_RANGE_16K_REQ", "value": 25},
1107 {"name": "GCR_PERF_SEL_SDMA1_GL1_RANGE_GT16K_REQ", "value": 26},
1108 {"name": "GCR_PERF_SEL_SDMA1_GL1_ALL_REQ", "value": 27},
1109 {"name": "GCR_PERF_SEL_SDMA1_METADATA_REQ", "value": 28},
1110 {"name": "GCR_PERF_SEL_SDMA1_SQC_DATA_REQ", "value": 29},
1111 {"name": "GCR_PERF_SEL_SDMA1_SQC_INST_REQ", "value": 30},
1112 {"name": "GCR_PERF_SEL_SDMA1_TCP_REQ", "value": 31},
1113 {"name": "GCR_PERF_SEL_SDMA1_TCP_TLB_SHOOTDOWN_REQ", "value": 32},
1114 {"name": "GCR_PERF_SEL_CPG_ALL_REQ", "value": 33},
1115 {"name": "GCR_PERF_SEL_CPG_GL2_RANGE_REQ", "value": 34},
1116 {"name": "GCR_PERF_SEL_CPG_GL2_RANGE_LT16K_REQ", "value": 35},
1117 {"name": "GCR_PERF_SEL_CPG_GL2_RANGE_16K_REQ", "value": 36},
1118 {"name": "GCR_PERF_SEL_CPG_GL2_RANGE_GT16K_REQ", "value": 37},
1119 {"name": "GCR_PERF_SEL_CPG_GL2_ALL_REQ", "value": 38},
1120 {"name": "GCR_PERF_SEL_CPG_GL1_RANGE_REQ", "value": 39},
1121 {"name": "GCR_PERF_SEL_CPG_GL1_RANGE_LT16K_REQ", "value": 40},
1122 {"name": "GCR_PERF_SEL_CPG_GL1_RANGE_16K_REQ", "value": 41},
1123 {"name": "GCR_PERF_SEL_CPG_GL1_RANGE_GT16K_REQ", "value": 42},
1124 {"name": "GCR_PERF_SEL_CPG_GL1_ALL_REQ", "value": 43},
1125 {"name": "GCR_PERF_SEL_CPG_METADATA_REQ", "value": 44},
1126 {"name": "GCR_PERF_SEL_CPG_SQC_DATA_REQ", "value": 45},
1127 {"name": "GCR_PERF_SEL_CPG_SQC_INST_REQ", "value": 46},
1128 {"name": "GCR_PERF_SEL_CPG_TCP_REQ", "value": 47},
1129 {"name": "GCR_PERF_SEL_CPG_TCP_TLB_SHOOTDOWN_REQ", "value": 48},
1130 {"name": "GCR_PERF_SEL_CPC_ALL_REQ", "value": 49},
1131 {"name": "GCR_PERF_SEL_CPC_GL2_RANGE_REQ", "value": 50},
1132 {"name": "GCR_PERF_SEL_CPC_GL2_RANGE_LT16K_REQ", "value": 51},
1133 {"name": "GCR_PERF_SEL_CPC_GL2_RANGE_16K_REQ", "value": 52},
1134 {"name": "GCR_PERF_SEL_CPC_GL2_RANGE_GT16K_REQ", "value": 53},
1135 {"name": "GCR_PERF_SEL_CPC_GL2_ALL_REQ", "value": 54},
1136 {"name": "GCR_PERF_SEL_CPC_GL1_RANGE_REQ", "value": 55},
1137 {"name": "GCR_PERF_SEL_CPC_GL1_RANGE_LT16K_REQ", "value": 56},
1138 {"name": "GCR_PERF_SEL_CPC_GL1_RANGE_16K_REQ", "value": 57},
1139 {"name": "GCR_PERF_SEL_CPC_GL1_RANGE_GT16K_REQ", "value": 58},
1140 {"name": "GCR_PERF_SEL_CPC_GL1_ALL_REQ", "value": 59},
1141 {"name": "GCR_PERF_SEL_CPC_METADATA_REQ", "value": 60},
1142 {"name": "GCR_PERF_SEL_CPC_SQC_DATA_REQ", "value": 61},
1143 {"name": "GCR_PERF_SEL_CPC_SQC_INST_REQ", "value": 62},
1144 {"name": "GCR_PERF_SEL_CPC_TCP_REQ", "value": 63},
1145 {"name": "GCR_PERF_SEL_CPC_TCP_TLB_SHOOTDOWN_REQ", "value": 64},
1146 {"name": "GCR_PERF_SEL_CPF_ALL_REQ", "value": 65},
1147 {"name": "GCR_PERF_SEL_CPF_GL2_RANGE_REQ", "value": 66},
1148 {"name": "GCR_PERF_SEL_CPF_GL2_RANGE_LT16K_REQ", "value": 67},
1149 {"name": "GCR_PERF_SEL_CPF_GL2_RANGE_16K_REQ", "value": 68},
1150 {"name": "GCR_PERF_SEL_CPF_GL2_RANGE_GT16K_REQ", "value": 69},
1151 {"name": "GCR_PERF_SEL_CPF_GL2_ALL_REQ", "value": 70},
1152 {"name": "GCR_PERF_SEL_CPF_GL1_RANGE_REQ", "value": 71},
1153 {"name": "GCR_PERF_SEL_CPF_GL1_RANGE_LT16K_REQ", "value": 72},
1154 {"name": "GCR_PERF_SEL_CPF_GL1_RANGE_16K_REQ", "value": 73},
1155 {"name": "GCR_PERF_SEL_CPF_GL1_RANGE_GT16K_REQ", "value": 74},
1156 {"name": "GCR_PERF_SEL_CPF_GL1_ALL_REQ", "value": 75},
1157 {"name": "GCR_PERF_SEL_CPF_METADATA_REQ", "value": 76},
1158 {"name": "GCR_PERF_SEL_CPF_SQC_DATA_REQ", "value": 77},
1159 {"name": "GCR_PERF_SEL_CPF_SQC_INST_REQ", "value": 78},
1160 {"name": "GCR_PERF_SEL_CPF_TCP_REQ", "value": 79},
1161 {"name": "GCR_PERF_SEL_CPF_TCP_TLB_SHOOTDOWN_REQ", "value": 80},
1162 {"name": "GCR_PERF_SEL_VIRT_REQ", "value": 81},
1163 {"name": "GCR_PERF_SEL_PHY_REQ", "value": 82},
1164 {"name": "GCR_PERF_SEL_TLB_SHOOTDOWN_HEAVY_REQ", "value": 83},
1165 {"name": "GCR_PERF_SEL_TLB_SHOOTDOWN_LIGHT_REQ", "value": 84},
1166 {"name": "GCR_PERF_SEL_ALL_REQ", "value": 85},
1167 {"name": "GCR_PERF_SEL_CLK_FOR_PHY_OUTSTANDING_REQ", "value": 86},
1168 {"name": "GCR_PERF_SEL_CLK_FOR_VIRT_OUTSTANDING_REQ", "value": 87},
1169 {"name": "GCR_PERF_SEL_CLK_FOR_ALL_OUTSTANDING_REQ", "value": 88},
1170 {"name": "GCR_PERF_SEL_UTCL2_REQ", "value": 89},
1171 {"name": "GCR_PERF_SEL_UTCL2_RET", "value": 90},
1172 {"name": "GCR_PERF_SEL_UTCL2_OUT_OF_CREDIT_EVENT", "value": 91},
1173 {"name": "GCR_PERF_SEL_UTCL2_INFLIGHT_REQ", "value": 92},
1174 {"name": "GCR_PERF_SEL_UTCL2_FILTERED_RET", "value": 93}
1175 ]
1176 },
1177 "GE_PERFCOUNT_SELECT": {
1178 "entries": [
1179 {"name": "ge_assembler_busy", "value": 0},
1180 {"name": "ge_assembler_stalled", "value": 1},
1181 {"name": "ge_cm_reading_stalled", "value": 2},
1182 {"name": "ge_cm_stalled_by_gog", "value": 3},
1183 {"name": "ge_cm_stalled_by_gsfetch_done", "value": 4},
1184 {"name": "ge_dma_busy", "value": 5},
1185 {"name": "ge_dma_lat_bin_0", "value": 6},
1186 {"name": "ge_dma_lat_bin_1", "value": 7},
1187 {"name": "ge_dma_lat_bin_2", "value": 8},
1188 {"name": "ge_dma_lat_bin_3", "value": 9},
1189 {"name": "ge_dma_lat_bin_4", "value": 10},
1190 {"name": "ge_dma_lat_bin_5", "value": 11},
1191 {"name": "ge_dma_lat_bin_6", "value": 12},
1192 {"name": "ge_dma_lat_bin_7", "value": 13},
1193 {"name": "ge_dma_return_cl0", "value": 14},
1194 {"name": "ge_dma_return_cl1", "value": 15},
1195 {"name": "ge_dma_utcl1_consecutive_retry_event", "value": 16},
1196 {"name": "ge_dma_utcl1_request_event", "value": 17},
1197 {"name": "ge_dma_utcl1_retry_event", "value": 18},
1198 {"name": "ge_dma_utcl1_stall_event", "value": 19},
1199 {"name": "ge_dma_utcl1_stall_utcl2_event", "value": 20},
1200 {"name": "ge_dma_utcl1_translation_hit_event", "value": 21},
1201 {"name": "ge_dma_utcl1_translation_miss_event", "value": 22},
1202 {"name": "ge_dma_utcl2_stall_on_trans", "value": 23},
1203 {"name": "ge_dma_utcl2_trans_ack", "value": 24},
1204 {"name": "ge_dma_utcl2_trans_xnack", "value": 25},
1205 {"name": "ge_ds_cache_hits", "value": 26},
1206 {"name": "ge_ds_prims", "value": 27},
1207 {"name": "ge_es_done", "value": 28},
1208 {"name": "ge_es_done_latency", "value": 29},
1209 {"name": "ge_es_flush", "value": 30},
1210 {"name": "ge_es_ring_high_water_mark", "value": 31},
1211 {"name": "ge_es_thread_groups", "value": 32},
1212 {"name": "ge_esthread_stalled_es_rb_full", "value": 33},
1213 {"name": "ge_esthread_stalled_spi_bp", "value": 34},
1214 {"name": "ge_esvert_stalled_es_tbl", "value": 35},
1215 {"name": "ge_esvert_stalled_gs_event", "value": 36},
1216 {"name": "ge_esvert_stalled_gs_tbl", "value": 37},
1217 {"name": "ge_esvert_stalled_gsprim", "value": 38},
1218 {"name": "ge_assembler_dma_starved", "value": 39},
1219 {"name": "ge_gog_busy", "value": 40},
1220 {"name": "ge_gog_out_indx_stalled", "value": 41},
1221 {"name": "ge_gog_out_prim_stalled", "value": 42},
1222 {"name": "ge_gog_vs_tbl_stalled", "value": 43},
1223 {"name": "ge_gs_cache_hits", "value": 44},
1224 {"name": "ge_gs_counters_avail_stalled", "value": 45},
1225 {"name": "ge_gs_done", "value": 46},
1226 {"name": "ge_gs_done_latency", "value": 47},
1227 {"name": "ge_gs_event_stall", "value": 48},
1228 {"name": "ge_gs_issue_rtr_stalled", "value": 49},
1229 {"name": "ge_gs_rb_space_avail_stalled", "value": 50},
1230 {"name": "ge_gs_ring_high_water_mark", "value": 51},
1231 {"name": "ge_gsprim_stalled_es_tbl", "value": 52},
1232 {"name": "ge_gsprim_stalled_esvert", "value": 53},
1233 {"name": "ge_gsprim_stalled_gs_event", "value": 54},
1234 {"name": "ge_gsprim_stalled_gs_tbl", "value": 55},
1235 {"name": "ge_gsthread_stalled", "value": 56},
1236 {"name": "ge_hs_done", "value": 57},
1237 {"name": "ge_hs_done_latency", "value": 58},
1238 {"name": "ge_hs_done_se0", "value": 59},
1239 {"name": "ge_hs_done_se1", "value": 60},
1240 {"name": "ge_hs_done_se2_reserved", "value": 61},
1241 {"name": "ge_hs_done_se3_reserved", "value": 62},
1242 {"name": "ge_hs_tfm_stall", "value": 63},
1243 {"name": "ge_hs_tgs_active_high_water_mark", "value": 64},
1244 {"name": "ge_hs_thread_groups", "value": 65},
1245 {"name": "ge_inside_tf_bin_0", "value": 66},
1246 {"name": "ge_inside_tf_bin_1", "value": 67},
1247 {"name": "ge_inside_tf_bin_2", "value": 68},
1248 {"name": "ge_inside_tf_bin_3", "value": 69},
1249 {"name": "ge_inside_tf_bin_4", "value": 70},
1250 {"name": "ge_inside_tf_bin_5", "value": 71},
1251 {"name": "ge_inside_tf_bin_6", "value": 72},
1252 {"name": "ge_inside_tf_bin_7", "value": 73},
1253 {"name": "ge_inside_tf_bin_8", "value": 74},
1254 {"name": "ge_ls_done", "value": 75},
1255 {"name": "ge_ls_done_latency", "value": 76},
1256 {"name": "ge_null_patch", "value": 77},
1257 {"name": "ge_se0pa0_clipp_eop", "value": 78},
1258 {"name": "ge_se0pa0_clipp_eopg", "value": 79},
1259 {"name": "ge_se0pa0_clipp_is_event", "value": 80},
1260 {"name": "ge_se0pa0_clipp_new_vtx_vect", "value": 81},
1261 {"name": "ge_se0pa0_clipp_null_prim", "value": 82},
1262 {"name": "ge_se0pa0_clipp_send", "value": 83},
1263 {"name": "ge_se0pa0_clipp_send_not_event", "value": 84},
1264 {"name": "ge_se0pa0_clipp_stalled", "value": 85},
1265 {"name": "ge_se0pa0_clipp_starved_busy", "value": 86},
1266 {"name": "ge_se0pa0_clipp_starved_idle", "value": 87},
1267 {"name": "ge_se0pa0_clipp_starved_after_work", "value": 88},
1268 {"name": "ge_se0pa0_clipp_valid_prim", "value": 89},
1269 {"name": "ge_se0pa0_clips_send", "value": 90},
1270 {"name": "ge_se0pa0_clips_stalled", "value": 91},
1271 {"name": "ge_se0pa0_clipv_send", "value": 92},
1272 {"name": "ge_se0pa0_clipv_stalled", "value": 93},
1273 {"name": "ge_se0pa1_clipp_eop", "value": 94},
1274 {"name": "ge_se0pa1_clipp_eopg", "value": 95},
1275 {"name": "ge_se0pa1_clipp_is_event", "value": 96},
1276 {"name": "ge_se0pa1_clipp_new_vtx_vect", "value": 97},
1277 {"name": "ge_se0pa1_clipp_null_prim", "value": 98},
1278 {"name": "ge_se0pa1_clipp_send", "value": 99},
1279 {"name": "ge_se0pa1_clipp_send_not_event", "value": 100},
1280 {"name": "ge_se0pa1_clipp_stalled", "value": 101},
1281 {"name": "ge_se0pa1_clipp_starved_busy", "value": 102},
1282 {"name": "ge_se0pa1_clipp_starved_idle", "value": 103},
1283 {"name": "ge_se0pa1_clipp_starved_after_work", "value": 104},
1284 {"name": "ge_se0pa1_clipp_valid_prim", "value": 105},
1285 {"name": "ge_se0pa1_clips_send", "value": 106},
1286 {"name": "ge_se0pa1_clips_stalled", "value": 107},
1287 {"name": "ge_se0pa1_clipv_send", "value": 108},
1288 {"name": "ge_se0pa1_clipv_stalled", "value": 109},
1289 {"name": "ge_se1pa0_clipp_eop", "value": 110},
1290 {"name": "ge_se1pa0_clipp_eopg", "value": 111},
1291 {"name": "ge_se1pa0_clipp_is_event", "value": 112},
1292 {"name": "ge_se1pa0_clipp_new_vtx_vect", "value": 113},
1293 {"name": "ge_se1pa0_clipp_null_prim", "value": 114},
1294 {"name": "ge_se1pa0_clipp_send", "value": 115},
1295 {"name": "ge_se1pa0_clipp_send_not_event", "value": 116},
1296 {"name": "ge_se1pa0_clipp_stalled", "value": 117},
1297 {"name": "ge_se1pa0_clipp_starved_busy", "value": 118},
1298 {"name": "ge_se1pa0_clipp_starved_idle", "value": 119},
1299 {"name": "ge_se1pa0_clipp_starved_after_work", "value": 120},
1300 {"name": "ge_se1pa0_clipp_valid_prim", "value": 121},
1301 {"name": "ge_se1pa0_clips_send", "value": 122},
1302 {"name": "ge_se1pa0_clips_stalled", "value": 123},
1303 {"name": "ge_se1pa0_clipv_send", "value": 124},
1304 {"name": "ge_se1pa0_clipv_stalled", "value": 125},
1305 {"name": "ge_se1pa1_clipp_eop", "value": 126},
1306 {"name": "ge_se1pa1_clipp_eopg", "value": 127},
1307 {"name": "ge_se1pa1_clipp_is_event", "value": 128},
1308 {"name": "ge_se1pa1_clipp_new_vtx_vect", "value": 129},
1309 {"name": "ge_se1pa1_clipp_null_prim", "value": 130},
1310 {"name": "ge_se1pa1_clipp_send", "value": 131},
1311 {"name": "ge_se1pa1_clipp_send_not_event", "value": 132},
1312 {"name": "ge_se1pa1_clipp_stalled", "value": 133},
1313 {"name": "ge_se1pa1_clipp_starved_busy", "value": 134},
1314 {"name": "ge_se1pa1_clipp_starved_idle", "value": 135},
1315 {"name": "ge_se1pa1_clipp_starved_after_work", "value": 136},
1316 {"name": "ge_se1pa1_clipp_valid_prim", "value": 137},
1317 {"name": "ge_se1pa1_clips_send", "value": 138},
1318 {"name": "ge_se1pa1_clips_stalled", "value": 139},
1319 {"name": "ge_se1pa1_clipv_send", "value": 140},
1320 {"name": "ge_se1pa1_clipv_stalled", "value": 141},
1321 {"name": "ge_se2pa0_clipp_eop", "value": 142},
1322 {"name": "ge_se2pa0_clipp_eopg", "value": 143},
1323 {"name": "ge_se2pa0_clipp_is_event", "value": 144},
1324 {"name": "ge_se2pa0_clipp_new_vtx_vect", "value": 145},
1325 {"name": "ge_se2pa0_clipp_null_prim", "value": 146},
1326 {"name": "ge_se2pa0_clipp_send", "value": 147},
1327 {"name": "ge_se2pa0_clipp_send_not_event", "value": 148},
1328 {"name": "ge_se2pa0_clipp_stalled", "value": 149},
1329 {"name": "ge_se2pa0_clipp_starved_busy", "value": 150},
1330 {"name": "ge_se2pa0_clipp_starved_idle", "value": 151},
1331 {"name": "ge_se2pa0_clipp_starved_after_work", "value": 152},
1332 {"name": "ge_se2pa0_clipp_valid_prim", "value": 153},
1333 {"name": "ge_se2pa0_clips_send", "value": 154},
1334 {"name": "ge_se2pa0_clips_stalled", "value": 155},
1335 {"name": "ge_se2pa0_clipv_send", "value": 156},
1336 {"name": "ge_se2pa0_clipv_stalled", "value": 157},
1337 {"name": "ge_se2pa1_clipp_eop", "value": 158},
1338 {"name": "ge_se2pa1_clipp_eopg", "value": 159},
1339 {"name": "ge_se2pa1_clipp_is_event", "value": 160},
1340 {"name": "ge_se2pa1_clipp_new_vtx_vect", "value": 161},
1341 {"name": "ge_se2pa1_clipp_null_prim", "value": 162},
1342 {"name": "ge_se2pa1_clipp_send", "value": 163},
1343 {"name": "ge_se2pa1_clipp_send_not_event", "value": 164},
1344 {"name": "ge_se2pa1_clipp_stalled", "value": 165},
1345 {"name": "ge_se2pa1_clipp_starved_busy", "value": 166},
1346 {"name": "ge_se2pa1_clipp_starved_idle", "value": 167},
1347 {"name": "ge_se2pa1_clipp_starved_after_work", "value": 168},
1348 {"name": "ge_se2pa1_clipp_valid_prim", "value": 169},
1349 {"name": "ge_se2pa1_clips_send", "value": 170},
1350 {"name": "ge_se2pa1_clips_stalled", "value": 171},
1351 {"name": "ge_se2pa1_clipv_send", "value": 172},
1352 {"name": "ge_se2pa1_clipv_stalled", "value": 173},
1353 {"name": "ge_se3pa0_clipp_eop", "value": 174},
1354 {"name": "ge_se3pa0_clipp_eopg", "value": 175},
1355 {"name": "ge_se3pa0_clipp_is_event", "value": 176},
1356 {"name": "ge_se3pa0_clipp_new_vtx_vect", "value": 177},
1357 {"name": "ge_se3pa0_clipp_null_prim", "value": 178},
1358 {"name": "ge_se3pa0_clipp_send", "value": 179},
1359 {"name": "ge_se3pa0_clipp_send_not_event", "value": 180},
1360 {"name": "ge_se3pa0_clipp_stalled", "value": 181},
1361 {"name": "ge_se3pa0_clipp_starved_busy", "value": 182},
1362 {"name": "ge_se3pa0_clipp_starved_idle", "value": 183},
1363 {"name": "ge_se3pa0_clipp_starved_after_work", "value": 184},
1364 {"name": "ge_se3pa0_clipp_valid_prim", "value": 185},
1365 {"name": "ge_se3pa0_clips_send", "value": 186},
1366 {"name": "ge_se3pa0_clips_stalled", "value": 187},
1367 {"name": "ge_se3pa0_clipv_send", "value": 188},
1368 {"name": "ge_se3pa0_clipv_stalled", "value": 189},
1369 {"name": "ge_se3pa1_clipp_eop", "value": 190},
1370 {"name": "ge_se3pa1_clipp_eopg", "value": 191},
1371 {"name": "ge_se3pa1_clipp_is_event", "value": 192},
1372 {"name": "ge_se3pa1_clipp_new_vtx_vect", "value": 193},
1373 {"name": "ge_se3pa1_clipp_null_prim", "value": 194},
1374 {"name": "ge_se3pa1_clipp_send", "value": 195},
1375 {"name": "ge_se3pa1_clipp_send_not_event", "value": 196},
1376 {"name": "ge_se3pa1_clipp_stalled", "value": 197},
1377 {"name": "ge_se3pa1_clipp_starved_busy", "value": 198},
1378 {"name": "ge_se3pa1_clipp_starved_idle", "value": 199},
1379 {"name": "ge_se3pa1_clipp_starved_after_work", "value": 200},
1380 {"name": "ge_se3pa1_clipp_valid_prim", "value": 201},
1381 {"name": "ge_se3pa1_clips_send", "value": 202},
1382 {"name": "ge_se3pa1_clips_stalled", "value": 203},
1383 {"name": "ge_se3pa1_clipv_send", "value": 204},
1384 {"name": "ge_se3pa1_clipv_stalled", "value": 205},
1385 {"name": "ge_rbiu_di_fifo_stalled", "value": 206},
1386 {"name": "ge_rbiu_di_fifo_starved", "value": 207},
1387 {"name": "ge_rbiu_dr_fifo_stalled", "value": 208},
1388 {"name": "ge_rbiu_dr_fifo_starved", "value": 209},
1389 {"name": "ge_reused_es_indices", "value": 210},
1390 {"name": "ge_reused_vs_indices", "value": 211},
1391 {"name": "ge_sclk_core_vld", "value": 212},
1392 {"name": "ge_sclk_gs_vld", "value": 213},
1393 {"name": "ge_sclk_input_vld", "value": 214},
1394 {"name": "ge_sclk_leg_gs_arb_vld", "value": 215},
1395 {"name": "ge_sclk_ngg_vld", "value": 216},
1396 {"name": "ge_sclk_reg_vld", "value": 217},
1397 {"name": "ge_sclk_te11_vld", "value": 218},
1398 {"name": "ge_sclk_vr_vld", "value": 219},
1399 {"name": "ge_sclk_wd_te11_vld", "value": 220},
1400 {"name": "ge_spi_esvert_eov", "value": 221},
1401 {"name": "ge_spi_esvert_stalled", "value": 222},
1402 {"name": "ge_spi_esvert_starved_busy", "value": 223},
1403 {"name": "ge_spi_esvert_valid", "value": 224},
1404 {"name": "ge_spi_eswave_is_event", "value": 225},
1405 {"name": "ge_spi_eswave_send", "value": 226},
1406 {"name": "ge_spi_gsprim_cont", "value": 227},
1407 {"name": "ge_spi_gsprim_eov", "value": 228},
1408 {"name": "ge_spi_gsprim_stalled", "value": 229},
1409 {"name": "ge_spi_gsprim_starved_busy", "value": 230},
1410 {"name": "ge_spi_gsprim_starved_idle", "value": 231},
1411 {"name": "ge_spi_gsprim_valid", "value": 232},
1412 {"name": "ge_spi_gssubgrp_is_event", "value": 233},
1413 {"name": "ge_spi_gssubgrp_send", "value": 234},
1414 {"name": "ge_spi_gswave_is_event", "value": 235},
1415 {"name": "ge_spi_gswave_send", "value": 236},
1416 {"name": "ge_spi_hsvert_eov", "value": 237},
1417 {"name": "ge_spi_hsvert_stalled", "value": 238},
1418 {"name": "ge_spi_hsvert_starved_busy", "value": 239},
1419 {"name": "ge_spi_hsvert_valid", "value": 240},
1420 {"name": "ge_spi_hsgrp_is_event", "value": 241},
1421 {"name": "ge_spi_hswgrp_send", "value": 242},
1422 {"name": "ge_spi_hsgrp_event_window_active", "value": 243},
1423 {"name": "ge_spi_lsvert_eov", "value": 244},
1424 {"name": "ge_spi_lsvert_stalled", "value": 245},
1425 {"name": "ge_spi_lsvert_starved_busy", "value": 246},
1426 {"name": "ge_spi_lsvert_starved_idle", "value": 247},
1427 {"name": "ge_spi_lsvert_valid", "value": 248},
1428 {"name": "ge_spi_lswave_is_event", "value": 249},
1429 {"name": "ge_spi_lswave_send", "value": 250},
1430 {"name": "ge_spi_vsvert_eov", "value": 251},
1431 {"name": "ge_spi_vsvert_send", "value": 252},
1432 {"name": "ge_spi_vsvert_stalled", "value": 253},
1433 {"name": "ge_spi_vsvert_starved_busy", "value": 254},
1434 {"name": "ge_spi_vsvert_starved_idle", "value": 255},
1435 {"name": "ge_spi_vswave_is_event", "value": 256},
1436 {"name": "ge_spi_vswave_send", "value": 257},
1437 {"name": "ge_starved_on_hs_done", "value": 258},
1438 {"name": "ge_stat_busy", "value": 259},
1439 {"name": "ge_stat_combined_busy", "value": 260},
1440 {"name": "ge_stat_no_dma_busy", "value": 261},
1441 {"name": "ge_strmout_stalled", "value": 262},
1442 {"name": "ge_te11_busy", "value": 263},
1443 {"name": "ge_te11_starved", "value": 264},
1444 {"name": "ge_tfreq_lat_bin_0", "value": 265},
1445 {"name": "ge_tfreq_lat_bin_1", "value": 266},
1446 {"name": "ge_tfreq_lat_bin_2", "value": 267},
1447 {"name": "ge_tfreq_lat_bin_3", "value": 268},
1448 {"name": "ge_tfreq_lat_bin_4", "value": 269},
1449 {"name": "ge_tfreq_lat_bin_5", "value": 270},
1450 {"name": "ge_tfreq_lat_bin_6", "value": 271},
1451 {"name": "ge_tfreq_lat_bin_7", "value": 272},
1452 {"name": "ge_tfreq_utcl1_consecutive_retry_event", "value": 273},
1453 {"name": "ge_tfreq_utcl1_request_event", "value": 274},
1454 {"name": "ge_tfreq_utcl1_retry_event", "value": 275},
1455 {"name": "ge_tfreq_utcl1_stall_event", "value": 276},
1456 {"name": "ge_tfreq_utcl1_stall_utcl2_event", "value": 277},
1457 {"name": "ge_tfreq_utcl1_translation_hit_event", "value": 278},
1458 {"name": "ge_tfreq_utcl1_translation_miss_event", "value": 279},
1459 {"name": "spare23", "value": 280},
1460 {"name": "spare24", "value": 281},
1461 {"name": "spare25", "value": 282},
1462 {"name": "ge_vs_cache_hits", "value": 283},
1463 {"name": "ge_vs_done", "value": 284},
1464 {"name": "ge_vs_pc_stall", "value": 285},
1465 {"name": "ge_vs_table_high_water_mark", "value": 286},
1466 {"name": "ge_vs_thread_groups", "value": 287},
1467 {"name": "ge_vsvert_api_send", "value": 288},
1468 {"name": "ge_vsvert_ds_send", "value": 289},
1469 {"name": "ge_wait_for_es_done_stalled", "value": 290},
1470 {"name": "ge_waveid_stalled", "value": 291},
1471 {"name": "ge_spi_vsvert_valid", "value": 292},
1472 {"name": "pc_feorder_ffo_full", "value": 293},
1473 {"name": "pc_ge_manager_busy", "value": 294},
1474 {"name": "pc_req_stall_se0", "value": 295},
1475 {"name": "pc_req_stall_se1", "value": 296},
1476 {"name": "pc_req_stall_se2", "value": 297},
1477 {"name": "pc_req_stall_se3", "value": 298},
1478 {"name": "ge_pipe0_to_pipe1", "value": 299},
1479 {"name": "ge_pipe1_to_pipe0", "value": 300},
1480 {"name": "ge_dma_return_size_cl0", "value": 301},
1481 {"name": "ge_dma_return_size_cl1", "value": 302},
1482 {"name": "ge_spi_gssubgrp_event_window_active", "value": 303},
1483 {"name": "ge_bypass_fifo_full", "value": 304}
1484 ]
1485 },
1486 "GL1A_PERF_SEL": {
1487 "entries": [
1488 {"name": "GL1A_PERF_SEL_BUSY", "value": 0},
1489 {"name": "GL1A_PERF_SEL_STALL_GL1C0", "value": 1},
1490 {"name": "GL1A_PERF_SEL_STALL_GL1C1", "value": 2},
1491 {"name": "GL1A_PERF_SEL_STALL_GL1C2", "value": 3},
1492 {"name": "GL1A_PERF_SEL_STALL_GL1C3", "value": 4},
1493 {"name": "GL1A_PERF_SEL_STALL_GL1C4", "value": 5},
1494 {"name": "GL1A_PERF_SEL_REQUEST_GL1C0", "value": 6},
1495 {"name": "GL1A_PERF_SEL_REQUEST_GL1C1", "value": 7},
1496 {"name": "GL1A_PERF_SEL_REQUEST_GL1C2", "value": 8},
1497 {"name": "GL1A_PERF_SEL_REQUEST_GL1C3", "value": 9},
1498 {"name": "GL1A_PERF_SEL_REQUEST_GL1C4", "value": 10},
1499 {"name": "GL1A_PERF_SEL_MEM_32B_WDS_GL1C0", "value": 11},
1500 {"name": "GL1A_PERF_SEL_MEM_32B_WDS_GL1C1", "value": 12},
1501 {"name": "GL1A_PERF_SEL_MEM_32B_WDS_GL1C2", "value": 13},
1502 {"name": "GL1A_PERF_SEL_MEM_32B_WDS_GL1C3", "value": 14},
1503 {"name": "GL1A_PERF_SEL_MEM_32B_WDS_GL1C4", "value": 15},
1504 {"name": "GL1A_PERF_SEL_IO_32B_WDS_GL1C0", "value": 16},
1505 {"name": "GL1A_PERF_SEL_IO_32B_WDS_GL1C1", "value": 17},
1506 {"name": "GL1A_PERF_SEL_IO_32B_WDS_GL1C2", "value": 18},
1507 {"name": "GL1A_PERF_SEL_IO_32B_WDS_GL1C3", "value": 19},
1508 {"name": "GL1A_PERF_SEL_IO_32B_WDS_GL1C4", "value": 20},
1509 {"name": "GL1A_PERF_SEL_MEM_BURST_COUNT_GL1C0", "value": 21},
1510 {"name": "GL1A_PERF_SEL_MEM_BURST_COUNT_GL1C1", "value": 22},
1511 {"name": "GL1A_PERF_SEL_MEM_BURST_COUNT_GL1C2", "value": 23},
1512 {"name": "GL1A_PERF_SEL_MEM_BURST_COUNT_GL1C3", "value": 24},
1513 {"name": "GL1A_PERF_SEL_MEM_BURST_COUNT_GL1C4", "value": 25},
1514 {"name": "GL1A_PERF_SEL_IO_BURST_COUNT_GL1C0", "value": 26},
1515 {"name": "GL1A_PERF_SEL_IO_BURST_COUNT_GL1C1", "value": 27},
1516 {"name": "GL1A_PERF_SEL_IO_BURST_COUNT_GL1C2", "value": 28},
1517 {"name": "GL1A_PERF_SEL_IO_BURST_COUNT_GL1C3", "value": 29},
1518 {"name": "GL1A_PERF_SEL_IO_BURST_COUNT_GL1C4", "value": 30},
1519 {"name": "GL1A_PERF_SEL_ARB_REQUESTS", "value": 31},
1520 {"name": "GL1A_PERF_SEL_REQ_ARB_LEVEL_GL1C0", "value": 32},
1521 {"name": "GL1A_PERF_SEL_REQ_ARB_LEVEL_GL1C1", "value": 33},
1522 {"name": "GL1A_PERF_SEL_REQ_ARB_LEVEL_GL1C2", "value": 34},
1523 {"name": "GL1A_PERF_SEL_REQ_ARB_LEVEL_GL1C3", "value": 35},
1524 {"name": "GL1A_PERF_SEL_REQ_ARB_LEVEL_GL1C4", "value": 36},
1525 {"name": "GL1A_PERF_SEL_REQ_INFLIGHT_LEVEL", "value": 37},
1526 {"name": "GL1A_PERF_SEL_STALL_RET_CONFLICT_GL1C0", "value": 38},
1527 {"name": "GL1A_PERF_SEL_STALL_RET_CONFLICT_GL1C1", "value": 39},
1528 {"name": "GL1A_PERF_SEL_STALL_RET_CONFLICT_GL1C2", "value": 40},
1529 {"name": "GL1A_PERF_SEL_STALL_RET_CONFLICT_GL1C3", "value": 41},
1530 {"name": "GL1A_PERF_SEL_STALL_RET_CONFLICT_GL1C4", "value": 42},
1531 {"name": "GL1A_PERF_SEL_CYCLE", "value": 43}
1532 ]
1533 },
1534 "GL1CG_PERF_SEL": {
1535 "entries": [
1536 {"name": "GL1CG_PERF_SEL_CYCLE", "value": 0},
1537 {"name": "GL1CG_PERF_SEL_BUSY", "value": 1},
1538 {"name": "GL1CG_PERF_SEL_ARB_RET_LEVEL", "value": 2},
1539 {"name": "GL1CG_PERF_SEL_GL2_REQ_READ_LATENCY", "value": 3},
1540 {"name": "GL1CG_PERF_SEL_GL2_REQ_WRITE_LATENCY", "value": 4},
1541 {"name": "GL1CG_PERF_SEL_REQ", "value": 5},
1542 {"name": "GL1CG_PERF_SEL_REQ_ATOMIC_WITH_RET", "value": 6},
1543 {"name": "GL1CG_PERF_SEL_REQ_ATOMIC_WITHOUT_RET", "value": 7},
1544 {"name": "GL1CG_PERF_SEL_REQ_NOP_ACK", "value": 8},
1545 {"name": "GL1CG_PERF_SEL_REQ_NOP_RTN0", "value": 9},
1546 {"name": "GL1CG_PERF_SEL_REQ_READ", "value": 10},
1547 {"name": "GL1CG_PERF_SEL_REQ_READ_128B", "value": 11},
1548 {"name": "GL1CG_PERF_SEL_REQ_READ_32B", "value": 12},
1549 {"name": "GL1CG_PERF_SEL_REQ_READ_64B", "value": 13},
1550 {"name": "GL1CG_PERF_SEL_REQ_WRITE", "value": 14},
1551 {"name": "GL1CG_PERF_SEL_REQ_WRITE_32B", "value": 15},
1552 {"name": "GL1CG_PERF_SEL_REQ_WRITE_64B", "value": 16},
1553 {"name": "GL1CG_PERF_SEL_STALL_GUS_GL1", "value": 17},
1554 {"name": "GL1CG_PERF_SEL_STALL_BUFFER_FULL", "value": 18},
1555 {"name": "GL1CG_PERF_SEL_REQ_CLIENT0", "value": 19},
1556 {"name": "GL1CG_PERF_SEL_REQ_CLIENT1", "value": 20},
1557 {"name": "GL1CG_PERF_SEL_REQ_CLIENT2", "value": 21},
1558 {"name": "GL1CG_PERF_SEL_REQ_CLIENT3", "value": 22},
1559 {"name": "GL1CG_PERF_SEL_REQ_CLIENT4", "value": 23},
1560 {"name": "GL1CG_PERF_SEL_REQ_CLIENT5", "value": 24},
1561 {"name": "GL1CG_PERF_SEL_REQ_CLIENT6", "value": 25},
1562 {"name": "GL1CG_PERF_SEL_REQ_CLIENT7", "value": 26},
1563 {"name": "GL1CG_PERF_SEL_REQ_CLIENT8", "value": 27},
1564 {"name": "GL1CG_PERF_SEL_REQ_CLIENT9", "value": 28},
1565 {"name": "GL1CG_PERF_SEL_REQ_CLIENT10", "value": 29},
1566 {"name": "GL1CG_PERF_SEL_REQ_CLIENT11", "value": 30},
1567 {"name": "GL1CG_PERF_SEL_REQ_CLIENT12", "value": 31},
1568 {"name": "GL1CG_PERF_SEL_REQ_CLIENT13", "value": 32},
1569 {"name": "GL1CG_PERF_SEL_REQ_CLIENT14", "value": 33},
1570 {"name": "GL1CG_PERF_SEL_REQ_CLIENT15", "value": 34},
1571 {"name": "GL1CG_PERF_SEL_REQ_CLIENT16", "value": 35},
1572 {"name": "GL1CG_PERF_SEL_REQ_CLIENT17", "value": 36},
1573 {"name": "GL1CG_PERF_SEL_REQ_CLIENT18", "value": 37}
1574 ]
1575 },
1576 "GL1C_PERF_SEL": {
1577 "entries": [
1578 {"name": "GL1C_PERF_SEL_CYCLE", "value": 0},
1579 {"name": "GL1C_PERF_SEL_BUSY", "value": 1},
1580 {"name": "GL1C_PERF_SEL_ARB_RET_LEVEL", "value": 2},
1581 {"name": "GL1C_PERF_SEL_GL2_REQ_READ", "value": 3},
1582 {"name": "GL1C_PERF_SEL_GL2_REQ_READ_128B", "value": 4},
1583 {"name": "GL1C_PERF_SEL_GL2_REQ_READ_32B", "value": 5},
1584 {"name": "GL1C_PERF_SEL_GL2_REQ_READ_64B", "value": 6},
1585 {"name": "GL1C_PERF_SEL_GL2_REQ_READ_LATENCY", "value": 7},
1586 {"name": "GL1C_PERF_SEL_GL2_REQ_WRITE", "value": 8},
1587 {"name": "GL1C_PERF_SEL_GL2_REQ_WRITE_32B", "value": 9},
1588 {"name": "GL1C_PERF_SEL_GL2_REQ_WRITE_64B", "value": 10},
1589 {"name": "GL1C_PERF_SEL_GL2_REQ_WRITE_LATENCY", "value": 11},
1590 {"name": "GL1C_PERF_SEL_GL2_REQ_PREFETCH", "value": 12},
1591 {"name": "GL1C_PERF_SEL_REQ", "value": 13},
1592 {"name": "GL1C_PERF_SEL_REQ_ATOMIC_WITH_RET", "value": 14},
1593 {"name": "GL1C_PERF_SEL_REQ_ATOMIC_WITHOUT_RET", "value": 15},
1594 {"name": "GL1C_PERF_SEL_REQ_SHADER_INV", "value": 16},
1595 {"name": "GL1C_PERF_SEL_REQ_MISS", "value": 17},
1596 {"name": "GL1C_PERF_SEL_REQ_NOP_ACK", "value": 18},
1597 {"name": "GL1C_PERF_SEL_REQ_NOP_RTN0", "value": 19},
1598 {"name": "GL1C_PERF_SEL_REQ_READ", "value": 20},
1599 {"name": "GL1C_PERF_SEL_REQ_READ_128B", "value": 21},
1600 {"name": "GL1C_PERF_SEL_REQ_READ_32B", "value": 22},
1601 {"name": "GL1C_PERF_SEL_REQ_READ_64B", "value": 23},
1602 {"name": "GL1C_PERF_SEL_REQ_READ_POLICY_HIT_EVICT", "value": 24},
1603 {"name": "GL1C_PERF_SEL_REQ_READ_POLICY_HIT_LRU", "value": 25},
1604 {"name": "GL1C_PERF_SEL_REQ_READ_POLICY_MISS_EVICT", "value": 26},
1605 {"name": "GL1C_PERF_SEL_REQ_WRITE", "value": 27},
1606 {"name": "GL1C_PERF_SEL_REQ_WRITE_32B", "value": 28},
1607 {"name": "GL1C_PERF_SEL_REQ_WRITE_64B", "value": 29},
1608 {"name": "GL1C_PERF_SEL_STALL_GL2_GL1", "value": 30},
1609 {"name": "GL1C_PERF_SEL_STALL_LFIFO_FULL", "value": 31},
1610 {"name": "GL1C_PERF_SEL_STALL_NO_AVAILABLE_ACK_ALLOC", "value": 32},
1611 {"name": "GL1C_PERF_SEL_STALL_NOTHING_REPLACEABLE", "value": 33},
1612 {"name": "GL1C_PERF_SEL_STALL_GCR_INV", "value": 34},
1613 {"name": "GL1C_PERF_SEL_REQ_CLIENT0", "value": 35},
1614 {"name": "GL1C_PERF_SEL_REQ_CLIENT1", "value": 36},
1615 {"name": "GL1C_PERF_SEL_REQ_CLIENT2", "value": 37},
1616 {"name": "GL1C_PERF_SEL_REQ_CLIENT3", "value": 38},
1617 {"name": "GL1C_PERF_SEL_REQ_CLIENT4", "value": 39},
1618 {"name": "GL1C_PERF_SEL_REQ_CLIENT5", "value": 40},
1619 {"name": "GL1C_PERF_SEL_REQ_CLIENT6", "value": 41},
1620 {"name": "GL1C_PERF_SEL_REQ_CLIENT7", "value": 42},
1621 {"name": "GL1C_PERF_SEL_REQ_CLIENT8", "value": 43},
1622 {"name": "GL1C_PERF_SEL_REQ_CLIENT9", "value": 44},
1623 {"name": "GL1C_PERF_SEL_REQ_CLIENT10", "value": 45},
1624 {"name": "GL1C_PERF_SEL_REQ_CLIENT11", "value": 46},
1625 {"name": "GL1C_PERF_SEL_REQ_CLIENT12", "value": 47},
1626 {"name": "GL1C_PERF_SEL_REQ_CLIENT13", "value": 48},
1627 {"name": "GL1C_PERF_SEL_REQ_CLIENT14", "value": 49},
1628 {"name": "GL1C_PERF_SEL_REQ_CLIENT15", "value": 50},
1629 {"name": "GL1C_PERF_SEL_REQ_CLIENT16", "value": 51},
1630 {"name": "GL1C_PERF_SEL_REQ_CLIENT17", "value": 52},
1631 {"name": "GL1C_PERF_SEL_REQ_CLIENT18", "value": 53}
1632 ]
1633 },
1634 "GL2A_PERF_SEL": {
1635 "entries": [
1636 {"name": "GL2A_PERF_SEL_NONE", "value": 0},
1637 {"name": "GL2A_PERF_SEL_CYCLE", "value": 1},
1638 {"name": "GL2A_PERF_SEL_BUSY", "value": 2},
1639 {"name": "GL2A_PERF_SEL_REQ_GL2C0", "value": 3},
1640 {"name": "GL2A_PERF_SEL_REQ_GL2C1", "value": 4},
1641 {"name": "GL2A_PERF_SEL_REQ_GL2C2", "value": 5},
1642 {"name": "GL2A_PERF_SEL_REQ_GL2C3", "value": 6},
1643 {"name": "GL2A_PERF_SEL_REQ_GL2C4", "value": 7},
1644 {"name": "GL2A_PERF_SEL_REQ_GL2C5", "value": 8},
1645 {"name": "GL2A_PERF_SEL_REQ_GL2C6", "value": 9},
1646 {"name": "GL2A_PERF_SEL_REQ_GL2C7", "value": 10},
1647 {"name": "GL2A_PERF_SEL_REQ_HI_PRIO_GL2C0", "value": 11},
1648 {"name": "GL2A_PERF_SEL_REQ_HI_PRIO_GL2C1", "value": 12},
1649 {"name": "GL2A_PERF_SEL_REQ_HI_PRIO_GL2C2", "value": 13},
1650 {"name": "GL2A_PERF_SEL_REQ_HI_PRIO_GL2C3", "value": 14},
1651 {"name": "GL2A_PERF_SEL_REQ_HI_PRIO_GL2C4", "value": 15},
1652 {"name": "GL2A_PERF_SEL_REQ_HI_PRIO_GL2C5", "value": 16},
1653 {"name": "GL2A_PERF_SEL_REQ_HI_PRIO_GL2C6", "value": 17},
1654 {"name": "GL2A_PERF_SEL_REQ_HI_PRIO_GL2C7", "value": 18},
1655 {"name": "GL2A_PERF_SEL_REQ_BURST_GL2C0", "value": 19},
1656 {"name": "GL2A_PERF_SEL_REQ_BURST_GL2C1", "value": 20},
1657 {"name": "GL2A_PERF_SEL_REQ_BURST_GL2C2", "value": 21},
1658 {"name": "GL2A_PERF_SEL_REQ_BURST_GL2C3", "value": 22},
1659 {"name": "GL2A_PERF_SEL_REQ_BURST_GL2C4", "value": 23},
1660 {"name": "GL2A_PERF_SEL_REQ_BURST_GL2C5", "value": 24},
1661 {"name": "GL2A_PERF_SEL_REQ_BURST_GL2C6", "value": 25},
1662 {"name": "GL2A_PERF_SEL_REQ_BURST_GL2C7", "value": 26},
1663 {"name": "GL2A_PERF_SEL_REQ_STALL_GL2C0", "value": 27},
1664 {"name": "GL2A_PERF_SEL_REQ_STALL_GL2C1", "value": 28},
1665 {"name": "GL2A_PERF_SEL_REQ_STALL_GL2C2", "value": 29},
1666 {"name": "GL2A_PERF_SEL_REQ_STALL_GL2C3", "value": 30},
1667 {"name": "GL2A_PERF_SEL_REQ_STALL_GL2C4", "value": 31},
1668 {"name": "GL2A_PERF_SEL_REQ_STALL_GL2C5", "value": 32},
1669 {"name": "GL2A_PERF_SEL_REQ_STALL_GL2C6", "value": 33},
1670 {"name": "GL2A_PERF_SEL_REQ_STALL_GL2C7", "value": 34},
1671 {"name": "GL2A_PERF_SEL_RTN_STALL_GL2C0", "value": 35},
1672 {"name": "GL2A_PERF_SEL_RTN_STALL_GL2C1", "value": 36},
1673 {"name": "GL2A_PERF_SEL_RTN_STALL_GL2C2", "value": 37},
1674 {"name": "GL2A_PERF_SEL_RTN_STALL_GL2C3", "value": 38},
1675 {"name": "GL2A_PERF_SEL_RTN_STALL_GL2C4", "value": 39},
1676 {"name": "GL2A_PERF_SEL_RTN_STALL_GL2C5", "value": 40},
1677 {"name": "GL2A_PERF_SEL_RTN_STALL_GL2C6", "value": 41},
1678 {"name": "GL2A_PERF_SEL_RTN_STALL_GL2C7", "value": 42},
1679 {"name": "GL2A_PERF_SEL_RTN_CLIENT0", "value": 43},
1680 {"name": "GL2A_PERF_SEL_RTN_CLIENT1", "value": 44},
1681 {"name": "GL2A_PERF_SEL_RTN_CLIENT2", "value": 45},
1682 {"name": "GL2A_PERF_SEL_RTN_CLIENT3", "value": 46},
1683 {"name": "GL2A_PERF_SEL_RTN_CLIENT4", "value": 47},
1684 {"name": "GL2A_PERF_SEL_RTN_CLIENT5", "value": 48},
1685 {"name": "GL2A_PERF_SEL_RTN_CLIENT6", "value": 49},
1686 {"name": "GL2A_PERF_SEL_RTN_CLIENT7", "value": 50},
1687 {"name": "GL2A_PERF_SEL_RTN_CLIENT8", "value": 51},
1688 {"name": "GL2A_PERF_SEL_RTN_CLIENT9", "value": 52},
1689 {"name": "GL2A_PERF_SEL_RTN_CLIENT10", "value": 53},
1690 {"name": "GL2A_PERF_SEL_RTN_CLIENT11", "value": 54},
1691 {"name": "GL2A_PERF_SEL_RTN_CLIENT12", "value": 55},
1692 {"name": "GL2A_PERF_SEL_RTN_CLIENT13", "value": 56},
1693 {"name": "GL2A_PERF_SEL_RTN_CLIENT14", "value": 57},
1694 {"name": "GL2A_PERF_SEL_RTN_CLIENT15", "value": 58},
1695 {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT0", "value": 59},
1696 {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT1", "value": 60},
1697 {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT2", "value": 61},
1698 {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT3", "value": 62},
1699 {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT4", "value": 63},
1700 {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT5", "value": 64},
1701 {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT6", "value": 65},
1702 {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT7", "value": 66},
1703 {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT8", "value": 67},
1704 {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT9", "value": 68},
1705 {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT10", "value": 69},
1706 {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT11", "value": 70},
1707 {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT12", "value": 71},
1708 {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT13", "value": 72},
1709 {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT14", "value": 73},
1710 {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT15", "value": 74}
1711 ]
1712 },
1713 "GL2C_PERF_SEL": {
1714 "entries": [
1715 {"name": "GL2C_PERF_SEL_NONE", "value": 0},
1716 {"name": "GL2C_PERF_SEL_CYCLE", "value": 1},
1717 {"name": "GL2C_PERF_SEL_BUSY", "value": 2},
1718 {"name": "GL2C_PERF_SEL_REQ", "value": 3},
1719 {"name": "GL2C_PERF_SEL_VOL_REQ", "value": 4},
1720 {"name": "GL2C_PERF_SEL_HIGH_PRIORITY_REQ", "value": 5},
1721 {"name": "GL2C_PERF_SEL_READ", "value": 6},
1722 {"name": "GL2C_PERF_SEL_WRITE", "value": 7},
1723 {"name": "GL2C_PERF_SEL_ATOMIC", "value": 8},
1724 {"name": "GL2C_PERF_SEL_NOP_ACK", "value": 9},
1725 {"name": "GL2C_PERF_SEL_NOP_RTN0", "value": 10},
1726 {"name": "GL2C_PERF_SEL_PROBE", "value": 11},
1727 {"name": "GL2C_PERF_SEL_PROBE_ALL", "value": 12},
1728 {"name": "GL2C_PERF_SEL_INTERNAL_PROBE", "value": 13},
1729 {"name": "GL2C_PERF_SEL_COMPRESSED_READ_REQ", "value": 14},
1730 {"name": "GL2C_PERF_SEL_METADATA_READ_REQ", "value": 15},
1731 {"name": "GL2C_PERF_SEL_CLIENT0_REQ", "value": 16},
1732 {"name": "GL2C_PERF_SEL_CLIENT1_REQ", "value": 17},
1733 {"name": "GL2C_PERF_SEL_CLIENT2_REQ", "value": 18},
1734 {"name": "GL2C_PERF_SEL_CLIENT3_REQ", "value": 19},
1735 {"name": "GL2C_PERF_SEL_CLIENT4_REQ", "value": 20},
1736 {"name": "GL2C_PERF_SEL_CLIENT5_REQ", "value": 21},
1737 {"name": "GL2C_PERF_SEL_CLIENT6_REQ", "value": 22},
1738 {"name": "GL2C_PERF_SEL_CLIENT7_REQ", "value": 23},
1739 {"name": "GL2C_PERF_SEL_C_RW_S_REQ", "value": 24},
1740 {"name": "GL2C_PERF_SEL_C_RW_US_REQ", "value": 25},
1741 {"name": "GL2C_PERF_SEL_C_RO_S_REQ", "value": 26},
1742 {"name": "GL2C_PERF_SEL_C_RO_US_REQ", "value": 27},
1743 {"name": "GL2C_PERF_SEL_UC_REQ", "value": 28},
1744 {"name": "GL2C_PERF_SEL_LRU_REQ", "value": 29},
1745 {"name": "GL2C_PERF_SEL_STREAM_REQ", "value": 30},
1746 {"name": "GL2C_PERF_SEL_BYPASS_REQ", "value": 31},
1747 {"name": "GL2C_PERF_SEL_NOA_REQ", "value": 32},
1748 {"name": "GL2C_PERF_SEL_SHARED_REQ", "value": 33},
1749 {"name": "GL2C_PERF_SEL_HIT", "value": 34},
1750 {"name": "GL2C_PERF_SEL_MISS", "value": 35},
1751 {"name": "GL2C_PERF_SEL_FULL_HIT", "value": 36},
1752 {"name": "GL2C_PERF_SEL_PARTIAL_32B_HIT", "value": 37},
1753 {"name": "GL2C_PERF_SEL_PARTIAL_64B_HIT", "value": 38},
1754 {"name": "GL2C_PERF_SEL_PARTIAL_96B_HIT", "value": 39},
1755 {"name": "GL2C_PERF_SEL_DEWRITE_ALLOCATE_HIT", "value": 40},
1756 {"name": "GL2C_PERF_SEL_FULLY_WRITTEN_HIT", "value": 41},
1757 {"name": "GL2C_PERF_SEL_UNCACHED_WRITE", "value": 42},
1758 {"name": "GL2C_PERF_SEL_WRITEBACK", "value": 43},
1759 {"name": "GL2C_PERF_SEL_NORMAL_WRITEBACK", "value": 44},
1760 {"name": "GL2C_PERF_SEL_EVICT", "value": 45},
1761 {"name": "GL2C_PERF_SEL_NORMAL_EVICT", "value": 46},
1762 {"name": "GL2C_PERF_SEL_PROBE_EVICT", "value": 47},
1763 {"name": "GL2C_PERF_SEL_REQ_TO_MISS_QUEUE", "value": 48},
1764 {"name": "GL2C_PERF_SEL_HIT_PASS_MISS_IN_HI_PRIO", "value": 49},
1765 {"name": "GL2C_PERF_SEL_HIT_PASS_MISS_IN_COMP", "value": 50},
1766 {"name": "GL2C_PERF_SEL_HIT_PASS_MISS_IN_CLIENT0", "value": 51},
1767 {"name": "GL2C_PERF_SEL_HIT_PASS_MISS_IN_CLIENT1", "value": 52},
1768 {"name": "GL2C_PERF_SEL_HIT_PASS_MISS_IN_CLIENT2", "value": 53},
1769 {"name": "GL2C_PERF_SEL_HIT_PASS_MISS_IN_CLIENT3", "value": 54},
1770 {"name": "GL2C_PERF_SEL_HIT_PASS_MISS_IN_CLIENT4", "value": 55},
1771 {"name": "GL2C_PERF_SEL_HIT_PASS_MISS_IN_CLIENT5", "value": 56},
1772 {"name": "GL2C_PERF_SEL_HIT_PASS_MISS_IN_CLIENT6", "value": 57},
1773 {"name": "GL2C_PERF_SEL_HIT_PASS_MISS_IN_CLIENT7", "value": 58},
1774 {"name": "GL2C_PERF_SEL_READ_32_REQ", "value": 59},
1775 {"name": "GL2C_PERF_SEL_READ_64_REQ", "value": 60},
1776 {"name": "GL2C_PERF_SEL_READ_128_REQ", "value": 61},
1777 {"name": "GL2C_PERF_SEL_WRITE_32_REQ", "value": 62},
1778 {"name": "GL2C_PERF_SEL_WRITE_64_REQ", "value": 63},
1779 {"name": "GL2C_PERF_SEL_COMPRESSED_READ_0_REQ", "value": 64},
1780 {"name": "GL2C_PERF_SEL_COMPRESSED_READ_32_REQ", "value": 65},
1781 {"name": "GL2C_PERF_SEL_COMPRESSED_READ_64_REQ", "value": 66},
1782 {"name": "GL2C_PERF_SEL_COMPRESSED_READ_96_REQ", "value": 67},
1783 {"name": "GL2C_PERF_SEL_COMPRESSED_READ_128_REQ", "value": 68},
1784 {"name": "GL2C_PERF_SEL_MC_WRREQ", "value": 69},
1785 {"name": "GL2C_PERF_SEL_EA_WRREQ_64B", "value": 70},
1786 {"name": "GL2C_PERF_SEL_EA_WRREQ_PROBE_COMMAND", "value": 71},
1787 {"name": "GL2C_PERF_SEL_EA_WR_UNCACHED_32B", "value": 72},
1788 {"name": "GL2C_PERF_SEL_MC_WRREQ_STALL", "value": 73},
1789 {"name": "GL2C_PERF_SEL_EA_WRREQ_IO_CREDIT_STALL", "value": 74},
1790 {"name": "GL2C_PERF_SEL_EA_WRREQ_GMI_CREDIT_STALL", "value": 75},
1791 {"name": "GL2C_PERF_SEL_EA_WRREQ_DRAM_CREDIT_STALL", "value": 76},
1792 {"name": "GL2C_PERF_SEL_TOO_MANY_EA_WRREQS_STALL", "value": 77},
1793 {"name": "GL2C_PERF_SEL_MC_WRREQ_LEVEL", "value": 78},
1794 {"name": "GL2C_PERF_SEL_EA_ATOMIC", "value": 79},
1795 {"name": "GL2C_PERF_SEL_EA_ATOMIC_LEVEL", "value": 80},
1796 {"name": "GL2C_PERF_SEL_MC_RDREQ", "value": 81},
1797 {"name": "GL2C_PERF_SEL_EA_RDREQ_SPLIT", "value": 82},
1798 {"name": "GL2C_PERF_SEL_EA_RDREQ_32B", "value": 83},
1799 {"name": "GL2C_PERF_SEL_EA_RDREQ_64B", "value": 84},
1800 {"name": "GL2C_PERF_SEL_EA_RDREQ_96B", "value": 85},
1801 {"name": "GL2C_PERF_SEL_EA_RDREQ_128B", "value": 86},
1802 {"name": "GL2C_PERF_SEL_EA_RD_UNCACHED_32B", "value": 87},
1803 {"name": "GL2C_PERF_SEL_EA_RD_MDC_32B", "value": 88},
1804 {"name": "GL2C_PERF_SEL_EA_RD_COMPRESSED_32B", "value": 89},
1805 {"name": "GL2C_PERF_SEL_EA_RDREQ_IO_CREDIT_STALL", "value": 90},
1806 {"name": "GL2C_PERF_SEL_EA_RDREQ_GMI_CREDIT_STALL", "value": 91},
1807 {"name": "GL2C_PERF_SEL_EA_RDREQ_DRAM_CREDIT_STALL", "value": 92},
1808 {"name": "GL2C_PERF_SEL_MC_RDREQ_LEVEL", "value": 93},
1809 {"name": "GL2C_PERF_SEL_EA_RDREQ_DRAM", "value": 94},
1810 {"name": "GL2C_PERF_SEL_EA_WRREQ_DRAM", "value": 95},
1811 {"name": "GL2C_PERF_SEL_EA_RDREQ_DRAM_32B", "value": 96},
1812 {"name": "GL2C_PERF_SEL_EA_WRREQ_DRAM_32B", "value": 97},
1813 {"name": "GL2C_PERF_SEL_ONION_READ", "value": 98},
1814 {"name": "GL2C_PERF_SEL_ONION_WRITE", "value": 99},
1815 {"name": "GL2C_PERF_SEL_IO_READ", "value": 100},
1816 {"name": "GL2C_PERF_SEL_IO_WRITE", "value": 101},
1817 {"name": "GL2C_PERF_SEL_GARLIC_READ", "value": 102},
1818 {"name": "GL2C_PERF_SEL_GARLIC_WRITE", "value": 103},
1819 {"name": "GL2C_PERF_SEL_LATENCY_FIFO_FULL", "value": 104},
1820 {"name": "GL2C_PERF_SEL_SRC_FIFO_FULL", "value": 105},
1821 {"name": "GL2C_PERF_SEL_TAG_STALL", "value": 106},
1822 {"name": "GL2C_PERF_SEL_TAG_WRITEBACK_FIFO_FULL_STALL", "value": 107},
1823 {"name": "GL2C_PERF_SEL_TAG_MISS_NOTHING_REPLACEABLE_STALL", "value": 108},
1824 {"name": "GL2C_PERF_SEL_TAG_UNCACHED_WRITE_ATOMIC_FIFO_FULL_STALL", "value": 109},
1825 {"name": "GL2C_PERF_SEL_TAG_NO_UNCACHED_WRITE_ATOMIC_ENTRIES_STALL", "value": 110},
1826 {"name": "GL2C_PERF_SEL_TAG_PROBE_STALL", "value": 111},
1827 {"name": "GL2C_PERF_SEL_TAG_PROBE_FILTER_STALL", "value": 112},
1828 {"name": "GL2C_PERF_SEL_TAG_PROBE_FIFO_FULL_STALL", "value": 113},
1829 {"name": "GL2C_PERF_SEL_TAG_READ_DST_STALL", "value": 114},
1830 {"name": "GL2C_PERF_SEL_READ_RETURN_TIMEOUT", "value": 115},
1831 {"name": "GL2C_PERF_SEL_WRITEBACK_READ_TIMEOUT", "value": 116},
1832 {"name": "GL2C_PERF_SEL_READ_RETURN_FULL_BUBBLE", "value": 117},
1833 {"name": "GL2C_PERF_SEL_BUBBLE", "value": 118},
1834 {"name": "GL2C_PERF_SEL_IB_REQ", "value": 119},
1835 {"name": "GL2C_PERF_SEL_IB_STALL", "value": 120},
1836 {"name": "GL2C_PERF_SEL_IB_TAG_STALL", "value": 121},
1837 {"name": "GL2C_PERF_SEL_IB_CM_STALL", "value": 122},
1838 {"name": "GL2C_PERF_SEL_RETURN_ACK", "value": 123},
1839 {"name": "GL2C_PERF_SEL_RETURN_DATA", "value": 124},
1840 {"name": "GL2C_PERF_SEL_EA_RDRET_NACK", "value": 125},
1841 {"name": "GL2C_PERF_SEL_EA_WRRET_NACK", "value": 126},
1842 {"name": "GL2C_PERF_SEL_GL2A_LEVEL", "value": 127},
1843 {"name": "GL2C_PERF_SEL_PROBE_FILTER_DISABLE_TRANSITION", "value": 128},
1844 {"name": "GL2C_PERF_SEL_PROBE_FILTER_DISABLED", "value": 129},
1845 {"name": "GL2C_PERF_SEL_ALL_TC_OP_WB_OR_INV_START", "value": 130},
1846 {"name": "GL2C_PERF_SEL_ALL_TC_OP_WB_OR_INV_VOL_START", "value": 131},
1847 {"name": "GL2C_PERF_SEL_GCR_INV", "value": 132},
1848 {"name": "GL2C_PERF_SEL_GCR_WB", "value": 133},
1849 {"name": "GL2C_PERF_SEL_GCR_DISCARD", "value": 134},
1850 {"name": "GL2C_PERF_SEL_GCR_RANGE", "value": 135},
1851 {"name": "GL2C_PERF_SEL_GCR_ALL", "value": 136},
1852 {"name": "GL2C_PERF_SEL_GCR_VOL", "value": 137},
1853 {"name": "GL2C_PERF_SEL_GCR_UNSHARED", "value": 138},
1854 {"name": "GL2C_PERF_SEL_GCR_MDC_INV", "value": 139},
1855 {"name": "GL2C_PERF_SEL_GCR_GL2_INV_ALL", "value": 140},
1856 {"name": "GL2C_PERF_SEL_GCR_GL2_WB_ALL", "value": 141},
1857 {"name": "GL2C_PERF_SEL_GCR_MDC_INV_ALL", "value": 142},
1858 {"name": "GL2C_PERF_SEL_GCR_GL2_INV_RANGE", "value": 143},
1859 {"name": "GL2C_PERF_SEL_GCR_GL2_WB_RANGE", "value": 144},
1860 {"name": "GL2C_PERF_SEL_GCR_GL2_WB_INV_RANGE", "value": 145},
1861 {"name": "GL2C_PERF_SEL_GCR_MDC_INV_RANGE", "value": 146},
1862 {"name": "GL2C_PERF_SEL_ALL_GCR_INV_EVICT", "value": 147},
1863 {"name": "GL2C_PERF_SEL_ALL_GCR_INV_VOL_EVICT", "value": 148},
1864 {"name": "GL2C_PERF_SEL_ALL_GCR_WB_OR_INV_CYCLE", "value": 149},
1865 {"name": "GL2C_PERF_SEL_ALL_GCR_WB_OR_INV_VOL_CYCLE", "value": 150},
1866 {"name": "GL2C_PERF_SEL_ALL_GCR_WB_WRITEBACK", "value": 151},
1867 {"name": "GL2C_PERF_SEL_GCR_INVL2_VOL_CYCLE", "value": 152},
1868 {"name": "GL2C_PERF_SEL_GCR_INVL2_VOL_EVICT", "value": 153},
1869 {"name": "GL2C_PERF_SEL_GCR_INVL2_VOL_START", "value": 154},
1870 {"name": "GL2C_PERF_SEL_GCR_WBL2_VOL_CYCLE", "value": 155},
1871 {"name": "GL2C_PERF_SEL_GCR_WBL2_VOL_EVICT", "value": 156},
1872 {"name": "GL2C_PERF_SEL_GCR_WBL2_VOL_START", "value": 157},
1873 {"name": "GL2C_PERF_SEL_GCR_WBINVL2_CYCLE", "value": 158},
1874 {"name": "GL2C_PERF_SEL_GCR_WBINVL2_EVICT", "value": 159},
1875 {"name": "GL2C_PERF_SEL_GCR_WBINVL2_START", "value": 160},
1876 {"name": "GL2C_PERF_SEL_MDC_INV_METADATA", "value": 161},
1877 {"name": "GL2C_PERF_SEL_MDC_REQ", "value": 162},
1878 {"name": "GL2C_PERF_SEL_MDC_LEVEL", "value": 163},
1879 {"name": "GL2C_PERF_SEL_MDC_TAG_HIT", "value": 164},
1880 {"name": "GL2C_PERF_SEL_MDC_SECTOR_HIT", "value": 165},
1881 {"name": "GL2C_PERF_SEL_MDC_SECTOR_MISS", "value": 166},
1882 {"name": "GL2C_PERF_SEL_MDC_TAG_STALL", "value": 167},
1883 {"name": "GL2C_PERF_SEL_MDC_TAG_REPLACEMENT_LINE_IN_USE_STALL", "value": 168},
1884 {"name": "GL2C_PERF_SEL_MDC_TAG_DESECTORIZATION_FIFO_FULL_STALL", "value": 169},
1885 {"name": "GL2C_PERF_SEL_MDC_TAG_WAITING_FOR_INVALIDATE_COMPLETION_STALL", "value": 170},
1886 {"name": "GL2C_PERF_SEL_CM_CHANNEL0_REQ", "value": 171},
1887 {"name": "GL2C_PERF_SEL_CM_CHANNEL1_REQ", "value": 172},
1888 {"name": "GL2C_PERF_SEL_CM_CHANNEL2_REQ", "value": 173},
1889 {"name": "GL2C_PERF_SEL_CM_CHANNEL3_REQ", "value": 174},
1890 {"name": "GL2C_PERF_SEL_CM_CHANNEL4_REQ", "value": 175},
1891 {"name": "GL2C_PERF_SEL_CM_CHANNEL5_REQ", "value": 176},
1892 {"name": "GL2C_PERF_SEL_CM_CHANNEL6_REQ", "value": 177},
1893 {"name": "GL2C_PERF_SEL_CM_CHANNEL7_REQ", "value": 178},
1894 {"name": "GL2C_PERF_SEL_CM_CHANNEL8_REQ", "value": 179},
1895 {"name": "GL2C_PERF_SEL_CM_CHANNEL9_REQ", "value": 180},
1896 {"name": "GL2C_PERF_SEL_CM_CHANNEL10_REQ", "value": 181},
1897 {"name": "GL2C_PERF_SEL_CM_CHANNEL11_REQ", "value": 182},
1898 {"name": "GL2C_PERF_SEL_CM_CHANNEL12_REQ", "value": 183},
1899 {"name": "GL2C_PERF_SEL_CM_CHANNEL13_REQ", "value": 184},
1900 {"name": "GL2C_PERF_SEL_CM_CHANNEL14_REQ", "value": 185},
1901 {"name": "GL2C_PERF_SEL_CM_CHANNEL15_REQ", "value": 186},
1902 {"name": "GL2C_PERF_SEL_CM_CHANNEL16_REQ", "value": 187},
1903 {"name": "GL2C_PERF_SEL_CM_CHANNEL17_REQ", "value": 188},
1904 {"name": "GL2C_PERF_SEL_CM_CHANNEL18_REQ", "value": 189},
1905 {"name": "GL2C_PERF_SEL_CM_CHANNEL19_REQ", "value": 190},
1906 {"name": "GL2C_PERF_SEL_CM_CHANNEL20_REQ", "value": 191},
1907 {"name": "GL2C_PERF_SEL_CM_CHANNEL21_REQ", "value": 192},
1908 {"name": "GL2C_PERF_SEL_CM_CHANNEL22_REQ", "value": 193},
1909 {"name": "GL2C_PERF_SEL_CM_CHANNEL23_REQ", "value": 194},
1910 {"name": "GL2C_PERF_SEL_CM_CHANNEL24_REQ", "value": 195},
1911 {"name": "GL2C_PERF_SEL_CM_CHANNEL25_REQ", "value": 196},
1912 {"name": "GL2C_PERF_SEL_CM_CHANNEL26_REQ", "value": 197},
1913 {"name": "GL2C_PERF_SEL_CM_CHANNEL27_REQ", "value": 198},
1914 {"name": "GL2C_PERF_SEL_CM_CHANNEL28_REQ", "value": 199},
1915 {"name": "GL2C_PERF_SEL_CM_CHANNEL29_REQ", "value": 200},
1916 {"name": "GL2C_PERF_SEL_CM_CHANNEL30_REQ", "value": 201},
1917 {"name": "GL2C_PERF_SEL_CM_CHANNEL31_REQ", "value": 202},
1918 {"name": "GL2C_PERF_SEL_CM_COMP_ATOMIC_COLOR_REQ", "value": 203},
1919 {"name": "GL2C_PERF_SEL_CM_COMP_ATOMIC_DEPTH16_REQ", "value": 204},
1920 {"name": "GL2C_PERF_SEL_CM_COMP_ATOMIC_DEPTH32_REQ", "value": 205},
1921 {"name": "GL2C_PERF_SEL_CM_COMP_WRITE_COLOR_REQ", "value": 206},
1922 {"name": "GL2C_PERF_SEL_CM_COMP_WRITE_DEPTH16_REQ", "value": 207},
1923 {"name": "GL2C_PERF_SEL_CM_COMP_WRITE_DEPTH32_REQ", "value": 208},
1924 {"name": "GL2C_PERF_SEL_CM_COMP_WRITE_STENCIL_REQ", "value": 209},
1925 {"name": "GL2C_PERF_SEL_CM_COMP_READ_REQ", "value": 210},
1926 {"name": "GL2C_PERF_SEL_CM_READ_BACK_REQ", "value": 211},
1927 {"name": "GL2C_PERF_SEL_CM_METADATA_WR_REQ", "value": 212},
1928 {"name": "GL2C_PERF_SEL_CM_WR_ACK_REQ", "value": 213},
1929 {"name": "GL2C_PERF_SEL_CM_NO_ACK_REQ", "value": 214},
1930 {"name": "GL2C_PERF_SEL_CM_NOOP_REQ", "value": 215},
1931 {"name": "GL2C_PERF_SEL_CM_COMP_COLOR_EN_REQ", "value": 216},
1932 {"name": "GL2C_PERF_SEL_CM_COMP_COLOR_DIS_REQ", "value": 217},
1933 {"name": "GL2C_PERF_SEL_CM_COMP_STENCIL_REQ", "value": 218},
1934 {"name": "GL2C_PERF_SEL_CM_COMP_DEPTH16_REQ", "value": 219},
1935 {"name": "GL2C_PERF_SEL_CM_COMP_DEPTH32_REQ", "value": 220},
1936 {"name": "GL2C_PERF_SEL_CM_COLOR_32B_WR_REQ", "value": 221},
1937 {"name": "GL2C_PERF_SEL_CM_COLOR_64B_WR_REQ", "value": 222},
1938 {"name": "GL2C_PERF_SEL_CM_FULL_WRITE_REQ", "value": 223},
1939 {"name": "GL2C_PERF_SEL_CM_RVF_FULL", "value": 224},
1940 {"name": "GL2C_PERF_SEL_CM_SDR_FULL", "value": 225},
1941 {"name": "GL2C_PERF_SEL_CM_MERGE_BUF_FULL", "value": 226},
1942 {"name": "GL2C_PERF_SEL_CM_DCC_STALL", "value": 227}
1943 ]
1944 },
1945 "GRBM_PERF_SEL": {
1946 "entries": [
1947 {"name": "GRBM_PERF_SEL_COUNT", "value": 0},
1948 {"name": "GRBM_PERF_SEL_USER_DEFINED", "value": 1},
1949 {"name": "GRBM_PERF_SEL_GUI_ACTIVE", "value": 2},
1950 {"name": "GRBM_PERF_SEL_CP_BUSY", "value": 3},
1951 {"name": "GRBM_PERF_SEL_CP_COHER_BUSY", "value": 4},
1952 {"name": "GRBM_PERF_SEL_CP_DMA_BUSY", "value": 5},
1953 {"name": "GRBM_PERF_SEL_CB_BUSY", "value": 6},
1954 {"name": "GRBM_PERF_SEL_DB_BUSY", "value": 7},
1955 {"name": "GRBM_PERF_SEL_PA_BUSY", "value": 8},
1956 {"name": "GRBM_PERF_SEL_SC_BUSY", "value": 9},
1957 {"name": "GRBM_PERF_SEL_RESERVED_6", "value": 10},
1958 {"name": "GRBM_PERF_SEL_SPI_BUSY", "value": 11},
1959 {"name": "GRBM_PERF_SEL_SX_BUSY", "value": 12},
1960 {"name": "GRBM_PERF_SEL_TA_BUSY", "value": 13},
1961 {"name": "GRBM_PERF_SEL_CB_CLEAN", "value": 14},
1962 {"name": "GRBM_PERF_SEL_DB_CLEAN", "value": 15},
1963 {"name": "GRBM_PERF_SEL_RESERVED_5", "value": 16},
1964 {"name": "GRBM_PERF_SEL_RESERVED_9", "value": 17},
1965 {"name": "GRBM_PERF_SEL_RESERVED_4", "value": 18},
1966 {"name": "GRBM_PERF_SEL_RESERVED_3", "value": 19},
1967 {"name": "GRBM_PERF_SEL_RESERVED_2", "value": 20},
1968 {"name": "GRBM_PERF_SEL_RESERVED_1", "value": 21},
1969 {"name": "GRBM_PERF_SEL_RESERVED_0", "value": 22},
1970 {"name": "GRBM_PERF_SEL_RESERVED_8", "value": 23},
1971 {"name": "GRBM_PERF_SEL_RESERVED_7", "value": 24},
1972 {"name": "GRBM_PERF_SEL_GDS_BUSY", "value": 25},
1973 {"name": "GRBM_PERF_SEL_BCI_BUSY", "value": 26},
1974 {"name": "GRBM_PERF_SEL_RLC_BUSY", "value": 27},
1975 {"name": "GRBM_PERF_SEL_TCP_BUSY", "value": 28},
1976 {"name": "GRBM_PERF_SEL_CPG_BUSY", "value": 29},
1977 {"name": "GRBM_PERF_SEL_CPC_BUSY", "value": 30},
1978 {"name": "GRBM_PERF_SEL_CPF_BUSY", "value": 31},
1979 {"name": "GRBM_PERF_SEL_GE_BUSY", "value": 32},
1980 {"name": "GRBM_PERF_SEL_GE_NO_DMA_BUSY", "value": 33},
1981 {"name": "GRBM_PERF_SEL_UTCL2_BUSY", "value": 34},
1982 {"name": "GRBM_PERF_SEL_EA_BUSY", "value": 35},
1983 {"name": "GRBM_PERF_SEL_RMI_BUSY", "value": 36},
1984 {"name": "GRBM_PERF_SEL_CPAXI_BUSY", "value": 37},
1985 {"name": "GRBM_PERF_SEL_UTCL1_BUSY", "value": 39},
1986 {"name": "GRBM_PERF_SEL_GL2CC_BUSY", "value": 40},
1987 {"name": "GRBM_PERF_SEL_SDMA_BUSY", "value": 41},
1988 {"name": "GRBM_PERF_SEL_CH_BUSY", "value": 42},
1989 {"name": "GRBM_PERF_SEL_PH_BUSY", "value": 43},
1990 {"name": "GRBM_PERF_SEL_PMM_BUSY", "value": 44},
1991 {"name": "GRBM_PERF_SEL_GUS_BUSY", "value": 45},
1992 {"name": "GRBM_PERF_SEL_GL1CC_BUSY", "value": 46}
1993 ]
1994 },
1995 "GRBM_SE0_PERF_SEL": {
1996 "entries": [
1997 {"name": "GRBM_SE0_PERF_SEL_COUNT", "value": 0},
1998 {"name": "GRBM_SE0_PERF_SEL_USER_DEFINED", "value": 1},
1999 {"name": "GRBM_SE0_PERF_SEL_CB_BUSY", "value": 2},
2000 {"name": "GRBM_SE0_PERF_SEL_DB_BUSY", "value": 3},
2001 {"name": "GRBM_SE0_PERF_SEL_SC_BUSY", "value": 4},
2002 {"name": "GRBM_SE0_PERF_SEL_RESERVED_1", "value": 5},
2003 {"name": "GRBM_SE0_PERF_SEL_SPI_BUSY", "value": 6},
2004 {"name": "GRBM_SE0_PERF_SEL_SX_BUSY", "value": 7},
2005 {"name": "GRBM_SE0_PERF_SEL_TA_BUSY", "value": 8},
2006 {"name": "GRBM_SE0_PERF_SEL_CB_CLEAN", "value": 9},
2007 {"name": "GRBM_SE0_PERF_SEL_DB_CLEAN", "value": 10},
2008 {"name": "GRBM_SE0_PERF_SEL_RESERVED_0", "value": 11},
2009 {"name": "GRBM_SE0_PERF_SEL_PA_BUSY", "value": 12},
2010 {"name": "GRBM_SE0_PERF_SEL_RESERVED_2", "value": 13},
2011 {"name": "GRBM_SE0_PERF_SEL_BCI_BUSY", "value": 14},
2012 {"name": "GRBM_SE0_PERF_SEL_RMI_BUSY", "value": 15},
2013 {"name": "GRBM_SE0_PERF_SEL_UTCL1_BUSY", "value": 16},
2014 {"name": "GRBM_SE0_PERF_SEL_TCP_BUSY", "value": 17},
2015 {"name": "GRBM_SE0_PERF_SEL_GL1CC_BUSY", "value": 18}
2016 ]
2017 },
2018 "GRBM_SE1_PERF_SEL": {
2019 "entries": [
2020 {"name": "GRBM_SE1_PERF_SEL_COUNT", "value": 0},
2021 {"name": "GRBM_SE1_PERF_SEL_USER_DEFINED", "value": 1},
2022 {"name": "GRBM_SE1_PERF_SEL_CB_BUSY", "value": 2},
2023 {"name": "GRBM_SE1_PERF_SEL_DB_BUSY", "value": 3},
2024 {"name": "GRBM_SE1_PERF_SEL_SC_BUSY", "value": 4},
2025 {"name": "GRBM_SE1_PERF_SEL_RESERVED_1", "value": 5},
2026 {"name": "GRBM_SE1_PERF_SEL_SPI_BUSY", "value": 6},
2027 {"name": "GRBM_SE1_PERF_SEL_SX_BUSY", "value": 7},
2028 {"name": "GRBM_SE1_PERF_SEL_TA_BUSY", "value": 8},
2029 {"name": "GRBM_SE1_PERF_SEL_CB_CLEAN", "value": 9},
2030 {"name": "GRBM_SE1_PERF_SEL_DB_CLEAN", "value": 10},
2031 {"name": "GRBM_SE1_PERF_SEL_RESERVED_0", "value": 11},
2032 {"name": "GRBM_SE1_PERF_SEL_PA_BUSY", "value": 12},
2033 {"name": "GRBM_SE1_PERF_SEL_RESERVED_2", "value": 13},
2034 {"name": "GRBM_SE1_PERF_SEL_BCI_BUSY", "value": 14},
2035 {"name": "GRBM_SE1_PERF_SEL_RMI_BUSY", "value": 15},
2036 {"name": "GRBM_SE1_PERF_SEL_UTCL1_BUSY", "value": 16},
2037 {"name": "GRBM_SE1_PERF_SEL_TCP_BUSY", "value": 17},
2038 {"name": "GRBM_SE1_PERF_SEL_GL1CC_BUSY", "value": 18}
2039 ]
2040 },
2041 "GRBM_SE2_PERF_SEL": {
2042 "entries": [
2043 {"name": "GRBM_SE2_PERF_SEL_COUNT", "value": 0},
2044 {"name": "GRBM_SE2_PERF_SEL_USER_DEFINED", "value": 1},
2045 {"name": "GRBM_SE2_PERF_SEL_CB_BUSY", "value": 2},
2046 {"name": "GRBM_SE2_PERF_SEL_DB_BUSY", "value": 3},
2047 {"name": "GRBM_SE2_PERF_SEL_SC_BUSY", "value": 4},
2048 {"name": "GRBM_SE2_PERF_SEL_RESERVED_1", "value": 5},
2049 {"name": "GRBM_SE2_PERF_SEL_SPI_BUSY", "value": 6},
2050 {"name": "GRBM_SE2_PERF_SEL_SX_BUSY", "value": 7},
2051 {"name": "GRBM_SE2_PERF_SEL_TA_BUSY", "value": 8},
2052 {"name": "GRBM_SE2_PERF_SEL_CB_CLEAN", "value": 9},
2053 {"name": "GRBM_SE2_PERF_SEL_DB_CLEAN", "value": 10},
2054 {"name": "GRBM_SE2_PERF_SEL_RESERVED_0", "value": 11},
2055 {"name": "GRBM_SE2_PERF_SEL_PA_BUSY", "value": 12},
2056 {"name": "GRBM_SE2_PERF_SEL_RESERVED_2", "value": 13},
2057 {"name": "GRBM_SE2_PERF_SEL_BCI_BUSY", "value": 14},
2058 {"name": "GRBM_SE2_PERF_SEL_RMI_BUSY", "value": 15},
2059 {"name": "GRBM_SE2_PERF_SEL_UTCL1_BUSY", "value": 16},
2060 {"name": "GRBM_SE2_PERF_SEL_TCP_BUSY", "value": 17},
2061 {"name": "GRBM_SE2_PERF_SEL_GL1CC_BUSY", "value": 18}
2062 ]
2063 },
2064 "GRBM_SE3_PERF_SEL": {
2065 "entries": [
2066 {"name": "GRBM_SE3_PERF_SEL_COUNT", "value": 0},
2067 {"name": "GRBM_SE3_PERF_SEL_USER_DEFINED", "value": 1},
2068 {"name": "GRBM_SE3_PERF_SEL_CB_BUSY", "value": 2},
2069 {"name": "GRBM_SE3_PERF_SEL_DB_BUSY", "value": 3},
2070 {"name": "GRBM_SE3_PERF_SEL_SC_BUSY", "value": 4},
2071 {"name": "GRBM_SE3_PERF_SEL_RESERVED_1", "value": 5},
2072 {"name": "GRBM_SE3_PERF_SEL_SPI_BUSY", "value": 6},
2073 {"name": "GRBM_SE3_PERF_SEL_SX_BUSY", "value": 7},
2074 {"name": "GRBM_SE3_PERF_SEL_TA_BUSY", "value": 8},
2075 {"name": "GRBM_SE3_PERF_SEL_CB_CLEAN", "value": 9},
2076 {"name": "GRBM_SE3_PERF_SEL_DB_CLEAN", "value": 10},
2077 {"name": "GRBM_SE3_PERF_SEL_RESERVED_0", "value": 11},
2078 {"name": "GRBM_SE3_PERF_SEL_PA_BUSY", "value": 12},
2079 {"name": "GRBM_SE3_PERF_SEL_RESERVED_2", "value": 13},
2080 {"name": "GRBM_SE3_PERF_SEL_BCI_BUSY", "value": 14},
2081 {"name": "GRBM_SE3_PERF_SEL_RMI_BUSY", "value": 15},
2082 {"name": "GRBM_SE3_PERF_SEL_UTCL1_BUSY", "value": 16},
2083 {"name": "GRBM_SE3_PERF_SEL_TCP_BUSY", "value": 17},
2084 {"name": "GRBM_SE3_PERF_SEL_GL1CC_BUSY", "value": 18}
2085 ]
2086 },
2087 "PH_PERFCNT_SEL": {
2088 "entries": [
2089 {"name": "PH_SC0_SRPS_WINDOW_VALID", "value": 0},
2090 {"name": "PH_SC0_ARB_XFC_ALL_EVENT_OR_PRIM_CYCLES", "value": 1},
2091 {"name": "PH_SC0_ARB_XFC_ONLY_PRIM_CYCLES", "value": 2},
2092 {"name": "PH_SC0_ARB_XFC_ONLY_ONE_INC_PER_PRIM", "value": 3},
2093 {"name": "PH_SC0_ARB_STALLED_FROM_BELOW", "value": 4},
2094 {"name": "PH_SC0_ARB_STARVED_FROM_ABOVE", "value": 5},
2095 {"name": "PH_SC0_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_NOT_EMPTY", "value": 6},
2096 {"name": "PH_SC0_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_FULL", "value": 7},
2097 {"name": "PH_SC0_ARB_BUSY", "value": 8},
2098 {"name": "PH_SC0_ARB_PA_BUSY_SOP", "value": 9},
2099 {"name": "PH_SC0_ARB_EOP_POP_SYNC_POP", "value": 10},
2100 {"name": "PH_SC0_ARB_EVENT_SYNC_POP", "value": 11},
2101 {"name": "PH_SC0_PS_ENG_MULTICYCLE_BUBBLE", "value": 12},
2102 {"name": "PH_SC0_EOP_SYNC_WINDOW", "value": 13},
2103 {"name": "PH_SC0_BUSY_PROCESSING_MULTICYCLE_PRIM", "value": 14},
2104 {"name": "PH_SC0_BUSY_CNT_NOT_ZERO", "value": 15},
2105 {"name": "PH_SC0_SEND", "value": 16},
2106 {"name": "PH_SC0_CREDIT_AT_ZERO_WITH_PENDING_SEND", "value": 17},
2107 {"name": "PH_SC0_CREDIT_AT_MAX", "value": 18},
2108 {"name": "PH_SC0_CREDIT_AT_MAX_NO_PENDING_SEND", "value": 19},
2109 {"name": "PH_SC0_GFX_PIPE_EVENT_PROVOKED_TRANSITION", "value": 20},
2110 {"name": "PH_SC0_GFX_PIPE_PRIM_PROVOKED_TRANSITION", "value": 21},
2111 {"name": "PH_SC0_GFX_PIPE0_TO_1_TRANSITION", "value": 22},
2112 {"name": "PH_SC0_GFX_PIPE1_TO_0_TRANSITION", "value": 23},
2113 {"name": "PH_SC0_PA0_DATA_FIFO_RD", "value": 24},
2114 {"name": "PH_SC0_PA0_DATA_FIFO_WE", "value": 25},
2115 {"name": "PH_SC0_PA0_FIFO_EMPTY", "value": 26},
2116 {"name": "PH_SC0_PA0_FIFO_FULL", "value": 27},
2117 {"name": "PH_SC0_PA0_NULL_WE", "value": 28},
2118 {"name": "PH_SC0_PA0_EVENT_WE", "value": 29},
2119 {"name": "PH_SC0_PA0_FPOV_WE", "value": 30},
2120 {"name": "PH_SC0_PA0_LPOV_WE", "value": 31},
2121 {"name": "PH_SC0_PA0_EOP_WE", "value": 32},
2122 {"name": "PH_SC0_PA0_DATA_FIFO_EOP_RD", "value": 33},
2123 {"name": "PH_SC0_PA0_EOPG_WE", "value": 34},
2124 {"name": "PH_SC0_PA0_DEALLOC_4_0_RD", "value": 35},
2125 {"name": "PH_SC0_PA1_DATA_FIFO_RD", "value": 36},
2126 {"name": "PH_SC0_PA1_DATA_FIFO_WE", "value": 37},
2127 {"name": "PH_SC0_PA1_FIFO_EMPTY", "value": 38},
2128 {"name": "PH_SC0_PA1_FIFO_FULL", "value": 39},
2129 {"name": "PH_SC0_PA1_NULL_WE", "value": 40},
2130 {"name": "PH_SC0_PA1_EVENT_WE", "value": 41},
2131 {"name": "PH_SC0_PA1_FPOV_WE", "value": 42},
2132 {"name": "PH_SC0_PA1_LPOV_WE", "value": 43},
2133 {"name": "PH_SC0_PA1_EOP_WE", "value": 44},
2134 {"name": "PH_SC0_PA1_DATA_FIFO_EOP_RD", "value": 45},
2135 {"name": "PH_SC0_PA1_EOPG_WE", "value": 46},
2136 {"name": "PH_SC0_PA1_DEALLOC_4_0_RD", "value": 47},
2137 {"name": "PH_SC0_PA2_DATA_FIFO_RD", "value": 48},
2138 {"name": "PH_SC0_PA2_DATA_FIFO_WE", "value": 49},
2139 {"name": "PH_SC0_PA2_FIFO_EMPTY", "value": 50},
2140 {"name": "PH_SC0_PA2_FIFO_FULL", "value": 51},
2141 {"name": "PH_SC0_PA2_NULL_WE", "value": 52},
2142 {"name": "PH_SC0_PA2_EVENT_WE", "value": 53},
2143 {"name": "PH_SC0_PA2_FPOV_WE", "value": 54},
2144 {"name": "PH_SC0_PA2_LPOV_WE", "value": 55},
2145 {"name": "PH_SC0_PA2_EOP_WE", "value": 56},
2146 {"name": "PH_SC0_PA2_DATA_FIFO_EOP_RD", "value": 57},
2147 {"name": "PH_SC0_PA2_EOPG_WE", "value": 58},
2148 {"name": "PH_SC0_PA2_DEALLOC_4_0_RD", "value": 59},
2149 {"name": "PH_SC0_PA3_DATA_FIFO_RD", "value": 60},
2150 {"name": "PH_SC0_PA3_DATA_FIFO_WE", "value": 61},
2151 {"name": "PH_SC0_PA3_FIFO_EMPTY", "value": 62},
2152 {"name": "PH_SC0_PA3_FIFO_FULL", "value": 63},
2153 {"name": "PH_SC0_PA3_NULL_WE", "value": 64},
2154 {"name": "PH_SC0_PA3_EVENT_WE", "value": 65},
2155 {"name": "PH_SC0_PA3_FPOV_WE", "value": 66},
2156 {"name": "PH_SC0_PA3_LPOV_WE", "value": 67},
2157 {"name": "PH_SC0_PA3_EOP_WE", "value": 68},
2158 {"name": "PH_SC0_PA3_DATA_FIFO_EOP_RD", "value": 69},
2159 {"name": "PH_SC0_PA3_EOPG_WE", "value": 70},
2160 {"name": "PH_SC0_PA3_DEALLOC_4_0_RD", "value": 71},
2161 {"name": "PH_SC0_PA4_DATA_FIFO_RD", "value": 72},
2162 {"name": "PH_SC0_PA4_DATA_FIFO_WE", "value": 73},
2163 {"name": "PH_SC0_PA4_FIFO_EMPTY", "value": 74},
2164 {"name": "PH_SC0_PA4_FIFO_FULL", "value": 75},
2165 {"name": "PH_SC0_PA4_NULL_WE", "value": 76},
2166 {"name": "PH_SC0_PA4_EVENT_WE", "value": 77},
2167 {"name": "PH_SC0_PA4_FPOV_WE", "value": 78},
2168 {"name": "PH_SC0_PA4_LPOV_WE", "value": 79},
2169 {"name": "PH_SC0_PA4_EOP_WE", "value": 80},
2170 {"name": "PH_SC0_PA4_DATA_FIFO_EOP_RD", "value": 81},
2171 {"name": "PH_SC0_PA4_EOPG_WE", "value": 82},
2172 {"name": "PH_SC0_PA4_DEALLOC_4_0_RD", "value": 83},
2173 {"name": "PH_SC0_PA5_DATA_FIFO_RD", "value": 84},
2174 {"name": "PH_SC0_PA5_DATA_FIFO_WE", "value": 85},
2175 {"name": "PH_SC0_PA5_FIFO_EMPTY", "value": 86},
2176 {"name": "PH_SC0_PA5_FIFO_FULL", "value": 87},
2177 {"name": "PH_SC0_PA5_NULL_WE", "value": 88},
2178 {"name": "PH_SC0_PA5_EVENT_WE", "value": 89},
2179 {"name": "PH_SC0_PA5_FPOV_WE", "value": 90},
2180 {"name": "PH_SC0_PA5_LPOV_WE", "value": 91},
2181 {"name": "PH_SC0_PA5_EOP_WE", "value": 92},
2182 {"name": "PH_SC0_PA5_DATA_FIFO_EOP_RD", "value": 93},
2183 {"name": "PH_SC0_PA5_EOPG_WE", "value": 94},
2184 {"name": "PH_SC0_PA5_DEALLOC_4_0_RD", "value": 95},
2185 {"name": "PH_SC0_PA6_DATA_FIFO_RD", "value": 96},
2186 {"name": "PH_SC0_PA6_DATA_FIFO_WE", "value": 97},
2187 {"name": "PH_SC0_PA6_FIFO_EMPTY", "value": 98},
2188 {"name": "PH_SC0_PA6_FIFO_FULL", "value": 99},
2189 {"name": "PH_SC0_PA6_NULL_WE", "value": 100},
2190 {"name": "PH_SC0_PA6_EVENT_WE", "value": 101},
2191 {"name": "PH_SC0_PA6_FPOV_WE", "value": 102},
2192 {"name": "PH_SC0_PA6_LPOV_WE", "value": 103},
2193 {"name": "PH_SC0_PA6_EOP_WE", "value": 104},
2194 {"name": "PH_SC0_PA6_DATA_FIFO_EOP_RD", "value": 105},
2195 {"name": "PH_SC0_PA6_EOPG_WE", "value": 106},
2196 {"name": "PH_SC0_PA6_DEALLOC_4_0_RD", "value": 107},
2197 {"name": "PH_SC0_PA7_DATA_FIFO_RD", "value": 108},
2198 {"name": "PH_SC0_PA7_DATA_FIFO_WE", "value": 109},
2199 {"name": "PH_SC0_PA7_FIFO_EMPTY", "value": 110},
2200 {"name": "PH_SC0_PA7_FIFO_FULL", "value": 111},
2201 {"name": "PH_SC0_PA7_NULL_WE", "value": 112},
2202 {"name": "PH_SC0_PA7_EVENT_WE", "value": 113},
2203 {"name": "PH_SC0_PA7_FPOV_WE", "value": 114},
2204 {"name": "PH_SC0_PA7_LPOV_WE", "value": 115},
2205 {"name": "PH_SC0_PA7_EOP_WE", "value": 116},
2206 {"name": "PH_SC0_PA7_DATA_FIFO_EOP_RD", "value": 117},
2207 {"name": "PH_SC0_PA7_EOPG_WE", "value": 118},
2208 {"name": "PH_SC0_PA7_DEALLOC_4_0_RD", "value": 119},
2209 {"name": "PH_SC1_SRPS_WINDOW_VALID", "value": 120},
2210 {"name": "PH_SC1_ARB_XFC_ALL_EVENT_OR_PRIM_CYCLES", "value": 121},
2211 {"name": "PH_SC1_ARB_XFC_ONLY_PRIM_CYCLES", "value": 122},
2212 {"name": "PH_SC1_ARB_XFC_ONLY_ONE_INC_PER_PRIM", "value": 123},
2213 {"name": "PH_SC1_ARB_STALLED_FROM_BELOW", "value": 124},
2214 {"name": "PH_SC1_ARB_STARVED_FROM_ABOVE", "value": 125},
2215 {"name": "PH_SC1_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_NOT_EMPTY", "value": 126},
2216 {"name": "PH_SC1_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_FULL", "value": 127},
2217 {"name": "PH_SC1_ARB_BUSY", "value": 128},
2218 {"name": "PH_SC1_ARB_PA_BUSY_SOP", "value": 129},
2219 {"name": "PH_SC1_ARB_EOP_POP_SYNC_POP", "value": 130},
2220 {"name": "PH_SC1_ARB_EVENT_SYNC_POP", "value": 131},
2221 {"name": "PH_SC1_PS_ENG_MULTICYCLE_BUBBLE", "value": 132},
2222 {"name": "PH_SC1_EOP_SYNC_WINDOW", "value": 133},
2223 {"name": "PH_SC1_BUSY_PROCESSING_MULTICYCLE_PRIM", "value": 134},
2224 {"name": "PH_SC1_BUSY_CNT_NOT_ZERO", "value": 135},
2225 {"name": "PH_SC1_SEND", "value": 136},
2226 {"name": "PH_SC1_CREDIT_AT_ZERO_WITH_PENDING_SEND", "value": 137},
2227 {"name": "PH_SC1_CREDIT_AT_MAX", "value": 138},
2228 {"name": "PH_SC1_CREDIT_AT_MAX_NO_PENDING_SEND", "value": 139},
2229 {"name": "PH_SC1_GFX_PIPE_EVENT_PROVOKED_TRANSITION", "value": 140},
2230 {"name": "PH_SC1_GFX_PIPE_EOP_PRIM_PROVOKED_TRANSITION", "value": 141},
2231 {"name": "PH_SC1_GFX_PIPE0_TO_1_TRANSITION", "value": 142},
2232 {"name": "PH_SC1_GFX_PIPE1_TO_0_TRANSITION", "value": 143},
2233 {"name": "PH_SC1_PA0_DATA_FIFO_RD", "value": 144},
2234 {"name": "PH_SC1_PA0_DATA_FIFO_WE", "value": 145},
2235 {"name": "PH_SC1_PA0_FIFO_EMPTY", "value": 146},
2236 {"name": "PH_SC1_PA0_FIFO_FULL", "value": 147},
2237 {"name": "PH_SC1_PA0_NULL_WE", "value": 148},
2238 {"name": "PH_SC1_PA0_EVENT_WE", "value": 149},
2239 {"name": "PH_SC1_PA0_FPOV_WE", "value": 150},
2240 {"name": "PH_SC1_PA0_LPOV_WE", "value": 151},
2241 {"name": "PH_SC1_PA0_EOP_WE", "value": 152},
2242 {"name": "PH_SC1_PA0_DATA_FIFO_EOP_RD", "value": 153},
2243 {"name": "PH_SC1_PA0_EOPG_WE", "value": 154},
2244 {"name": "PH_SC1_PA0_DEALLOC_4_0_RD", "value": 155},
2245 {"name": "PH_SC1_PA1_DATA_FIFO_RD", "value": 156},
2246 {"name": "PH_SC1_PA1_DATA_FIFO_WE", "value": 157},
2247 {"name": "PH_SC1_PA1_FIFO_EMPTY", "value": 158},
2248 {"name": "PH_SC1_PA1_FIFO_FULL", "value": 159},
2249 {"name": "PH_SC1_PA1_NULL_WE", "value": 160},
2250 {"name": "PH_SC1_PA1_EVENT_WE", "value": 161},
2251 {"name": "PH_SC1_PA1_FPOV_WE", "value": 162},
2252 {"name": "PH_SC1_PA1_LPOV_WE", "value": 163},
2253 {"name": "PH_SC1_PA1_EOP_WE", "value": 164},
2254 {"name": "PH_SC1_PA1_DATA_FIFO_EOP_RD", "value": 165},
2255 {"name": "PH_SC1_PA1_EOPG_WE", "value": 166},
2256 {"name": "PH_SC1_PA1_DEALLOC_4_0_RD", "value": 167},
2257 {"name": "PH_SC1_PA2_DATA_FIFO_RD", "value": 168},
2258 {"name": "PH_SC1_PA2_DATA_FIFO_WE", "value": 169},
2259 {"name": "PH_SC1_PA2_FIFO_EMPTY", "value": 170},
2260 {"name": "PH_SC1_PA2_FIFO_FULL", "value": 171},
2261 {"name": "PH_SC1_PA2_NULL_WE", "value": 172},
2262 {"name": "PH_SC1_PA2_EVENT_WE", "value": 173},
2263 {"name": "PH_SC1_PA2_FPOV_WE", "value": 174},
2264 {"name": "PH_SC1_PA2_LPOV_WE", "value": 175},
2265 {"name": "PH_SC1_PA2_EOP_WE", "value": 176},
2266 {"name": "PH_SC1_PA2_DATA_FIFO_EOP_RD", "value": 177},
2267 {"name": "PH_SC1_PA2_EOPG_WE", "value": 178},
2268 {"name": "PH_SC1_PA2_DEALLOC_4_0_RD", "value": 179},
2269 {"name": "PH_SC1_PA3_DATA_FIFO_RD", "value": 180},
2270 {"name": "PH_SC1_PA3_DATA_FIFO_WE", "value": 181},
2271 {"name": "PH_SC1_PA3_FIFO_EMPTY", "value": 182},
2272 {"name": "PH_SC1_PA3_FIFO_FULL", "value": 183},
2273 {"name": "PH_SC1_PA3_NULL_WE", "value": 184},
2274 {"name": "PH_SC1_PA3_EVENT_WE", "value": 185},
2275 {"name": "PH_SC1_PA3_FPOV_WE", "value": 186},
2276 {"name": "PH_SC1_PA3_LPOV_WE", "value": 187},
2277 {"name": "PH_SC1_PA3_EOP_WE", "value": 188},
2278 {"name": "PH_SC1_PA3_DATA_FIFO_EOP_RD", "value": 189},
2279 {"name": "PH_SC1_PA3_EOPG_WE", "value": 190},
2280 {"name": "PH_SC1_PA3_DEALLOC_4_0_RD", "value": 191},
2281 {"name": "PH_SC1_PA4_DATA_FIFO_RD", "value": 192},
2282 {"name": "PH_SC1_PA4_DATA_FIFO_WE", "value": 193},
2283 {"name": "PH_SC1_PA4_FIFO_EMPTY", "value": 194},
2284 {"name": "PH_SC1_PA4_FIFO_FULL", "value": 195},
2285 {"name": "PH_SC1_PA4_NULL_WE", "value": 196},
2286 {"name": "PH_SC1_PA4_EVENT_WE", "value": 197},
2287 {"name": "PH_SC1_PA4_FPOV_WE", "value": 198},
2288 {"name": "PH_SC1_PA4_LPOV_WE", "value": 199},
2289 {"name": "PH_SC1_PA4_EOP_WE", "value": 200},
2290 {"name": "PH_SC1_PA4_DATA_FIFO_EOP_RD", "value": 201},
2291 {"name": "PH_SC1_PA4_EOPG_WE", "value": 202},
2292 {"name": "PH_SC1_PA4_DEALLOC_4_0_RD", "value": 203},
2293 {"name": "PH_SC1_PA5_DATA_FIFO_RD", "value": 204},
2294 {"name": "PH_SC1_PA5_DATA_FIFO_WE", "value": 205},
2295 {"name": "PH_SC1_PA5_FIFO_EMPTY", "value": 206},
2296 {"name": "PH_SC1_PA5_FIFO_FULL", "value": 207},
2297 {"name": "PH_SC1_PA5_NULL_WE", "value": 208},
2298 {"name": "PH_SC1_PA5_EVENT_WE", "value": 209},
2299 {"name": "PH_SC1_PA5_FPOV_WE", "value": 210},
2300 {"name": "PH_SC1_PA5_LPOV_WE", "value": 211},
2301 {"name": "PH_SC1_PA5_EOP_WE", "value": 212},
2302 {"name": "PH_SC1_PA5_DATA_FIFO_EOP_RD", "value": 213},
2303 {"name": "PH_SC1_PA5_EOPG_WE", "value": 214},
2304 {"name": "PH_SC1_PA5_DEALLOC_4_0_RD", "value": 215},
2305 {"name": "PH_SC1_PA6_DATA_FIFO_RD", "value": 216},
2306 {"name": "PH_SC1_PA6_DATA_FIFO_WE", "value": 217},
2307 {"name": "PH_SC1_PA6_FIFO_EMPTY", "value": 218},
2308 {"name": "PH_SC1_PA6_FIFO_FULL", "value": 219},
2309 {"name": "PH_SC1_PA6_NULL_WE", "value": 220},
2310 {"name": "PH_SC1_PA6_EVENT_WE", "value": 221},
2311 {"name": "PH_SC1_PA6_FPOV_WE", "value": 222},
2312 {"name": "PH_SC1_PA6_LPOV_WE", "value": 223},
2313 {"name": "PH_SC1_PA6_EOP_WE", "value": 224},
2314 {"name": "PH_SC1_PA6_DATA_FIFO_EOP_RD", "value": 225},
2315 {"name": "PH_SC1_PA6_EOPG_WE", "value": 226},
2316 {"name": "PH_SC1_PA6_DEALLOC_4_0_RD", "value": 227},
2317 {"name": "PH_SC1_PA7_DATA_FIFO_RD", "value": 228},
2318 {"name": "PH_SC1_PA7_DATA_FIFO_WE", "value": 229},
2319 {"name": "PH_SC1_PA7_FIFO_EMPTY", "value": 230},
2320 {"name": "PH_SC1_PA7_FIFO_FULL", "value": 231},
2321 {"name": "PH_SC1_PA7_NULL_WE", "value": 232},
2322 {"name": "PH_SC1_PA7_EVENT_WE", "value": 233},
2323 {"name": "PH_SC1_PA7_FPOV_WE", "value": 234},
2324 {"name": "PH_SC1_PA7_LPOV_WE", "value": 235},
2325 {"name": "PH_SC1_PA7_EOP_WE", "value": 236},
2326 {"name": "PH_SC1_PA7_DATA_FIFO_EOP_RD", "value": 237},
2327 {"name": "PH_SC1_PA7_EOPG_WE", "value": 238},
2328 {"name": "PH_SC1_PA7_DEALLOC_4_0_RD", "value": 239},
2329 {"name": "PH_SC2_SRPS_WINDOW_VALID", "value": 240},
2330 {"name": "PH_SC2_ARB_XFC_ALL_EVENT_OR_PRIM_CYCLES", "value": 241},
2331 {"name": "PH_SC2_ARB_XFC_ONLY_PRIM_CYCLES", "value": 242},
2332 {"name": "PH_SC2_ARB_XFC_ONLY_ONE_INC_PER_PRIM", "value": 243},
2333 {"name": "PH_SC2_ARB_STALLED_FROM_BELOW", "value": 244},
2334 {"name": "PH_SC2_ARB_STARVED_FROM_ABOVE", "value": 245},
2335 {"name": "PH_SC2_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_NOT_EMPTY", "value": 246},
2336 {"name": "PH_SC2_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_FULL", "value": 247},
2337 {"name": "PH_SC2_ARB_BUSY", "value": 248},
2338 {"name": "PH_SC2_ARB_PA_BUSY_SOP", "value": 249},
2339 {"name": "PH_SC2_ARB_EOP_POP_SYNC_POP", "value": 250},
2340 {"name": "PH_SC2_ARB_EVENT_SYNC_POP", "value": 251},
2341 {"name": "PH_SC2_PS_ENG_MULTICYCLE_BUBBLE", "value": 252},
2342 {"name": "PH_SC2_EOP_SYNC_WINDOW", "value": 253},
2343 {"name": "PH_SC2_BUSY_PROCESSING_MULTICYCLE_PRIM", "value": 254},
2344 {"name": "PH_SC2_BUSY_CNT_NOT_ZERO", "value": 255},
2345 {"name": "PH_SC2_SEND", "value": 256},
2346 {"name": "PH_SC2_CREDIT_AT_ZERO_WITH_PENDING_SEND", "value": 257},
2347 {"name": "PH_SC2_CREDIT_AT_MAX", "value": 258},
2348 {"name": "PH_SC2_CREDIT_AT_MAX_NO_PENDING_SEND", "value": 259},
2349 {"name": "PH_SC2_GFX_PIPE_EVENT_PROVOKED_TRANSITION", "value": 260},
2350 {"name": "PH_SC2_GFX_PIPE_EOP_PRIM_PROVOKED_TRANSITION", "value": 261},
2351 {"name": "PH_SC2_GFX_PIPE0_TO_1_TRANSITION", "value": 262},
2352 {"name": "PH_SC2_GFX_PIPE1_TO_0_TRANSITION", "value": 263},
2353 {"name": "PH_SC2_PA0_DATA_FIFO_RD", "value": 264},
2354 {"name": "PH_SC2_PA0_DATA_FIFO_WE", "value": 265},
2355 {"name": "PH_SC2_PA0_FIFO_EMPTY", "value": 266},
2356 {"name": "PH_SC2_PA0_FIFO_FULL", "value": 267},
2357 {"name": "PH_SC2_PA0_NULL_WE", "value": 268},
2358 {"name": "PH_SC2_PA0_EVENT_WE", "value": 269},
2359 {"name": "PH_SC2_PA0_FPOV_WE", "value": 270},
2360 {"name": "PH_SC2_PA0_LPOV_WE", "value": 271},
2361 {"name": "PH_SC2_PA0_EOP_WE", "value": 272},
2362 {"name": "PH_SC2_PA0_DATA_FIFO_EOP_RD", "value": 273},
2363 {"name": "PH_SC2_PA0_EOPG_WE", "value": 274},
2364 {"name": "PH_SC2_PA0_DEALLOC_4_0_RD", "value": 275},
2365 {"name": "PH_SC2_PA1_DATA_FIFO_RD", "value": 276},
2366 {"name": "PH_SC2_PA1_DATA_FIFO_WE", "value": 277},
2367 {"name": "PH_SC2_PA1_FIFO_EMPTY", "value": 278},
2368 {"name": "PH_SC2_PA1_FIFO_FULL", "value": 279},
2369 {"name": "PH_SC2_PA1_NULL_WE", "value": 280},
2370 {"name": "PH_SC2_PA1_EVENT_WE", "value": 281},
2371 {"name": "PH_SC2_PA1_FPOV_WE", "value": 282},
2372 {"name": "PH_SC2_PA1_LPOV_WE", "value": 283},
2373 {"name": "PH_SC2_PA1_EOP_WE", "value": 284},
2374 {"name": "PH_SC2_PA1_DATA_FIFO_EOP_RD", "value": 285},
2375 {"name": "PH_SC2_PA1_EOPG_WE", "value": 286},
2376 {"name": "PH_SC2_PA1_DEALLOC_4_0_RD", "value": 287},
2377 {"name": "PH_SC2_PA2_DATA_FIFO_RD", "value": 288},
2378 {"name": "PH_SC2_PA2_DATA_FIFO_WE", "value": 289},
2379 {"name": "PH_SC2_PA2_FIFO_EMPTY", "value": 290},
2380 {"name": "PH_SC2_PA2_FIFO_FULL", "value": 291},
2381 {"name": "PH_SC2_PA2_NULL_WE", "value": 292},
2382 {"name": "PH_SC2_PA2_EVENT_WE", "value": 293},
2383 {"name": "PH_SC2_PA2_FPOV_WE", "value": 294},
2384 {"name": "PH_SC2_PA2_LPOV_WE", "value": 295},
2385 {"name": "PH_SC2_PA2_EOP_WE", "value": 296},
2386 {"name": "PH_SC2_PA2_DATA_FIFO_EOP_RD", "value": 297},
2387 {"name": "PH_SC2_PA2_EOPG_WE", "value": 298},
2388 {"name": "PH_SC2_PA2_DEALLOC_4_0_RD", "value": 299},
2389 {"name": "PH_SC2_PA3_DATA_FIFO_RD", "value": 300},
2390 {"name": "PH_SC2_PA3_DATA_FIFO_WE", "value": 301},
2391 {"name": "PH_SC2_PA3_FIFO_EMPTY", "value": 302},
2392 {"name": "PH_SC2_PA3_FIFO_FULL", "value": 303},
2393 {"name": "PH_SC2_PA3_NULL_WE", "value": 304},
2394 {"name": "PH_SC2_PA3_EVENT_WE", "value": 305},
2395 {"name": "PH_SC2_PA3_FPOV_WE", "value": 306},
2396 {"name": "PH_SC2_PA3_LPOV_WE", "value": 307},
2397 {"name": "PH_SC2_PA3_EOP_WE", "value": 308},
2398 {"name": "PH_SC2_PA3_DATA_FIFO_EOP_RD", "value": 309},
2399 {"name": "PH_SC2_PA3_EOPG_WE", "value": 310},
2400 {"name": "PH_SC2_PA3_DEALLOC_4_0_RD", "value": 311},
2401 {"name": "PH_SC2_PA4_DATA_FIFO_RD", "value": 312},
2402 {"name": "PH_SC2_PA4_DATA_FIFO_WE", "value": 313},
2403 {"name": "PH_SC2_PA4_FIFO_EMPTY", "value": 314},
2404 {"name": "PH_SC2_PA4_FIFO_FULL", "value": 315},
2405 {"name": "PH_SC2_PA4_NULL_WE", "value": 316},
2406 {"name": "PH_SC2_PA4_EVENT_WE", "value": 317},
2407 {"name": "PH_SC2_PA4_FPOV_WE", "value": 318},
2408 {"name": "PH_SC2_PA4_LPOV_WE", "value": 319},
2409 {"name": "PH_SC2_PA4_EOP_WE", "value": 320},
2410 {"name": "PH_SC2_PA4_DATA_FIFO_EOP_RD", "value": 321},
2411 {"name": "PH_SC2_PA4_EOPG_WE", "value": 322},
2412 {"name": "PH_SC2_PA4_DEALLOC_4_0_RD", "value": 323},
2413 {"name": "PH_SC2_PA5_DATA_FIFO_RD", "value": 324},
2414 {"name": "PH_SC2_PA5_DATA_FIFO_WE", "value": 325},
2415 {"name": "PH_SC2_PA5_FIFO_EMPTY", "value": 326},
2416 {"name": "PH_SC2_PA5_FIFO_FULL", "value": 327},
2417 {"name": "PH_SC2_PA5_NULL_WE", "value": 328},
2418 {"name": "PH_SC2_PA5_EVENT_WE", "value": 329},
2419 {"name": "PH_SC2_PA5_FPOV_WE", "value": 330},
2420 {"name": "PH_SC2_PA5_LPOV_WE", "value": 331},
2421 {"name": "PH_SC2_PA5_EOP_WE", "value": 332},
2422 {"name": "PH_SC2_PA5_DATA_FIFO_EOP_RD", "value": 333},
2423 {"name": "PH_SC2_PA5_EOPG_WE", "value": 334},
2424 {"name": "PH_SC2_PA5_DEALLOC_4_0_RD", "value": 335},
2425 {"name": "PH_SC2_PA6_DATA_FIFO_RD", "value": 336},
2426 {"name": "PH_SC2_PA6_DATA_FIFO_WE", "value": 337},
2427 {"name": "PH_SC2_PA6_FIFO_EMPTY", "value": 338},
2428 {"name": "PH_SC2_PA6_FIFO_FULL", "value": 339},
2429 {"name": "PH_SC2_PA6_NULL_WE", "value": 340},
2430 {"name": "PH_SC2_PA6_EVENT_WE", "value": 341},
2431 {"name": "PH_SC2_PA6_FPOV_WE", "value": 342},
2432 {"name": "PH_SC2_PA6_LPOV_WE", "value": 343},
2433 {"name": "PH_SC2_PA6_EOP_WE", "value": 344},
2434 {"name": "PH_SC2_PA6_DATA_FIFO_EOP_RD", "value": 345},
2435 {"name": "PH_SC2_PA6_EOPG_WE", "value": 346},
2436 {"name": "PH_SC2_PA6_DEALLOC_4_0_RD", "value": 347},
2437 {"name": "PH_SC2_PA7_DATA_FIFO_RD", "value": 348},
2438 {"name": "PH_SC2_PA7_DATA_FIFO_WE", "value": 349},
2439 {"name": "PH_SC2_PA7_FIFO_EMPTY", "value": 350},
2440 {"name": "PH_SC2_PA7_FIFO_FULL", "value": 351},
2441 {"name": "PH_SC2_PA7_NULL_WE", "value": 352},
2442 {"name": "PH_SC2_PA7_EVENT_WE", "value": 353},
2443 {"name": "PH_SC2_PA7_FPOV_WE", "value": 354},
2444 {"name": "PH_SC2_PA7_LPOV_WE", "value": 355},
2445 {"name": "PH_SC2_PA7_EOP_WE", "value": 356},
2446 {"name": "PH_SC2_PA7_DATA_FIFO_EOP_RD", "value": 357},
2447 {"name": "PH_SC2_PA7_EOPG_WE", "value": 358},
2448 {"name": "PH_SC2_PA7_DEALLOC_4_0_RD", "value": 359},
2449 {"name": "PH_SC3_SRPS_WINDOW_VALID", "value": 360},
2450 {"name": "PH_SC3_ARB_XFC_ALL_EVENT_OR_PRIM_CYCLES", "value": 361},
2451 {"name": "PH_SC3_ARB_XFC_ONLY_PRIM_CYCLES", "value": 362},
2452 {"name": "PH_SC3_ARB_XFC_ONLY_ONE_INC_PER_PRIM", "value": 363},
2453 {"name": "PH_SC3_ARB_STALLED_FROM_BELOW", "value": 364},
2454 {"name": "PH_SC3_ARB_STARVED_FROM_ABOVE", "value": 365},
2455 {"name": "PH_SC3_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_NOT_EMPTY", "value": 366},
2456 {"name": "PH_SC3_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_FULL", "value": 367},
2457 {"name": "PH_SC3_ARB_BUSY", "value": 368},
2458 {"name": "PH_SC3_ARB_PA_BUSY_SOP", "value": 369},
2459 {"name": "PH_SC3_ARB_EOP_POP_SYNC_POP", "value": 370},
2460 {"name": "PH_SC3_ARB_EVENT_SYNC_POP", "value": 371},
2461 {"name": "PH_SC3_PS_ENG_MULTICYCLE_BUBBLE", "value": 372},
2462 {"name": "PH_SC3_EOP_SYNC_WINDOW", "value": 373},
2463 {"name": "PH_SC3_BUSY_PROCESSING_MULTICYCLE_PRIM", "value": 374},
2464 {"name": "PH_SC3_BUSY_CNT_NOT_ZERO", "value": 375},
2465 {"name": "PH_SC3_SEND", "value": 376},
2466 {"name": "PH_SC3_CREDIT_AT_ZERO_WITH_PENDING_SEND", "value": 377},
2467 {"name": "PH_SC3_CREDIT_AT_MAX", "value": 378},
2468 {"name": "PH_SC3_CREDIT_AT_MAX_NO_PENDING_SEND", "value": 379},
2469 {"name": "PH_SC3_GFX_PIPE_EVENT_PROVOKED_TRANSITION", "value": 380},
2470 {"name": "PH_SC3_GFX_PIPE_EOP_PRIM_PROVOKED_TRANSITION", "value": 381},
2471 {"name": "PH_SC3_GFX_PIPE0_TO_1_TRANSITION", "value": 382},
2472 {"name": "PH_SC3_GFX_PIPE1_TO_0_TRANSITION", "value": 383},
2473 {"name": "PH_SC3_PA0_DATA_FIFO_RD", "value": 384},
2474 {"name": "PH_SC3_PA0_DATA_FIFO_WE", "value": 385},
2475 {"name": "PH_SC3_PA0_FIFO_EMPTY", "value": 386},
2476 {"name": "PH_SC3_PA0_FIFO_FULL", "value": 387},
2477 {"name": "PH_SC3_PA0_NULL_WE", "value": 388},
2478 {"name": "PH_SC3_PA0_EVENT_WE", "value": 389},
2479 {"name": "PH_SC3_PA0_FPOV_WE", "value": 390},
2480 {"name": "PH_SC3_PA0_LPOV_WE", "value": 391},
2481 {"name": "PH_SC3_PA0_EOP_WE", "value": 392},
2482 {"name": "PH_SC3_PA0_DATA_FIFO_EOP_RD", "value": 393},
2483 {"name": "PH_SC3_PA0_EOPG_WE", "value": 394},
2484 {"name": "PH_SC3_PA0_DEALLOC_4_0_RD", "value": 395},
2485 {"name": "PH_SC3_PA1_DATA_FIFO_RD", "value": 396},
2486 {"name": "PH_SC3_PA1_DATA_FIFO_WE", "value": 397},
2487 {"name": "PH_SC3_PA1_FIFO_EMPTY", "value": 398},
2488 {"name": "PH_SC3_PA1_FIFO_FULL", "value": 399},
2489 {"name": "PH_SC3_PA1_NULL_WE", "value": 400},
2490 {"name": "PH_SC3_PA1_EVENT_WE", "value": 401},
2491 {"name": "PH_SC3_PA1_FPOV_WE", "value": 402},
2492 {"name": "PH_SC3_PA1_LPOV_WE", "value": 403},
2493 {"name": "PH_SC3_PA1_EOP_WE", "value": 404},
2494 {"name": "PH_SC3_PA1_DATA_FIFO_EOP_RD", "value": 405},
2495 {"name": "PH_SC3_PA1_EOPG_WE", "value": 406},
2496 {"name": "PH_SC3_PA1_DEALLOC_4_0_RD", "value": 407},
2497 {"name": "PH_SC3_PA2_DATA_FIFO_RD", "value": 408},
2498 {"name": "PH_SC3_PA2_DATA_FIFO_WE", "value": 409},
2499 {"name": "PH_SC3_PA2_FIFO_EMPTY", "value": 410},
2500 {"name": "PH_SC3_PA2_FIFO_FULL", "value": 411},
2501 {"name": "PH_SC3_PA2_NULL_WE", "value": 412},
2502 {"name": "PH_SC3_PA2_EVENT_WE", "value": 413},
2503 {"name": "PH_SC3_PA2_FPOV_WE", "value": 414},
2504 {"name": "PH_SC3_PA2_LPOV_WE", "value": 415},
2505 {"name": "PH_SC3_PA2_EOP_WE", "value": 416},
2506 {"name": "PH_SC3_PA2_DATA_FIFO_EOP_RD", "value": 417},
2507 {"name": "PH_SC3_PA2_EOPG_WE", "value": 418},
2508 {"name": "PH_SC3_PA2_DEALLOC_4_0_RD", "value": 419},
2509 {"name": "PH_SC3_PA3_DATA_FIFO_RD", "value": 420},
2510 {"name": "PH_SC3_PA3_DATA_FIFO_WE", "value": 421},
2511 {"name": "PH_SC3_PA3_FIFO_EMPTY", "value": 422},
2512 {"name": "PH_SC3_PA3_FIFO_FULL", "value": 423},
2513 {"name": "PH_SC3_PA3_NULL_WE", "value": 424},
2514 {"name": "PH_SC3_PA3_EVENT_WE", "value": 425},
2515 {"name": "PH_SC3_PA3_FPOV_WE", "value": 426},
2516 {"name": "PH_SC3_PA3_LPOV_WE", "value": 427},
2517 {"name": "PH_SC3_PA3_EOP_WE", "value": 428},
2518 {"name": "PH_SC3_PA3_DATA_FIFO_EOP_RD", "value": 429},
2519 {"name": "PH_SC3_PA3_EOPG_WE", "value": 430},
2520 {"name": "PH_SC3_PA3_DEALLOC_4_0_RD", "value": 431},
2521 {"name": "PH_SC3_PA4_DATA_FIFO_RD", "value": 432},
2522 {"name": "PH_SC3_PA4_DATA_FIFO_WE", "value": 433},
2523 {"name": "PH_SC3_PA4_FIFO_EMPTY", "value": 434},
2524 {"name": "PH_SC3_PA4_FIFO_FULL", "value": 435},
2525 {"name": "PH_SC3_PA4_NULL_WE", "value": 436},
2526 {"name": "PH_SC3_PA4_EVENT_WE", "value": 437},
2527 {"name": "PH_SC3_PA4_FPOV_WE", "value": 438},
2528 {"name": "PH_SC3_PA4_LPOV_WE", "value": 439},
2529 {"name": "PH_SC3_PA4_EOP_WE", "value": 440},
2530 {"name": "PH_SC3_PA4_DATA_FIFO_EOP_RD", "value": 441},
2531 {"name": "PH_SC3_PA4_EOPG_WE", "value": 442},
2532 {"name": "PH_SC3_PA4_DEALLOC_4_0_RD", "value": 443},
2533 {"name": "PH_SC3_PA5_DATA_FIFO_RD", "value": 444},
2534 {"name": "PH_SC3_PA5_DATA_FIFO_WE", "value": 445},
2535 {"name": "PH_SC3_PA5_FIFO_EMPTY", "value": 446},
2536 {"name": "PH_SC3_PA5_FIFO_FULL", "value": 447},
2537 {"name": "PH_SC3_PA5_NULL_WE", "value": 448},
2538 {"name": "PH_SC3_PA5_EVENT_WE", "value": 449},
2539 {"name": "PH_SC3_PA5_FPOV_WE", "value": 450},
2540 {"name": "PH_SC3_PA5_LPOV_WE", "value": 451},
2541 {"name": "PH_SC3_PA5_EOP_WE", "value": 452},
2542 {"name": "PH_SC3_PA5_DATA_FIFO_EOP_RD", "value": 453},
2543 {"name": "PH_SC3_PA5_EOPG_WE", "value": 454},
2544 {"name": "PH_SC3_PA5_DEALLOC_4_0_RD", "value": 455},
2545 {"name": "PH_SC3_PA6_DATA_FIFO_RD", "value": 456},
2546 {"name": "PH_SC3_PA6_DATA_FIFO_WE", "value": 457},
2547 {"name": "PH_SC3_PA6_FIFO_EMPTY", "value": 458},
2548 {"name": "PH_SC3_PA6_FIFO_FULL", "value": 459},
2549 {"name": "PH_SC3_PA6_NULL_WE", "value": 460},
2550 {"name": "PH_SC3_PA6_EVENT_WE", "value": 461},
2551 {"name": "PH_SC3_PA6_FPOV_WE", "value": 462},
2552 {"name": "PH_SC3_PA6_LPOV_WE", "value": 463},
2553 {"name": "PH_SC3_PA6_EOP_WE", "value": 464},
2554 {"name": "PH_SC3_PA6_DATA_FIFO_EOP_RD", "value": 465},
2555 {"name": "PH_SC3_PA6_EOPG_WE", "value": 466},
2556 {"name": "PH_SC3_PA6_DEALLOC_4_0_RD", "value": 467},
2557 {"name": "PH_SC3_PA7_DATA_FIFO_RD", "value": 468},
2558 {"name": "PH_SC3_PA7_DATA_FIFO_WE", "value": 469},
2559 {"name": "PH_SC3_PA7_FIFO_EMPTY", "value": 470},
2560 {"name": "PH_SC3_PA7_FIFO_FULL", "value": 471},
2561 {"name": "PH_SC3_PA7_NULL_WE", "value": 472},
2562 {"name": "PH_SC3_PA7_EVENT_WE", "value": 473},
2563 {"name": "PH_SC3_PA7_FPOV_WE", "value": 474},
2564 {"name": "PH_SC3_PA7_LPOV_WE", "value": 475},
2565 {"name": "PH_SC3_PA7_EOP_WE", "value": 476},
2566 {"name": "PH_SC3_PA7_DATA_FIFO_EOP_RD", "value": 477},
2567 {"name": "PH_SC3_PA7_EOPG_WE", "value": 478},
2568 {"name": "PH_SC3_PA7_DEALLOC_4_0_RD", "value": 479},
2569 {"name": "PH_SC4_SRPS_WINDOW_VALID", "value": 480},
2570 {"name": "PH_SC4_ARB_XFC_ALL_EVENT_OR_PRIM_CYCLES", "value": 481},
2571 {"name": "PH_SC4_ARB_XFC_ONLY_PRIM_CYCLES", "value": 482},
2572 {"name": "PH_SC4_ARB_XFC_ONLY_ONE_INC_PER_PRIM", "value": 483},
2573 {"name": "PH_SC4_ARB_STALLED_FROM_BELOW", "value": 484},
2574 {"name": "PH_SC4_ARB_STARVED_FROM_ABOVE", "value": 485},
2575 {"name": "PH_SC4_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_NOT_EMPTY", "value": 486},
2576 {"name": "PH_SC4_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_FULL", "value": 487},
2577 {"name": "PH_SC4_ARB_BUSY", "value": 488},
2578 {"name": "PH_SC4_ARB_PA_BUSY_SOP", "value": 489},
2579 {"name": "PH_SC4_ARB_EOP_POP_SYNC_POP", "value": 490},
2580 {"name": "PH_SC4_ARB_EVENT_SYNC_POP", "value": 491},
2581 {"name": "PH_SC4_PS_ENG_MULTICYCLE_BUBBLE", "value": 492},
2582 {"name": "PH_SC4_EOP_SYNC_WINDOW", "value": 493},
2583 {"name": "PH_SC4_BUSY_PROCESSING_MULTICYCLE_PRIM", "value": 494},
2584 {"name": "PH_SC4_BUSY_CNT_NOT_ZERO", "value": 495},
2585 {"name": "PH_SC4_SEND", "value": 496},
2586 {"name": "PH_SC4_CREDIT_AT_ZERO_WITH_PENDING_SEND", "value": 497},
2587 {"name": "PH_SC4_CREDIT_AT_MAX", "value": 498},
2588 {"name": "PH_SC4_CREDIT_AT_MAX_NO_PENDING_SEND", "value": 499},
2589 {"name": "PH_SC4_GFX_PIPE_EVENT_PROVOKED_TRANSITION", "value": 500},
2590 {"name": "PH_SC4_GFX_PIPE_EOP_PRIM_PROVOKED_TRANSITION", "value": 501},
2591 {"name": "PH_SC4_GFX_PIPE0_TO_1_TRANSITION", "value": 502},
2592 {"name": "PH_SC4_GFX_PIPE1_TO_0_TRANSITION", "value": 503},
2593 {"name": "PH_SC4_PA0_DATA_FIFO_RD", "value": 504},
2594 {"name": "PH_SC4_PA0_DATA_FIFO_WE", "value": 505},
2595 {"name": "PH_SC4_PA0_FIFO_EMPTY", "value": 506},
2596 {"name": "PH_SC4_PA0_FIFO_FULL", "value": 507},
2597 {"name": "PH_SC4_PA0_NULL_WE", "value": 508},
2598 {"name": "PH_SC4_PA0_EVENT_WE", "value": 509},
2599 {"name": "PH_SC4_PA0_FPOV_WE", "value": 510},
2600 {"name": "PH_SC4_PA0_LPOV_WE", "value": 511},
2601 {"name": "PH_SC4_PA0_EOP_WE", "value": 512},
2602 {"name": "PH_SC4_PA0_DATA_FIFO_EOP_RD", "value": 513},
2603 {"name": "PH_SC4_PA0_EOPG_WE", "value": 514},
2604 {"name": "PH_SC4_PA0_DEALLOC_4_0_RD", "value": 515},
2605 {"name": "PH_SC4_PA1_DATA_FIFO_RD", "value": 516},
2606 {"name": "PH_SC4_PA1_DATA_FIFO_WE", "value": 517},
2607 {"name": "PH_SC4_PA1_FIFO_EMPTY", "value": 518},
2608 {"name": "PH_SC4_PA1_FIFO_FULL", "value": 519},
2609 {"name": "PH_SC4_PA1_NULL_WE", "value": 520},
2610 {"name": "PH_SC4_PA1_EVENT_WE", "value": 521},
2611 {"name": "PH_SC4_PA1_FPOV_WE", "value": 522},
2612 {"name": "PH_SC4_PA1_LPOV_WE", "value": 523},
2613 {"name": "PH_SC4_PA1_EOP_WE", "value": 524},
2614 {"name": "PH_SC4_PA1_DATA_FIFO_EOP_RD", "value": 525},
2615 {"name": "PH_SC4_PA1_EOPG_WE", "value": 526},
2616 {"name": "PH_SC4_PA1_DEALLOC_4_0_RD", "value": 527},
2617 {"name": "PH_SC4_PA2_DATA_FIFO_RD", "value": 528},
2618 {"name": "PH_SC4_PA2_DATA_FIFO_WE", "value": 529},
2619 {"name": "PH_SC4_PA2_FIFO_EMPTY", "value": 530},
2620 {"name": "PH_SC4_PA2_FIFO_FULL", "value": 531},
2621 {"name": "PH_SC4_PA2_NULL_WE", "value": 532},
2622 {"name": "PH_SC4_PA2_EVENT_WE", "value": 533},
2623 {"name": "PH_SC4_PA2_FPOV_WE", "value": 534},
2624 {"name": "PH_SC4_PA2_LPOV_WE", "value": 535},
2625 {"name": "PH_SC4_PA2_EOP_WE", "value": 536},
2626 {"name": "PH_SC4_PA2_DATA_FIFO_EOP_RD", "value": 537},
2627 {"name": "PH_SC4_PA2_EOPG_WE", "value": 538},
2628 {"name": "PH_SC4_PA2_DEALLOC_4_0_RD", "value": 539},
2629 {"name": "PH_SC4_PA3_DATA_FIFO_RD", "value": 540},
2630 {"name": "PH_SC4_PA3_DATA_FIFO_WE", "value": 541},
2631 {"name": "PH_SC4_PA3_FIFO_EMPTY", "value": 542},
2632 {"name": "PH_SC4_PA3_FIFO_FULL", "value": 543},
2633 {"name": "PH_SC4_PA3_NULL_WE", "value": 544},
2634 {"name": "PH_SC4_PA3_EVENT_WE", "value": 545},
2635 {"name": "PH_SC4_PA3_FPOV_WE", "value": 546},
2636 {"name": "PH_SC4_PA3_LPOV_WE", "value": 547},
2637 {"name": "PH_SC4_PA3_EOP_WE", "value": 548},
2638 {"name": "PH_SC4_PA3_DATA_FIFO_EOP_RD", "value": 549},
2639 {"name": "PH_SC4_PA3_EOPG_WE", "value": 550},
2640 {"name": "PH_SC4_PA3_DEALLOC_4_0_RD", "value": 551},
2641 {"name": "PH_SC4_PA4_DATA_FIFO_RD", "value": 552},
2642 {"name": "PH_SC4_PA4_DATA_FIFO_WE", "value": 553},
2643 {"name": "PH_SC4_PA4_FIFO_EMPTY", "value": 554},
2644 {"name": "PH_SC4_PA4_FIFO_FULL", "value": 555},
2645 {"name": "PH_SC4_PA4_NULL_WE", "value": 556},
2646 {"name": "PH_SC4_PA4_EVENT_WE", "value": 557},
2647 {"name": "PH_SC4_PA4_FPOV_WE", "value": 558},
2648 {"name": "PH_SC4_PA4_LPOV_WE", "value": 559},
2649 {"name": "PH_SC4_PA4_EOP_WE", "value": 560},
2650 {"name": "PH_SC4_PA4_DATA_FIFO_EOP_RD", "value": 561},
2651 {"name": "PH_SC4_PA4_EOPG_WE", "value": 562},
2652 {"name": "PH_SC4_PA4_DEALLOC_4_0_RD", "value": 563},
2653 {"name": "PH_SC4_PA5_DATA_FIFO_RD", "value": 564},
2654 {"name": "PH_SC4_PA5_DATA_FIFO_WE", "value": 565},
2655 {"name": "PH_SC4_PA5_FIFO_EMPTY", "value": 566},
2656 {"name": "PH_SC4_PA5_FIFO_FULL", "value": 567},
2657 {"name": "PH_SC4_PA5_NULL_WE", "value": 568},
2658 {"name": "PH_SC4_PA5_EVENT_WE", "value": 569},
2659 {"name": "PH_SC4_PA5_FPOV_WE", "value": 570},
2660 {"name": "PH_SC4_PA5_LPOV_WE", "value": 571},
2661 {"name": "PH_SC4_PA5_EOP_WE", "value": 572},
2662 {"name": "PH_SC4_PA5_DATA_FIFO_EOP_RD", "value": 573},
2663 {"name": "PH_SC4_PA5_EOPG_WE", "value": 574},
2664 {"name": "PH_SC4_PA5_DEALLOC_4_0_RD", "value": 575},
2665 {"name": "PH_SC4_PA6_DATA_FIFO_RD", "value": 576},
2666 {"name": "PH_SC4_PA6_DATA_FIFO_WE", "value": 577},
2667 {"name": "PH_SC4_PA6_FIFO_EMPTY", "value": 578},
2668 {"name": "PH_SC4_PA6_FIFO_FULL", "value": 579},
2669 {"name": "PH_SC4_PA6_NULL_WE", "value": 580},
2670 {"name": "PH_SC4_PA6_EVENT_WE", "value": 581},
2671 {"name": "PH_SC4_PA6_FPOV_WE", "value": 582},
2672 {"name": "PH_SC4_PA6_LPOV_WE", "value": 583},
2673 {"name": "PH_SC4_PA6_EOP_WE", "value": 584},
2674 {"name": "PH_SC4_PA6_DATA_FIFO_EOP_RD", "value": 585},
2675 {"name": "PH_SC4_PA6_EOPG_WE", "value": 586},
2676 {"name": "PH_SC4_PA6_DEALLOC_4_0_RD", "value": 587},
2677 {"name": "PH_SC4_PA7_DATA_FIFO_RD", "value": 588},
2678 {"name": "PH_SC4_PA7_DATA_FIFO_WE", "value": 589},
2679 {"name": "PH_SC4_PA7_FIFO_EMPTY", "value": 590},
2680 {"name": "PH_SC4_PA7_FIFO_FULL", "value": 591},
2681 {"name": "PH_SC4_PA7_NULL_WE", "value": 592},
2682 {"name": "PH_SC4_PA7_EVENT_WE", "value": 593},
2683 {"name": "PH_SC4_PA7_FPOV_WE", "value": 594},
2684 {"name": "PH_SC4_PA7_LPOV_WE", "value": 595},
2685 {"name": "PH_SC4_PA7_EOP_WE", "value": 596},
2686 {"name": "PH_SC4_PA7_DATA_FIFO_EOP_RD", "value": 597},
2687 {"name": "PH_SC4_PA7_EOPG_WE", "value": 598},
2688 {"name": "PH_SC4_PA7_DEALLOC_4_0_RD", "value": 599},
2689 {"name": "PH_SC5_SRPS_WINDOW_VALID", "value": 600},
2690 {"name": "PH_SC5_ARB_XFC_ALL_EVENT_OR_PRIM_CYCLES", "value": 601},
2691 {"name": "PH_SC5_ARB_XFC_ONLY_PRIM_CYCLES", "value": 602},
2692 {"name": "PH_SC5_ARB_XFC_ONLY_ONE_INC_PER_PRIM", "value": 603},
2693 {"name": "PH_SC5_ARB_STALLED_FROM_BELOW", "value": 604},
2694 {"name": "PH_SC5_ARB_STARVED_FROM_ABOVE", "value": 605},
2695 {"name": "PH_SC5_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_NOT_EMPTY", "value": 606},
2696 {"name": "PH_SC5_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_FULL", "value": 607},
2697 {"name": "PH_SC5_ARB_BUSY", "value": 608},
2698 {"name": "PH_SC5_ARB_PA_BUSY_SOP", "value": 609},
2699 {"name": "PH_SC5_ARB_EOP_POP_SYNC_POP", "value": 610},
2700 {"name": "PH_SC5_ARB_EVENT_SYNC_POP", "value": 611},
2701 {"name": "PH_SC5_PS_ENG_MULTICYCLE_BUBBLE", "value": 612},
2702 {"name": "PH_SC5_EOP_SYNC_WINDOW", "value": 613},
2703 {"name": "PH_SC5_BUSY_PROCESSING_MULTICYCLE_PRIM", "value": 614},
2704 {"name": "PH_SC5_BUSY_CNT_NOT_ZERO", "value": 615},
2705 {"name": "PH_SC5_SEND", "value": 616},
2706 {"name": "PH_SC5_CREDIT_AT_ZERO_WITH_PENDING_SEND", "value": 617},
2707 {"name": "PH_SC5_CREDIT_AT_MAX", "value": 618},
2708 {"name": "PH_SC5_CREDIT_AT_MAX_NO_PENDING_SEND", "value": 619},
2709 {"name": "PH_SC5_GFX_PIPE_EVENT_PROVOKED_TRANSITION", "value": 620},
2710 {"name": "PH_SC5_GFX_PIPE_EOP_PRIM_PROVOKED_TRANSITION", "value": 621},
2711 {"name": "PH_SC5_GFX_PIPE0_TO_1_TRANSITION", "value": 622},
2712 {"name": "PH_SC5_GFX_PIPE1_TO_0_TRANSITION", "value": 623},
2713 {"name": "PH_SC5_PA0_DATA_FIFO_RD", "value": 624},
2714 {"name": "PH_SC5_PA0_DATA_FIFO_WE", "value": 625},
2715 {"name": "PH_SC5_PA0_FIFO_EMPTY", "value": 626},
2716 {"name": "PH_SC5_PA0_FIFO_FULL", "value": 627},
2717 {"name": "PH_SC5_PA0_NULL_WE", "value": 628},
2718 {"name": "PH_SC5_PA0_EVENT_WE", "value": 629},
2719 {"name": "PH_SC5_PA0_FPOV_WE", "value": 630},
2720 {"name": "PH_SC5_PA0_LPOV_WE", "value": 631},
2721 {"name": "PH_SC5_PA0_EOP_WE", "value": 632},
2722 {"name": "PH_SC5_PA0_DATA_FIFO_EOP_RD", "value": 633},
2723 {"name": "PH_SC5_PA0_EOPG_WE", "value": 634},
2724 {"name": "PH_SC5_PA0_DEALLOC_4_0_RD", "value": 635},
2725 {"name": "PH_SC5_PA1_DATA_FIFO_RD", "value": 636},
2726 {"name": "PH_SC5_PA1_DATA_FIFO_WE", "value": 637},
2727 {"name": "PH_SC5_PA1_FIFO_EMPTY", "value": 638},
2728 {"name": "PH_SC5_PA1_FIFO_FULL", "value": 639},
2729 {"name": "PH_SC5_PA1_NULL_WE", "value": 640},
2730 {"name": "PH_SC5_PA1_EVENT_WE", "value": 641},
2731 {"name": "PH_SC5_PA1_FPOV_WE", "value": 642},
2732 {"name": "PH_SC5_PA1_LPOV_WE", "value": 643},
2733 {"name": "PH_SC5_PA1_EOP_WE", "value": 644},
2734 {"name": "PH_SC5_PA1_DATA_FIFO_EOP_RD", "value": 645},
2735 {"name": "PH_SC5_PA1_EOPG_WE", "value": 646},
2736 {"name": "PH_SC5_PA1_DEALLOC_4_0_RD", "value": 647},
2737 {"name": "PH_SC5_PA2_DATA_FIFO_RD", "value": 648},
2738 {"name": "PH_SC5_PA2_DATA_FIFO_WE", "value": 649},
2739 {"name": "PH_SC5_PA2_FIFO_EMPTY", "value": 650},
2740 {"name": "PH_SC5_PA2_FIFO_FULL", "value": 651},
2741 {"name": "PH_SC5_PA2_NULL_WE", "value": 652},
2742 {"name": "PH_SC5_PA2_EVENT_WE", "value": 653},
2743 {"name": "PH_SC5_PA2_FPOV_WE", "value": 654},
2744 {"name": "PH_SC5_PA2_LPOV_WE", "value": 655},
2745 {"name": "PH_SC5_PA2_EOP_WE", "value": 656},
2746 {"name": "PH_SC5_PA2_DATA_FIFO_EOP_RD", "value": 657},
2747 {"name": "PH_SC5_PA2_EOPG_WE", "value": 658},
2748 {"name": "PH_SC5_PA2_DEALLOC_4_0_RD", "value": 659},
2749 {"name": "PH_SC5_PA3_DATA_FIFO_RD", "value": 660},
2750 {"name": "PH_SC5_PA3_DATA_FIFO_WE", "value": 661},
2751 {"name": "PH_SC5_PA3_FIFO_EMPTY", "value": 662},
2752 {"name": "PH_SC5_PA3_FIFO_FULL", "value": 663},
2753 {"name": "PH_SC5_PA3_NULL_WE", "value": 664},
2754 {"name": "PH_SC5_PA3_EVENT_WE", "value": 665},
2755 {"name": "PH_SC5_PA3_FPOV_WE", "value": 666},
2756 {"name": "PH_SC5_PA3_LPOV_WE", "value": 667},
2757 {"name": "PH_SC5_PA3_EOP_WE", "value": 668},
2758 {"name": "PH_SC5_PA3_DATA_FIFO_EOP_RD", "value": 669},
2759 {"name": "PH_SC5_PA3_EOPG_WE", "value": 670},
2760 {"name": "PH_SC5_PA3_DEALLOC_4_0_RD", "value": 671},
2761 {"name": "PH_SC5_PA4_DATA_FIFO_RD", "value": 672},
2762 {"name": "PH_SC5_PA4_DATA_FIFO_WE", "value": 673},
2763 {"name": "PH_SC5_PA4_FIFO_EMPTY", "value": 674},
2764 {"name": "PH_SC5_PA4_FIFO_FULL", "value": 675},
2765 {"name": "PH_SC5_PA4_NULL_WE", "value": 676},
2766 {"name": "PH_SC5_PA4_EVENT_WE", "value": 677},
2767 {"name": "PH_SC5_PA4_FPOV_WE", "value": 678},
2768 {"name": "PH_SC5_PA4_LPOV_WE", "value": 679},
2769 {"name": "PH_SC5_PA4_EOP_WE", "value": 680},
2770 {"name": "PH_SC5_PA4_DATA_FIFO_EOP_RD", "value": 681},
2771 {"name": "PH_SC5_PA4_EOPG_WE", "value": 682},
2772 {"name": "PH_SC5_PA4_DEALLOC_4_0_RD", "value": 683},
2773 {"name": "PH_SC5_PA5_DATA_FIFO_RD", "value": 684},
2774 {"name": "PH_SC5_PA5_DATA_FIFO_WE", "value": 685},
2775 {"name": "PH_SC5_PA5_FIFO_EMPTY", "value": 686},
2776 {"name": "PH_SC5_PA5_FIFO_FULL", "value": 687},
2777 {"name": "PH_SC5_PA5_NULL_WE", "value": 688},
2778 {"name": "PH_SC5_PA5_EVENT_WE", "value": 689},
2779 {"name": "PH_SC5_PA5_FPOV_WE", "value": 690},
2780 {"name": "PH_SC5_PA5_LPOV_WE", "value": 691},
2781 {"name": "PH_SC5_PA5_EOP_WE", "value": 692},
2782 {"name": "PH_SC5_PA5_DATA_FIFO_EOP_RD", "value": 693},
2783 {"name": "PH_SC5_PA5_EOPG_WE", "value": 694},
2784 {"name": "PH_SC5_PA5_DEALLOC_4_0_RD", "value": 695},
2785 {"name": "PH_SC5_PA6_DATA_FIFO_RD", "value": 696},
2786 {"name": "PH_SC5_PA6_DATA_FIFO_WE", "value": 697},
2787 {"name": "PH_SC5_PA6_FIFO_EMPTY", "value": 698},
2788 {"name": "PH_SC5_PA6_FIFO_FULL", "value": 699},
2789 {"name": "PH_SC5_PA6_NULL_WE", "value": 700},
2790 {"name": "PH_SC5_PA6_EVENT_WE", "value": 701},
2791 {"name": "PH_SC5_PA6_FPOV_WE", "value": 702},
2792 {"name": "PH_SC5_PA6_LPOV_WE", "value": 703},
2793 {"name": "PH_SC5_PA6_EOP_WE", "value": 704},
2794 {"name": "PH_SC5_PA6_DATA_FIFO_EOP_RD", "value": 705},
2795 {"name": "PH_SC5_PA6_EOPG_WE", "value": 706},
2796 {"name": "PH_SC5_PA6_DEALLOC_4_0_RD", "value": 707},
2797 {"name": "PH_SC5_PA7_DATA_FIFO_RD", "value": 708},
2798 {"name": "PH_SC5_PA7_DATA_FIFO_WE", "value": 709},
2799 {"name": "PH_SC5_PA7_FIFO_EMPTY", "value": 710},
2800 {"name": "PH_SC5_PA7_FIFO_FULL", "value": 711},
2801 {"name": "PH_SC5_PA7_NULL_WE", "value": 712},
2802 {"name": "PH_SC5_PA7_EVENT_WE", "value": 713},
2803 {"name": "PH_SC5_PA7_FPOV_WE", "value": 714},
2804 {"name": "PH_SC5_PA7_LPOV_WE", "value": 715},
2805 {"name": "PH_SC5_PA7_EOP_WE", "value": 716},
2806 {"name": "PH_SC5_PA7_DATA_FIFO_EOP_RD", "value": 717},
2807 {"name": "PH_SC5_PA7_EOPG_WE", "value": 718},
2808 {"name": "PH_SC5_PA7_DEALLOC_4_0_RD", "value": 719},
2809 {"name": "PH_SC6_SRPS_WINDOW_VALID", "value": 720},
2810 {"name": "PH_SC6_ARB_XFC_ALL_EVENT_OR_PRIM_CYCLES", "value": 721},
2811 {"name": "PH_SC6_ARB_XFC_ONLY_PRIM_CYCLES", "value": 722},
2812 {"name": "PH_SC6_ARB_XFC_ONLY_ONE_INC_PER_PRIM", "value": 723},
2813 {"name": "PH_SC6_ARB_STALLED_FROM_BELOW", "value": 724},
2814 {"name": "PH_SC6_ARB_STARVED_FROM_ABOVE", "value": 725},
2815 {"name": "PH_SC6_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_NOT_EMPTY", "value": 726},
2816 {"name": "PH_SC6_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_FULL", "value": 727},
2817 {"name": "PH_SC6_ARB_BUSY", "value": 728},
2818 {"name": "PH_SC6_ARB_PA_BUSY_SOP", "value": 729},
2819 {"name": "PH_SC6_ARB_EOP_POP_SYNC_POP", "value": 730},
2820 {"name": "PH_SC6_ARB_EVENT_SYNC_POP", "value": 731},
2821 {"name": "PH_SC6_PS_ENG_MULTICYCLE_BUBBLE", "value": 732},
2822 {"name": "PH_SC6_EOP_SYNC_WINDOW", "value": 733},
2823 {"name": "PH_SC6_BUSY_PROCESSING_MULTICYCLE_PRIM", "value": 734},
2824 {"name": "PH_SC6_BUSY_CNT_NOT_ZERO", "value": 735},
2825 {"name": "PH_SC6_SEND", "value": 736},
2826 {"name": "PH_SC6_CREDIT_AT_ZERO_WITH_PENDING_SEND", "value": 737},
2827 {"name": "PH_SC6_CREDIT_AT_MAX", "value": 738},
2828 {"name": "PH_SC6_CREDIT_AT_MAX_NO_PENDING_SEND", "value": 739},
2829 {"name": "PH_SC6_GFX_PIPE_EVENT_PROVOKED_TRANSITION", "value": 740},
2830 {"name": "PH_SC6_GFX_PIPE_EOP_PRIM_PROVOKED_TRANSITION", "value": 741},
2831 {"name": "PH_SC6_GFX_PIPE0_TO_1_TRANSITION", "value": 742},
2832 {"name": "PH_SC6_GFX_PIPE1_TO_0_TRANSITION", "value": 743},
2833 {"name": "PH_SC6_PA0_DATA_FIFO_RD", "value": 744},
2834 {"name": "PH_SC6_PA0_DATA_FIFO_WE", "value": 745},
2835 {"name": "PH_SC6_PA0_FIFO_EMPTY", "value": 746},
2836 {"name": "PH_SC6_PA0_FIFO_FULL", "value": 747},
2837 {"name": "PH_SC6_PA0_NULL_WE", "value": 748},
2838 {"name": "PH_SC6_PA0_EVENT_WE", "value": 749},
2839 {"name": "PH_SC6_PA0_FPOV_WE", "value": 750},
2840 {"name": "PH_SC6_PA0_LPOV_WE", "value": 751},
2841 {"name": "PH_SC6_PA0_EOP_WE", "value": 752},
2842 {"name": "PH_SC6_PA0_DATA_FIFO_EOP_RD", "value": 753},
2843 {"name": "PH_SC6_PA0_EOPG_WE", "value": 754},
2844 {"name": "PH_SC6_PA0_DEALLOC_4_0_RD", "value": 755},
2845 {"name": "PH_SC6_PA1_DATA_FIFO_RD", "value": 756},
2846 {"name": "PH_SC6_PA1_DATA_FIFO_WE", "value": 757},
2847 {"name": "PH_SC6_PA1_FIFO_EMPTY", "value": 758},
2848 {"name": "PH_SC6_PA1_FIFO_FULL", "value": 759},
2849 {"name": "PH_SC6_PA1_NULL_WE", "value": 760},
2850 {"name": "PH_SC6_PA1_EVENT_WE", "value": 761},
2851 {"name": "PH_SC6_PA1_FPOV_WE", "value": 762},
2852 {"name": "PH_SC6_PA1_LPOV_WE", "value": 763},
2853 {"name": "PH_SC6_PA1_EOP_WE", "value": 764},
2854 {"name": "PH_SC6_PA1_DATA_FIFO_EOP_RD", "value": 765},
2855 {"name": "PH_SC6_PA1_EOPG_WE", "value": 766},
2856 {"name": "PH_SC6_PA1_DEALLOC_4_0_RD", "value": 767},
2857 {"name": "PH_SC6_PA2_DATA_FIFO_RD", "value": 768},
2858 {"name": "PH_SC6_PA2_DATA_FIFO_WE", "value": 769},
2859 {"name": "PH_SC6_PA2_FIFO_EMPTY", "value": 770},
2860 {"name": "PH_SC6_PA2_FIFO_FULL", "value": 771},
2861 {"name": "PH_SC6_PA2_NULL_WE", "value": 772},
2862 {"name": "PH_SC6_PA2_EVENT_WE", "value": 773},
2863 {"name": "PH_SC6_PA2_FPOV_WE", "value": 774},
2864 {"name": "PH_SC6_PA2_LPOV_WE", "value": 775},
2865 {"name": "PH_SC6_PA2_EOP_WE", "value": 776},
2866 {"name": "PH_SC6_PA2_DATA_FIFO_EOP_RD", "value": 777},
2867 {"name": "PH_SC6_PA2_EOPG_WE", "value": 778},
2868 {"name": "PH_SC6_PA2_DEALLOC_4_0_RD", "value": 779},
2869 {"name": "PH_SC6_PA3_DATA_FIFO_RD", "value": 780},
2870 {"name": "PH_SC6_PA3_DATA_FIFO_WE", "value": 781},
2871 {"name": "PH_SC6_PA3_FIFO_EMPTY", "value": 782},
2872 {"name": "PH_SC6_PA3_FIFO_FULL", "value": 783},
2873 {"name": "PH_SC6_PA3_NULL_WE", "value": 784},
2874 {"name": "PH_SC6_PA3_EVENT_WE", "value": 785},
2875 {"name": "PH_SC6_PA3_FPOV_WE", "value": 786},
2876 {"name": "PH_SC6_PA3_LPOV_WE", "value": 787},
2877 {"name": "PH_SC6_PA3_EOP_WE", "value": 788},
2878 {"name": "PH_SC6_PA3_DATA_FIFO_EOP_RD", "value": 789},
2879 {"name": "PH_SC6_PA3_EOPG_WE", "value": 790},
2880 {"name": "PH_SC6_PA3_DEALLOC_4_0_RD", "value": 791},
2881 {"name": "PH_SC6_PA4_DATA_FIFO_RD", "value": 792},
2882 {"name": "PH_SC6_PA4_DATA_FIFO_WE", "value": 793},
2883 {"name": "PH_SC6_PA4_FIFO_EMPTY", "value": 794},
2884 {"name": "PH_SC6_PA4_FIFO_FULL", "value": 795},
2885 {"name": "PH_SC6_PA4_NULL_WE", "value": 796},
2886 {"name": "PH_SC6_PA4_EVENT_WE", "value": 797},
2887 {"name": "PH_SC6_PA4_FPOV_WE", "value": 798},
2888 {"name": "PH_SC6_PA4_LPOV_WE", "value": 799},
2889 {"name": "PH_SC6_PA4_EOP_WE", "value": 800},
2890 {"name": "PH_SC6_PA4_DATA_FIFO_EOP_RD", "value": 801},
2891 {"name": "PH_SC6_PA4_EOPG_WE", "value": 802},
2892 {"name": "PH_SC6_PA4_DEALLOC_4_0_RD", "value": 803},
2893 {"name": "PH_SC6_PA5_DATA_FIFO_RD", "value": 804},
2894 {"name": "PH_SC6_PA5_DATA_FIFO_WE", "value": 805},
2895 {"name": "PH_SC6_PA5_FIFO_EMPTY", "value": 806},
2896 {"name": "PH_SC6_PA5_FIFO_FULL", "value": 807},
2897 {"name": "PH_SC6_PA5_NULL_WE", "value": 808},
2898 {"name": "PH_SC6_PA5_EVENT_WE", "value": 809},
2899 {"name": "PH_SC6_PA5_FPOV_WE", "value": 810},
2900 {"name": "PH_SC6_PA5_LPOV_WE", "value": 811},
2901 {"name": "PH_SC6_PA5_EOP_WE", "value": 812},
2902 {"name": "PH_SC6_PA5_DATA_FIFO_EOP_RD", "value": 813},
2903 {"name": "PH_SC6_PA5_EOPG_WE", "value": 814},
2904 {"name": "PH_SC6_PA5_DEALLOC_4_0_RD", "value": 815},
2905 {"name": "PH_SC6_PA6_DATA_FIFO_RD", "value": 816},
2906 {"name": "PH_SC6_PA6_DATA_FIFO_WE", "value": 817},
2907 {"name": "PH_SC6_PA6_FIFO_EMPTY", "value": 818},
2908 {"name": "PH_SC6_PA6_FIFO_FULL", "value": 819},
2909 {"name": "PH_SC6_PA6_NULL_WE", "value": 820},
2910 {"name": "PH_SC6_PA6_EVENT_WE", "value": 821},
2911 {"name": "PH_SC6_PA6_FPOV_WE", "value": 822},
2912 {"name": "PH_SC6_PA6_LPOV_WE", "value": 823},
2913 {"name": "PH_SC6_PA6_EOP_WE", "value": 824},
2914 {"name": "PH_SC6_PA6_DATA_FIFO_EOP_RD", "value": 825},
2915 {"name": "PH_SC6_PA6_EOPG_WE", "value": 826},
2916 {"name": "PH_SC6_PA6_DEALLOC_4_0_RD", "value": 827},
2917 {"name": "PH_SC6_PA7_DATA_FIFO_RD", "value": 828},
2918 {"name": "PH_SC6_PA7_DATA_FIFO_WE", "value": 829},
2919 {"name": "PH_SC6_PA7_FIFO_EMPTY", "value": 830},
2920 {"name": "PH_SC6_PA7_FIFO_FULL", "value": 831},
2921 {"name": "PH_SC6_PA7_NULL_WE", "value": 832},
2922 {"name": "PH_SC6_PA7_EVENT_WE", "value": 833},
2923 {"name": "PH_SC6_PA7_FPOV_WE", "value": 834},
2924 {"name": "PH_SC6_PA7_LPOV_WE", "value": 835},
2925 {"name": "PH_SC6_PA7_EOP_WE", "value": 836},
2926 {"name": "PH_SC6_PA7_DATA_FIFO_EOP_RD", "value": 837},
2927 {"name": "PH_SC6_PA7_EOPG_WE", "value": 838},
2928 {"name": "PH_SC6_PA7_DEALLOC_4_0_RD", "value": 839},
2929 {"name": "PH_SC7_SRPS_WINDOW_VALID", "value": 840},
2930 {"name": "PH_SC7_ARB_XFC_ALL_EVENT_OR_PRIM_CYCLES", "value": 841},
2931 {"name": "PH_SC7_ARB_XFC_ONLY_PRIM_CYCLES", "value": 842},
2932 {"name": "PH_SC7_ARB_XFC_ONLY_ONE_INC_PER_PRIM", "value": 843},
2933 {"name": "PH_SC7_ARB_STALLED_FROM_BELOW", "value": 844},
2934 {"name": "PH_SC7_ARB_STARVED_FROM_ABOVE", "value": 845},
2935 {"name": "PH_SC7_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_NOT_EMPTY", "value": 846},
2936 {"name": "PH_SC7_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_FULL", "value": 847},
2937 {"name": "PH_SC7_ARB_BUSY", "value": 848},
2938 {"name": "PH_SC7_ARB_PA_BUSY_SOP", "value": 849},
2939 {"name": "PH_SC7_ARB_EOP_POP_SYNC_POP", "value": 850},
2940 {"name": "PH_SC7_ARB_EVENT_SYNC_POP", "value": 851},
2941 {"name": "PH_SC7_PS_ENG_MULTICYCLE_BUBBLE", "value": 852},
2942 {"name": "PH_SC7_EOP_SYNC_WINDOW", "value": 853},
2943 {"name": "PH_SC7_BUSY_PROCESSING_MULTICYCLE_PRIM", "value": 854},
2944 {"name": "PH_SC7_BUSY_CNT_NOT_ZERO", "value": 855},
2945 {"name": "PH_SC7_SEND", "value": 856},
2946 {"name": "PH_SC7_CREDIT_AT_ZERO_WITH_PENDING_SEND", "value": 857},
2947 {"name": "PH_SC7_CREDIT_AT_MAX", "value": 858},
2948 {"name": "PH_SC7_CREDIT_AT_MAX_NO_PENDING_SEND", "value": 859},
2949 {"name": "PH_SC7_GFX_PIPE_EVENT_PROVOKED_TRANSITION", "value": 860},
2950 {"name": "PH_SC7_GFX_PIPE_EOP_PRIM_PROVOKED_TRANSITION", "value": 861},
2951 {"name": "PH_SC7_GFX_PIPE0_TO_1_TRANSITION", "value": 862},
2952 {"name": "PH_SC7_GFX_PIPE1_TO_0_TRANSITION", "value": 863},
2953 {"name": "PH_SC7_PA0_DATA_FIFO_RD", "value": 864},
2954 {"name": "PH_SC7_PA0_DATA_FIFO_WE", "value": 865},
2955 {"name": "PH_SC7_PA0_FIFO_EMPTY", "value": 866},
2956 {"name": "PH_SC7_PA0_FIFO_FULL", "value": 867},
2957 {"name": "PH_SC7_PA0_NULL_WE", "value": 868},
2958 {"name": "PH_SC7_PA0_EVENT_WE", "value": 869},
2959 {"name": "PH_SC7_PA0_FPOV_WE", "value": 870},
2960 {"name": "PH_SC7_PA0_LPOV_WE", "value": 871},
2961 {"name": "PH_SC7_PA0_EOP_WE", "value": 872},
2962 {"name": "PH_SC7_PA0_DATA_FIFO_EOP_RD", "value": 873},
2963 {"name": "PH_SC7_PA0_EOPG_WE", "value": 874},
2964 {"name": "PH_SC7_PA0_DEALLOC_4_0_RD", "value": 875},
2965 {"name": "PH_SC7_PA1_DATA_FIFO_RD", "value": 876},
2966 {"name": "PH_SC7_PA1_DATA_FIFO_WE", "value": 877},
2967 {"name": "PH_SC7_PA1_FIFO_EMPTY", "value": 878},
2968 {"name": "PH_SC7_PA1_FIFO_FULL", "value": 879},
2969 {"name": "PH_SC7_PA1_NULL_WE", "value": 880},
2970 {"name": "PH_SC7_PA1_EVENT_WE", "value": 881},
2971 {"name": "PH_SC7_PA1_FPOV_WE", "value": 882},
2972 {"name": "PH_SC7_PA1_LPOV_WE", "value": 883},
2973 {"name": "PH_SC7_PA1_EOP_WE", "value": 884},
2974 {"name": "PH_SC7_PA1_DATA_FIFO_EOP_RD", "value": 885},
2975 {"name": "PH_SC7_PA1_EOPG_WE", "value": 886},
2976 {"name": "PH_SC7_PA1_DEALLOC_4_0_RD", "value": 887},
2977 {"name": "PH_SC7_PA2_DATA_FIFO_RD", "value": 888},
2978 {"name": "PH_SC7_PA2_DATA_FIFO_WE", "value": 889},
2979 {"name": "PH_SC7_PA2_FIFO_EMPTY", "value": 890},
2980 {"name": "PH_SC7_PA2_FIFO_FULL", "value": 891},
2981 {"name": "PH_SC7_PA2_NULL_WE", "value": 892},
2982 {"name": "PH_SC7_PA2_EVENT_WE", "value": 893},
2983 {"name": "PH_SC7_PA2_FPOV_WE", "value": 894},
2984 {"name": "PH_SC7_PA2_LPOV_WE", "value": 895},
2985 {"name": "PH_SC7_PA2_EOP_WE", "value": 896},
2986 {"name": "PH_SC7_PA2_DATA_FIFO_EOP_RD", "value": 897},
2987 {"name": "PH_SC7_PA2_EOPG_WE", "value": 898},
2988 {"name": "PH_SC7_PA2_DEALLOC_4_0_RD", "value": 899},
2989 {"name": "PH_SC7_PA3_DATA_FIFO_RD", "value": 900},
2990 {"name": "PH_SC7_PA3_DATA_FIFO_WE", "value": 901},
2991 {"name": "PH_SC7_PA3_FIFO_EMPTY", "value": 902},
2992 {"name": "PH_SC7_PA3_FIFO_FULL", "value": 903},
2993 {"name": "PH_SC7_PA3_NULL_WE", "value": 904},
2994 {"name": "PH_SC7_PA3_EVENT_WE", "value": 905},
2995 {"name": "PH_SC7_PA3_FPOV_WE", "value": 906},
2996 {"name": "PH_SC7_PA3_LPOV_WE", "value": 907},
2997 {"name": "PH_SC7_PA3_EOP_WE", "value": 908},
2998 {"name": "PH_SC7_PA3_DATA_FIFO_EOP_RD", "value": 909},
2999 {"name": "PH_SC7_PA3_EOPG_WE", "value": 910},
3000 {"name": "PH_SC7_PA3_DEALLOC_4_0_RD", "value": 911},
3001 {"name": "PH_SC7_PA4_DATA_FIFO_RD", "value": 912},
3002 {"name": "PH_SC7_PA4_DATA_FIFO_WE", "value": 913},
3003 {"name": "PH_SC7_PA4_FIFO_EMPTY", "value": 914},
3004 {"name": "PH_SC7_PA4_FIFO_FULL", "value": 915},
3005 {"name": "PH_SC7_PA4_NULL_WE", "value": 916},
3006 {"name": "PH_SC7_PA4_EVENT_WE", "value": 917},
3007 {"name": "PH_SC7_PA4_FPOV_WE", "value": 918},
3008 {"name": "PH_SC7_PA4_LPOV_WE", "value": 919},
3009 {"name": "PH_SC7_PA4_EOP_WE", "value": 920},
3010 {"name": "PH_SC7_PA4_DATA_FIFO_EOP_RD", "value": 921},
3011 {"name": "PH_SC7_PA4_EOPG_WE", "value": 922},
3012 {"name": "PH_SC7_PA4_DEALLOC_4_0_RD", "value": 923},
3013 {"name": "PH_SC7_PA5_DATA_FIFO_RD", "value": 924},
3014 {"name": "PH_SC7_PA5_DATA_FIFO_WE", "value": 925},
3015 {"name": "PH_SC7_PA5_FIFO_EMPTY", "value": 926},
3016 {"name": "PH_SC7_PA5_FIFO_FULL", "value": 927},
3017 {"name": "PH_SC7_PA5_NULL_WE", "value": 928},
3018 {"name": "PH_SC7_PA5_EVENT_WE", "value": 929},
3019 {"name": "PH_SC7_PA5_FPOV_WE", "value": 930},
3020 {"name": "PH_SC7_PA5_LPOV_WE", "value": 931},
3021 {"name": "PH_SC7_PA5_EOP_WE", "value": 932},
3022 {"name": "PH_SC7_PA5_DATA_FIFO_EOP_RD", "value": 933},
3023 {"name": "PH_SC7_PA5_EOPG_WE", "value": 934},
3024 {"name": "PH_SC7_PA5_DEALLOC_4_0_RD", "value": 935},
3025 {"name": "PH_SC7_PA6_DATA_FIFO_RD", "value": 936},
3026 {"name": "PH_SC7_PA6_DATA_FIFO_WE", "value": 937},
3027 {"name": "PH_SC7_PA6_FIFO_EMPTY", "value": 938},
3028 {"name": "PH_SC7_PA6_FIFO_FULL", "value": 939},
3029 {"name": "PH_SC7_PA6_NULL_WE", "value": 940},
3030 {"name": "PH_SC7_PA6_EVENT_WE", "value": 941},
3031 {"name": "PH_SC7_PA6_FPOV_WE", "value": 942},
3032 {"name": "PH_SC7_PA6_LPOV_WE", "value": 943},
3033 {"name": "PH_SC7_PA6_EOP_WE", "value": 944},
3034 {"name": "PH_SC7_PA6_DATA_FIFO_EOP_RD", "value": 945},
3035 {"name": "PH_SC7_PA6_EOPG_WE", "value": 946},
3036 {"name": "PH_SC7_PA6_DEALLOC_4_0_RD", "value": 947},
3037 {"name": "PH_SC7_PA7_DATA_FIFO_RD", "value": 948},
3038 {"name": "PH_SC7_PA7_DATA_FIFO_WE", "value": 949},
3039 {"name": "PH_SC7_PA7_FIFO_EMPTY", "value": 950},
3040 {"name": "PH_SC7_PA7_FIFO_FULL", "value": 951},
3041 {"name": "PH_SC7_PA7_NULL_WE", "value": 952},
3042 {"name": "PH_SC7_PA7_EVENT_WE", "value": 953},
3043 {"name": "PH_SC7_PA7_FPOV_WE", "value": 954},
3044 {"name": "PH_SC7_PA7_LPOV_WE", "value": 955},
3045 {"name": "PH_SC7_PA7_EOP_WE", "value": 956},
3046 {"name": "PH_SC7_PA7_DATA_FIFO_EOP_RD", "value": 957},
3047 {"name": "PH_SC7_PA7_EOPG_WE", "value": 958},
3048 {"name": "PH_SC7_PA7_DEALLOC_4_0_RD", "value": 959}
3049 ]
3050 },
3051 "PerfCounter_Vals": {
3052 "entries": [
3053 {"name": "DB_PERF_SEL_SC_DB_tile_sends", "value": 0},
3054 {"name": "DB_PERF_SEL_SC_DB_tile_busy", "value": 1},
3055 {"name": "DB_PERF_SEL_SC_DB_tile_stalls", "value": 2},
3056 {"name": "DB_PERF_SEL_SC_DB_tile_events", "value": 3},
3057 {"name": "DB_PERF_SEL_SC_DB_tile_tiles", "value": 4},
3058 {"name": "DB_PERF_SEL_SC_DB_tile_covered", "value": 5},
3059 {"name": "DB_PERF_SEL_hiz_tc_read_starved", "value": 6},
3060 {"name": "DB_PERF_SEL_hiz_tc_write_stall", "value": 7},
3061 {"name": "DB_PERF_SEL_hiz_tile_culled", "value": 8},
3062 {"name": "DB_PERF_SEL_his_tile_culled", "value": 9},
3063 {"name": "DB_PERF_SEL_DB_SC_tile_sends", "value": 10},
3064 {"name": "DB_PERF_SEL_DB_SC_tile_busy", "value": 11},
3065 {"name": "DB_PERF_SEL_DB_SC_tile_stalls", "value": 12},
3066 {"name": "DB_PERF_SEL_DB_SC_tile_df_stalls", "value": 13},
3067 {"name": "DB_PERF_SEL_DB_SC_tile_tiles", "value": 14},
3068 {"name": "DB_PERF_SEL_DB_SC_tile_culled", "value": 15},
3069 {"name": "DB_PERF_SEL_DB_SC_tile_hier_kill", "value": 16},
3070 {"name": "DB_PERF_SEL_DB_SC_tile_fast_ops", "value": 17},
3071 {"name": "DB_PERF_SEL_DB_SC_tile_no_ops", "value": 18},
3072 {"name": "DB_PERF_SEL_DB_SC_tile_tile_rate", "value": 19},
3073 {"name": "DB_PERF_SEL_DB_SC_tile_ssaa_kill", "value": 20},
3074 {"name": "DB_PERF_SEL_DB_SC_tile_fast_z_ops", "value": 21},
3075 {"name": "DB_PERF_SEL_DB_SC_tile_fast_stencil_ops", "value": 22},
3076 {"name": "DB_PERF_SEL_SC_DB_quad_sends", "value": 23},
3077 {"name": "DB_PERF_SEL_SC_DB_quad_busy", "value": 24},
3078 {"name": "DB_PERF_SEL_SC_DB_quad_squads", "value": 25},
3079 {"name": "DB_PERF_SEL_SC_DB_quad_tiles", "value": 26},
3080 {"name": "DB_PERF_SEL_SC_DB_quad_pixels", "value": 27},
3081 {"name": "DB_PERF_SEL_SC_DB_quad_killed_tiles", "value": 28},
3082 {"name": "DB_PERF_SEL_DB_SC_quad_sends", "value": 29},
3083 {"name": "DB_PERF_SEL_DB_SC_quad_busy", "value": 30},
3084 {"name": "DB_PERF_SEL_DB_SC_quad_stalls", "value": 31},
3085 {"name": "DB_PERF_SEL_DB_SC_quad_tiles", "value": 32},
3086 {"name": "DB_PERF_SEL_DB_SC_quad_lit_quad", "value": 33},
3087 {"name": "DB_PERF_SEL_DB_CB_tile_sends", "value": 34},
3088 {"name": "DB_PERF_SEL_DB_CB_tile_busy", "value": 35},
3089 {"name": "DB_PERF_SEL_DB_CB_tile_stalls", "value": 36},
3090 {"name": "DB_PERF_SEL_SX_DB_quad_sends", "value": 37},
3091 {"name": "DB_PERF_SEL_SX_DB_quad_busy", "value": 38},
3092 {"name": "DB_PERF_SEL_SX_DB_quad_stalls", "value": 39},
3093 {"name": "DB_PERF_SEL_SX_DB_quad_quads", "value": 40},
3094 {"name": "DB_PERF_SEL_SX_DB_quad_pixels", "value": 41},
3095 {"name": "DB_PERF_SEL_SX_DB_quad_exports", "value": 42},
3096 {"name": "DB_PERF_SEL_SH_quads_outstanding_sum", "value": 43},
3097 {"name": "DB_PERF_SEL_DB_CB_lquad_sends", "value": 44},
3098 {"name": "DB_PERF_SEL_DB_CB_lquad_busy", "value": 45},
3099 {"name": "DB_PERF_SEL_DB_CB_lquad_stalls", "value": 46},
3100 {"name": "DB_PERF_SEL_DB_CB_lquad_quads", "value": 47},
3101 {"name": "DB_PERF_SEL_tile_rd_sends", "value": 48},
3102 {"name": "DB_PERF_SEL_mi_tile_rd_outstanding_sum", "value": 49},
3103 {"name": "DB_PERF_SEL_quad_rd_sends", "value": 50},
3104 {"name": "DB_PERF_SEL_quad_rd_busy", "value": 51},
3105 {"name": "DB_PERF_SEL_quad_rd_mi_stall", "value": 52},
3106 {"name": "DB_PERF_SEL_quad_rd_rw_collision", "value": 53},
3107 {"name": "DB_PERF_SEL_quad_rd_tag_stall", "value": 54},
3108 {"name": "DB_PERF_SEL_quad_rd_32byte_reqs", "value": 55},
3109 {"name": "DB_PERF_SEL_quad_rd_panic", "value": 56},
3110 {"name": "DB_PERF_SEL_mi_quad_rd_outstanding_sum", "value": 57},
3111 {"name": "DB_PERF_SEL_quad_rdret_sends", "value": 58},
3112 {"name": "DB_PERF_SEL_quad_rdret_busy", "value": 59},
3113 {"name": "DB_PERF_SEL_tile_wr_sends", "value": 60},
3114 {"name": "DB_PERF_SEL_tile_wr_acks", "value": 61},
3115 {"name": "DB_PERF_SEL_mi_tile_wr_outstanding_sum", "value": 62},
3116 {"name": "DB_PERF_SEL_quad_wr_sends", "value": 63},
3117 {"name": "DB_PERF_SEL_quad_wr_busy", "value": 64},
3118 {"name": "DB_PERF_SEL_quad_wr_mi_stall", "value": 65},
3119 {"name": "DB_PERF_SEL_quad_wr_coherency_stall", "value": 66},
3120 {"name": "DB_PERF_SEL_quad_wr_acks", "value": 67},
3121 {"name": "DB_PERF_SEL_mi_quad_wr_outstanding_sum", "value": 68},
3122 {"name": "DB_PERF_SEL_Tile_Cache_misses", "value": 69},
3123 {"name": "DB_PERF_SEL_Tile_Cache_hits", "value": 70},
3124 {"name": "DB_PERF_SEL_Tile_Cache_flushes", "value": 71},
3125 {"name": "DB_PERF_SEL_Tile_Cache_surface_stall", "value": 72},
3126 {"name": "DB_PERF_SEL_Tile_Cache_starves", "value": 73},
3127 {"name": "DB_PERF_SEL_Tile_Cache_mem_return_starve", "value": 74},
3128 {"name": "DB_PERF_SEL_tcp_dispatcher_reads", "value": 75},
3129 {"name": "DB_PERF_SEL_tcp_prefetcher_reads", "value": 76},
3130 {"name": "DB_PERF_SEL_tcp_preloader_reads", "value": 77},
3131 {"name": "DB_PERF_SEL_tcp_dispatcher_flushes", "value": 78},
3132 {"name": "DB_PERF_SEL_tcp_prefetcher_flushes", "value": 79},
3133 {"name": "DB_PERF_SEL_tcp_preloader_flushes", "value": 80},
3134 {"name": "DB_PERF_SEL_Depth_Tile_Cache_sends", "value": 81},
3135 {"name": "DB_PERF_SEL_Depth_Tile_Cache_busy", "value": 82},
3136 {"name": "DB_PERF_SEL_Depth_Tile_Cache_starves", "value": 83},
3137 {"name": "DB_PERF_SEL_Depth_Tile_Cache_dtile_locked", "value": 84},
3138 {"name": "DB_PERF_SEL_Depth_Tile_Cache_alloc_stall", "value": 85},
3139 {"name": "DB_PERF_SEL_Depth_Tile_Cache_misses", "value": 86},
3140 {"name": "DB_PERF_SEL_Depth_Tile_Cache_hits", "value": 87},
3141 {"name": "DB_PERF_SEL_Depth_Tile_Cache_flushes", "value": 88},
3142 {"name": "DB_PERF_SEL_Depth_Tile_Cache_noop_tile", "value": 89},
3143 {"name": "DB_PERF_SEL_Depth_Tile_Cache_detailed_noop", "value": 90},
3144 {"name": "DB_PERF_SEL_Depth_Tile_Cache_event", "value": 91},
3145 {"name": "DB_PERF_SEL_Depth_Tile_Cache_tile_frees", "value": 92},
3146 {"name": "DB_PERF_SEL_Depth_Tile_Cache_data_frees", "value": 93},
3147 {"name": "DB_PERF_SEL_Depth_Tile_Cache_mem_return_starve", "value": 94},
3148 {"name": "DB_PERF_SEL_Stencil_Cache_misses", "value": 95},
3149 {"name": "DB_PERF_SEL_Stencil_Cache_hits", "value": 96},
3150 {"name": "DB_PERF_SEL_Stencil_Cache_flushes", "value": 97},
3151 {"name": "DB_PERF_SEL_Stencil_Cache_starves", "value": 98},
3152 {"name": "DB_PERF_SEL_Stencil_Cache_frees", "value": 99},
3153 {"name": "DB_PERF_SEL_Z_Cache_separate_Z_misses", "value": 100},
3154 {"name": "DB_PERF_SEL_Z_Cache_separate_Z_hits", "value": 101},
3155 {"name": "DB_PERF_SEL_Z_Cache_separate_Z_flushes", "value": 102},
3156 {"name": "DB_PERF_SEL_Z_Cache_separate_Z_starves", "value": 103},
3157 {"name": "DB_PERF_SEL_Z_Cache_pmask_misses", "value": 104},
3158 {"name": "DB_PERF_SEL_Z_Cache_pmask_hits", "value": 105},
3159 {"name": "DB_PERF_SEL_Z_Cache_pmask_flushes", "value": 106},
3160 {"name": "DB_PERF_SEL_Z_Cache_pmask_starves", "value": 107},
3161 {"name": "DB_PERF_SEL_Z_Cache_frees", "value": 108},
3162 {"name": "DB_PERF_SEL_Plane_Cache_misses", "value": 109},
3163 {"name": "DB_PERF_SEL_Plane_Cache_hits", "value": 110},
3164 {"name": "DB_PERF_SEL_Plane_Cache_flushes", "value": 111},
3165 {"name": "DB_PERF_SEL_Plane_Cache_starves", "value": 112},
3166 {"name": "DB_PERF_SEL_Plane_Cache_frees", "value": 113},
3167 {"name": "DB_PERF_SEL_flush_expanded_stencil", "value": 114},
3168 {"name": "DB_PERF_SEL_flush_compressed_stencil", "value": 115},
3169 {"name": "DB_PERF_SEL_flush_single_stencil", "value": 116},
3170 {"name": "DB_PERF_SEL_planes_flushed", "value": 117},
3171 {"name": "DB_PERF_SEL_flush_1plane", "value": 118},
3172 {"name": "DB_PERF_SEL_flush_2plane", "value": 119},
3173 {"name": "DB_PERF_SEL_flush_3plane", "value": 120},
3174 {"name": "DB_PERF_SEL_flush_4plane", "value": 121},
3175 {"name": "DB_PERF_SEL_flush_5plane", "value": 122},
3176 {"name": "DB_PERF_SEL_flush_6plane", "value": 123},
3177 {"name": "DB_PERF_SEL_flush_7plane", "value": 124},
3178 {"name": "DB_PERF_SEL_flush_8plane", "value": 125},
3179 {"name": "DB_PERF_SEL_flush_9plane", "value": 126},
3180 {"name": "DB_PERF_SEL_flush_10plane", "value": 127},
3181 {"name": "DB_PERF_SEL_flush_11plane", "value": 128},
3182 {"name": "DB_PERF_SEL_flush_12plane", "value": 129},
3183 {"name": "DB_PERF_SEL_flush_13plane", "value": 130},
3184 {"name": "DB_PERF_SEL_flush_14plane", "value": 131},
3185 {"name": "DB_PERF_SEL_flush_15plane", "value": 132},
3186 {"name": "DB_PERF_SEL_flush_16plane", "value": 133},
3187 {"name": "DB_PERF_SEL_flush_expanded_z", "value": 134},
3188 {"name": "DB_PERF_SEL_earlyZ_waiting_for_postZ_done", "value": 135},
3189 {"name": "DB_PERF_SEL_reZ_waiting_for_postZ_done", "value": 136},
3190 {"name": "DB_PERF_SEL_dk_tile_sends", "value": 137},
3191 {"name": "DB_PERF_SEL_dk_tile_busy", "value": 138},
3192 {"name": "DB_PERF_SEL_dk_tile_quad_starves", "value": 139},
3193 {"name": "DB_PERF_SEL_dk_tile_stalls", "value": 140},
3194 {"name": "DB_PERF_SEL_dk_squad_sends", "value": 141},
3195 {"name": "DB_PERF_SEL_dk_squad_busy", "value": 142},
3196 {"name": "DB_PERF_SEL_dk_squad_stalls", "value": 143},
3197 {"name": "DB_PERF_SEL_Op_Pipe_Busy", "value": 144},
3198 {"name": "DB_PERF_SEL_Op_Pipe_MC_Read_stall", "value": 145},
3199 {"name": "DB_PERF_SEL_qc_busy", "value": 146},
3200 {"name": "DB_PERF_SEL_qc_xfc", "value": 147},
3201 {"name": "DB_PERF_SEL_qc_conflicts", "value": 148},
3202 {"name": "DB_PERF_SEL_qc_full_stall", "value": 149},
3203 {"name": "DB_PERF_SEL_qc_in_preZ_tile_stalls_postZ", "value": 150},
3204 {"name": "DB_PERF_SEL_qc_in_postZ_tile_stalls_preZ", "value": 151},
3205 {"name": "DB_PERF_SEL_tsc_insert_summarize_stall", "value": 152},
3206 {"name": "DB_PERF_SEL_tl_busy", "value": 153},
3207 {"name": "DB_PERF_SEL_tl_dtc_read_starved", "value": 154},
3208 {"name": "DB_PERF_SEL_tl_z_fetch_stall", "value": 155},
3209 {"name": "DB_PERF_SEL_tl_stencil_stall", "value": 156},
3210 {"name": "DB_PERF_SEL_tl_z_decompress_stall", "value": 157},
3211 {"name": "DB_PERF_SEL_tl_stencil_locked_stall", "value": 158},
3212 {"name": "DB_PERF_SEL_tl_events", "value": 159},
3213 {"name": "DB_PERF_SEL_tl_summarize_squads", "value": 160},
3214 {"name": "DB_PERF_SEL_tl_flush_expand_squads", "value": 161},
3215 {"name": "DB_PERF_SEL_tl_expand_squads", "value": 162},
3216 {"name": "DB_PERF_SEL_tl_preZ_squads", "value": 163},
3217 {"name": "DB_PERF_SEL_tl_postZ_squads", "value": 164},
3218 {"name": "DB_PERF_SEL_tl_preZ_noop_squads", "value": 165},
3219 {"name": "DB_PERF_SEL_tl_postZ_noop_squads", "value": 166},
3220 {"name": "DB_PERF_SEL_tl_tile_ops", "value": 167},
3221 {"name": "DB_PERF_SEL_tl_in_xfc", "value": 168},
3222 {"name": "DB_PERF_SEL_tl_in_single_stencil_expand_stall", "value": 169},
3223 {"name": "DB_PERF_SEL_tl_in_fast_z_stall", "value": 170},
3224 {"name": "DB_PERF_SEL_tl_out_xfc", "value": 171},
3225 {"name": "DB_PERF_SEL_tl_out_squads", "value": 172},
3226 {"name": "DB_PERF_SEL_zf_plane_multicycle", "value": 173},
3227 {"name": "DB_PERF_SEL_PostZ_Samples_passing_Z", "value": 174},
3228 {"name": "DB_PERF_SEL_PostZ_Samples_failing_Z", "value": 175},
3229 {"name": "DB_PERF_SEL_PostZ_Samples_failing_S", "value": 176},
3230 {"name": "DB_PERF_SEL_PreZ_Samples_passing_Z", "value": 177},
3231 {"name": "DB_PERF_SEL_PreZ_Samples_failing_Z", "value": 178},
3232 {"name": "DB_PERF_SEL_PreZ_Samples_failing_S", "value": 179},
3233 {"name": "DB_PERF_SEL_ts_tc_update_stall", "value": 180},
3234 {"name": "DB_PERF_SEL_sc_kick_start", "value": 181},
3235 {"name": "DB_PERF_SEL_sc_kick_end", "value": 182},
3236 {"name": "DB_PERF_SEL_clock_reg_active", "value": 183},
3237 {"name": "DB_PERF_SEL_clock_main_active", "value": 184},
3238 {"name": "DB_PERF_SEL_clock_mem_export_active", "value": 185},
3239 {"name": "DB_PERF_SEL_esr_ps_out_busy", "value": 186},
3240 {"name": "DB_PERF_SEL_esr_ps_lqf_busy", "value": 187},
3241 {"name": "DB_PERF_SEL_esr_ps_lqf_stall", "value": 188},
3242 {"name": "DB_PERF_SEL_etr_out_send", "value": 189},
3243 {"name": "DB_PERF_SEL_etr_out_busy", "value": 190},
3244 {"name": "DB_PERF_SEL_etr_out_ltile_probe_fifo_full_stall", "value": 191},
3245 {"name": "DB_PERF_SEL_etr_out_cb_tile_stall", "value": 192},
3246 {"name": "DB_PERF_SEL_etr_out_esr_stall", "value": 193},
3247 {"name": "DB_PERF_SEL_esr_ps_sqq_busy", "value": 194},
3248 {"name": "DB_PERF_SEL_esr_ps_sqq_stall", "value": 195},
3249 {"name": "DB_PERF_SEL_esr_eot_fwd_busy", "value": 196},
3250 {"name": "DB_PERF_SEL_esr_eot_fwd_holding_squad", "value": 197},
3251 {"name": "DB_PERF_SEL_esr_eot_fwd_forward", "value": 198},
3252 {"name": "DB_PERF_SEL_esr_sqq_zi_busy", "value": 199},
3253 {"name": "DB_PERF_SEL_esr_sqq_zi_stall", "value": 200},
3254 {"name": "DB_PERF_SEL_postzl_sq_pt_busy", "value": 201},
3255 {"name": "DB_PERF_SEL_postzl_sq_pt_stall", "value": 202},
3256 {"name": "DB_PERF_SEL_postzl_se_busy", "value": 203},
3257 {"name": "DB_PERF_SEL_postzl_se_stall", "value": 204},
3258 {"name": "DB_PERF_SEL_postzl_partial_launch", "value": 205},
3259 {"name": "DB_PERF_SEL_postzl_full_launch", "value": 206},
3260 {"name": "DB_PERF_SEL_postzl_partial_waiting", "value": 207},
3261 {"name": "DB_PERF_SEL_postzl_tile_mem_stall", "value": 208},
3262 {"name": "DB_PERF_SEL_postzl_tile_init_stall", "value": 209},
3263 {"name": "DB_PERF_SEL_prezl_tile_mem_stall", "value": 210},
3264 {"name": "DB_PERF_SEL_prezl_tile_init_stall", "value": 211},
3265 {"name": "DB_PERF_SEL_dtt_sm_clash_stall", "value": 212},
3266 {"name": "DB_PERF_SEL_dtt_sm_slot_stall", "value": 213},
3267 {"name": "DB_PERF_SEL_dtt_sm_miss_stall", "value": 214},
3268 {"name": "DB_PERF_SEL_mi_rdreq_busy", "value": 215},
3269 {"name": "DB_PERF_SEL_mi_rdreq_stall", "value": 216},
3270 {"name": "DB_PERF_SEL_mi_wrreq_busy", "value": 217},
3271 {"name": "DB_PERF_SEL_mi_wrreq_stall", "value": 218},
3272 {"name": "DB_PERF_SEL_recomp_tile_to_1zplane_no_fastop", "value": 219},
3273 {"name": "DB_PERF_SEL_dkg_tile_rate_tile", "value": 220},
3274 {"name": "DB_PERF_SEL_prezl_src_in_sends", "value": 221},
3275 {"name": "DB_PERF_SEL_prezl_src_in_stall", "value": 222},
3276 {"name": "DB_PERF_SEL_prezl_src_in_squads", "value": 223},
3277 {"name": "DB_PERF_SEL_prezl_src_in_squads_unrolled", "value": 224},
3278 {"name": "DB_PERF_SEL_prezl_src_in_tile_rate", "value": 225},
3279 {"name": "DB_PERF_SEL_prezl_src_in_tile_rate_unrolled", "value": 226},
3280 {"name": "DB_PERF_SEL_prezl_src_out_stall", "value": 227},
3281 {"name": "DB_PERF_SEL_postzl_src_in_sends", "value": 228},
3282 {"name": "DB_PERF_SEL_postzl_src_in_stall", "value": 229},
3283 {"name": "DB_PERF_SEL_postzl_src_in_squads", "value": 230},
3284 {"name": "DB_PERF_SEL_postzl_src_in_squads_unrolled", "value": 231},
3285 {"name": "DB_PERF_SEL_postzl_src_in_tile_rate", "value": 232},
3286 {"name": "DB_PERF_SEL_postzl_src_in_tile_rate_unrolled", "value": 233},
3287 {"name": "DB_PERF_SEL_postzl_src_out_stall", "value": 234},
3288 {"name": "DB_PERF_SEL_esr_ps_src_in_sends", "value": 235},
3289 {"name": "DB_PERF_SEL_esr_ps_src_in_stall", "value": 236},
3290 {"name": "DB_PERF_SEL_esr_ps_src_in_squads", "value": 237},
3291 {"name": "DB_PERF_SEL_esr_ps_src_in_squads_unrolled", "value": 238},
3292 {"name": "DB_PERF_SEL_esr_ps_src_in_tile_rate", "value": 239},
3293 {"name": "DB_PERF_SEL_esr_ps_src_in_tile_rate_unrolled", "value": 240},
3294 {"name": "DB_PERF_SEL_esr_ps_src_in_tile_rate_unrolled_to_pixel_rate", "value": 241},
3295 {"name": "DB_PERF_SEL_esr_ps_src_out_stall", "value": 242},
3296 {"name": "DB_PERF_SEL_depth_bounds_tile_culled", "value": 243},
3297 {"name": "DB_PERF_SEL_PreZ_Samples_failing_DB", "value": 244},
3298 {"name": "DB_PERF_SEL_PostZ_Samples_failing_DB", "value": 245},
3299 {"name": "DB_PERF_SEL_flush_compressed", "value": 246},
3300 {"name": "DB_PERF_SEL_flush_plane_le4", "value": 247},
3301 {"name": "DB_PERF_SEL_tiles_z_fully_summarized", "value": 248},
3302 {"name": "DB_PERF_SEL_tiles_stencil_fully_summarized", "value": 249},
3303 {"name": "DB_PERF_SEL_tiles_z_clear_on_expclear", "value": 250},
3304 {"name": "DB_PERF_SEL_tiles_s_clear_on_expclear", "value": 251},
3305 {"name": "DB_PERF_SEL_tiles_decomp_on_expclear", "value": 252},
3306 {"name": "DB_PERF_SEL_tiles_compressed_to_decompressed", "value": 253},
3307 {"name": "DB_PERF_SEL_Op_Pipe_Prez_Busy", "value": 254},
3308 {"name": "DB_PERF_SEL_Op_Pipe_Postz_Busy", "value": 255},
3309 {"name": "DB_PERF_SEL_di_dt_stall", "value": 256},
3310 {"name": "DB_PERF_SEL_DB_SC_quad_lit_quad_pre_invoke", "value": 257},
3311 {"name": "DB_PERF_SEL_DB_SC_s_tile_rate", "value": 258},
3312 {"name": "DB_PERF_SEL_DB_SC_c_tile_rate", "value": 259},
3313 {"name": "DB_PERF_SEL_DB_SC_z_tile_rate", "value": 260},
3314 {"name": "Spare_261", "value": 261},
3315 {"name": "DB_PERF_SEL_DB_CB_lquad_export_quads", "value": 262},
3316 {"name": "DB_PERF_SEL_DB_CB_lquad_double_format", "value": 263},
3317 {"name": "DB_PERF_SEL_DB_CB_lquad_fast_format", "value": 264},
3318 {"name": "DB_PERF_SEL_DB_CB_lquad_slow_format", "value": 265},
3319 {"name": "DB_PERF_SEL_CB_DB_rdreq_sends", "value": 266},
3320 {"name": "DB_PERF_SEL_CB_DB_rdreq_prt_sends", "value": 267},
3321 {"name": "DB_PERF_SEL_CB_DB_wrreq_sends", "value": 268},
3322 {"name": "DB_PERF_SEL_CB_DB_wrreq_prt_sends", "value": 269},
3323 {"name": "DB_PERF_SEL_DB_CB_rdret_ack", "value": 270},
3324 {"name": "DB_PERF_SEL_DB_CB_rdret_nack", "value": 271},
3325 {"name": "DB_PERF_SEL_DB_CB_wrret_ack", "value": 272},
3326 {"name": "DB_PERF_SEL_DB_CB_wrret_nack", "value": 273},
3327 {"name": "Spare_274", "value": 274},
3328 {"name": "DB_PERF_SEL_DFSM_Stall_opmode_change", "value": 275},
3329 {"name": "DB_PERF_SEL_DFSM_Stall_cam_fifo", "value": 276},
3330 {"name": "DB_PERF_SEL_DFSM_Stall_bypass_fifo", "value": 277},
3331 {"name": "DB_PERF_SEL_DFSM_Stall_retained_tile_fifo", "value": 278},
3332 {"name": "DB_PERF_SEL_DFSM_Stall_control_fifo", "value": 279},
3333 {"name": "DB_PERF_SEL_DFSM_Stall_overflow_counter", "value": 280},
3334 {"name": "DB_PERF_SEL_DFSM_Stall_pops_stall_overflow", "value": 281},
3335 {"name": "DB_PERF_SEL_DFSM_Stall_pops_stall_self_flush", "value": 282},
3336 {"name": "DB_PERF_SEL_DFSM_Stall_middle_output", "value": 283},
3337 {"name": "DB_PERF_SEL_DFSM_Stall_stalling_general", "value": 284},
3338 {"name": "Spare_285", "value": 285},
3339 {"name": "Spare_286", "value": 286},
3340 {"name": "DB_PERF_SEL_DFSM_prez_killed_squad", "value": 287},
3341 {"name": "DB_PERF_SEL_DFSM_squads_in", "value": 288},
3342 {"name": "DB_PERF_SEL_DFSM_full_cleared_squads_out", "value": 289},
3343 {"name": "DB_PERF_SEL_DFSM_quads_in", "value": 290},
3344 {"name": "DB_PERF_SEL_DFSM_fully_cleared_quads_out", "value": 291},
3345 {"name": "DB_PERF_SEL_DFSM_lit_pixels_in", "value": 292},
3346 {"name": "DB_PERF_SEL_DFSM_fully_cleared_pixels_out", "value": 293},
3347 {"name": "DB_PERF_SEL_DFSM_lit_samples_in", "value": 294},
3348 {"name": "DB_PERF_SEL_DFSM_lit_samples_out", "value": 295},
3349 {"name": "DB_PERF_SEL_DFSM_evicted_tiles_above_watermark", "value": 296},
3350 {"name": "DB_PERF_SEL_DFSM_cant_accept_squads_but_not_stalled_by_downstream", "value": 297},
3351 {"name": "DB_PERF_SEL_DFSM_stalled_by_downstream", "value": 298},
3352 {"name": "DB_PERF_SEL_DFSM_evicted_squads_above_watermark", "value": 299},
3353 {"name": "DB_PERF_SEL_DFSM_collisions_due_to_POPS_overflow", "value": 300},
3354 {"name": "DB_PERF_SEL_DFSM_collisions_detected_within_POPS_FIFO", "value": 301},
3355 {"name": "DB_PERF_SEL_DFSM_evicted_squads_due_to_prim_watermark", "value": 302},
3356 {"name": "DB_PERF_SEL_MI_tile_req_wrack_counter_stall", "value": 303},
3357 {"name": "DB_PERF_SEL_MI_quad_req_wrack_counter_stall", "value": 304},
3358 {"name": "DB_PERF_SEL_MI_zpc_req_wrack_counter_stall", "value": 305},
3359 {"name": "DB_PERF_SEL_MI_psd_req_wrack_counter_stall", "value": 306},
3360 {"name": "DB_PERF_SEL_unmapped_z_tile_culled", "value": 307},
3361 {"name": "DB_PERF_SEL_DB_CB_tile_is_event_FLUSH_AND_INV_DB_DATA_TS", "value": 308},
3362 {"name": "DB_PERF_SEL_DB_CB_tile_is_event_FLUSH_AND_INV_CB_PIXEL_DATA", "value": 309},
3363 {"name": "DB_PERF_SEL_DB_CB_tile_is_event_BOTTOM_OF_PIPE_TS", "value": 310},
3364 {"name": "DB_PERF_SEL_DB_CB_tile_waiting_for_perfcounter_stop_event", "value": 311},
3365 {"name": "DB_PERF_SEL_DB_CB_lquad_fmt_32bpp_8pix", "value": 312},
3366 {"name": "DB_PERF_SEL_DB_CB_lquad_fmt_16_16_unsigned_8pix", "value": 313},
3367 {"name": "DB_PERF_SEL_DB_CB_lquad_fmt_16_16_signed_8pix", "value": 314},
3368 {"name": "DB_PERF_SEL_DB_CB_lquad_fmt_16_16_float_8pix", "value": 315},
3369 {"name": "DB_PERF_SEL_DB_CB_lquad_num_pixels_need_blending", "value": 316},
3370 {"name": "DB_PERF_SEL_DB_CB_context_dones", "value": 317},
3371 {"name": "DB_PERF_SEL_DB_CB_eop_dones", "value": 318},
3372 {"name": "DB_PERF_SEL_SX_DB_quad_all_pixels_killed", "value": 319},
3373 {"name": "DB_PERF_SEL_SX_DB_quad_all_pixels_enabled", "value": 320},
3374 {"name": "DB_PERF_SEL_SX_DB_quad_need_blending_and_dst_read", "value": 321},
3375 {"name": "DB_PERF_SEL_SC_DB_tile_backface", "value": 322},
3376 {"name": "DB_PERF_SEL_SC_DB_quad_quads", "value": 323},
3377 {"name": "DB_PERF_SEL_DB_SC_quad_quads_with_1_pixel", "value": 324},
3378 {"name": "DB_PERF_SEL_DB_SC_quad_quads_with_2_pixels", "value": 325},
3379 {"name": "DB_PERF_SEL_DB_SC_quad_quads_with_3_pixels", "value": 326},
3380 {"name": "DB_PERF_SEL_DB_SC_quad_quads_with_4_pixels", "value": 327},
3381 {"name": "DB_PERF_SEL_DFSM_Flush_flushabit", "value": 328},
3382 {"name": "DB_PERF_SEL_DFSM_Flush_flushabit_camcoord_fifo", "value": 329},
3383 {"name": "DB_PERF_SEL_DFSM_Flush_flushabit_passthrough", "value": 330},
3384 {"name": "DB_PERF_SEL_DFSM_Flush_flushabit_forceflush", "value": 331},
3385 {"name": "DB_PERF_SEL_DFSM_Flush_flushabit_nearlyfull", "value": 332},
3386 {"name": "DB_PERF_SEL_DFSM_Flush_flushabit_primitivesinflightwatermark", "value": 333},
3387 {"name": "DB_PERF_SEL_DFSM_Flush_flushabit_punch_stalling", "value": 334},
3388 {"name": "DB_PERF_SEL_DFSM_Flush_flushabit_retainedtilefifo_watermark", "value": 335},
3389 {"name": "DB_PERF_SEL_DFSM_Flush_flushabit_tilesinflightwatermark", "value": 336},
3390 {"name": "DB_PERF_SEL_DFSM_Flush_flushall", "value": 337},
3391 {"name": "DB_PERF_SEL_DFSM_Flush_flushall_dfsmflush", "value": 338},
3392 {"name": "DB_PERF_SEL_DFSM_Flush_flushall_opmodechange", "value": 339},
3393 {"name": "DB_PERF_SEL_DFSM_Flush_flushall_sampleratechange", "value": 340},
3394 {"name": "DB_PERF_SEL_DFSM_Flush_flushall_watchdog", "value": 341},
3395 {"name": "DB_PERF_SEL_DB_SC_quad_double_quad", "value": 342},
3396 {"name": "DB_PERF_SEL_SX_DB_quad_export_quads", "value": 343},
3397 {"name": "DB_PERF_SEL_SX_DB_quad_double_format", "value": 344},
3398 {"name": "DB_PERF_SEL_SX_DB_quad_fast_format", "value": 345},
3399 {"name": "DB_PERF_SEL_SX_DB_quad_slow_format", "value": 346},
3400 {"name": "DB_PERF_SEL_quad_rd_sends_unc", "value": 347},
3401 {"name": "DB_PERF_SEL_quad_rd_mi_stall_unc", "value": 348},
3402 {"name": "DB_PERF_SEL_DFSM_OutputPunch", "value": 349},
3403 {"name": "DB_PERF_SEL_DFSM_OutputPops", "value": 350},
3404 {"name": "DB_PERF_SEL_DFSM_OutputFifo", "value": 351},
3405 {"name": "DB_PERF_SEL_DFSM_StallOpmodeChange", "value": 352},
3406 {"name": "DB_PERF_SEL_DFSM_StallCAMFifoFull", "value": 353},
3407 {"name": "DB_PERF_SEL_DFSM_StallBypassFifoFull", "value": 354},
3408 {"name": "DB_PERF_SEL_DFSM_StallRetainedTileFifoFull", "value": 355},
3409 {"name": "DB_PERF_SEL_DFSM_StallControlFifoFull", "value": 356},
3410 {"name": "DB_PERF_SEL_DFSM_StallControlCountFull", "value": 357},
3411 {"name": "DB_PERF_SEL_DFSM_StallOverflowMaximum", "value": 358},
3412 {"name": "DB_PERF_SEL_DFSM_StallPopsStallOverflow", "value": 359},
3413 {"name": "DB_PERF_SEL_DFSM_StallPopsStallSelfStall", "value": 360},
3414 {"name": "DB_PERF_SEL_DFSM_StallCamSlotFlush", "value": 361},
3415 {"name": "DB_PERF_SEL_DFSM_StallOutput", "value": 362},
3416 {"name": "DB_PERF_SEL_DFSM_WatchdogTrigger", "value": 363},
3417 {"name": "DB_PERF_SEL_DFSM_StallOnPOPSStall", "value": 364},
3418 {"name": "DB_PERF_SEL_SC_DB_tile_tiles_pipe0", "value": 365},
3419 {"name": "DB_PERF_SEL_SC_DB_tile_tiles_pipe1", "value": 366},
3420 {"name": "DB_PERF_SEL_SC_DB_quad_quads_pipe0", "value": 367},
3421 {"name": "DB_PERF_SEL_SC_DB_quad_quads_pipe1", "value": 368}
3422 ]
3423 },
3424 "PkrMap": {
3425 "entries": [
3426 {"name": "RASTER_CONFIG_PKR_MAP_0", "value": 0},
3427 {"name": "RASTER_CONFIG_PKR_MAP_1", "value": 1},
3428 {"name": "RASTER_CONFIG_PKR_MAP_2", "value": 2},
3429 {"name": "RASTER_CONFIG_PKR_MAP_3", "value": 3}
3430 ]
3431 },
3432 "PkrXsel": {
3433 "entries": [
3434 {"name": "RASTER_CONFIG_PKR_XSEL_0", "value": 0},
3435 {"name": "RASTER_CONFIG_PKR_XSEL_1", "value": 1},
3436 {"name": "RASTER_CONFIG_PKR_XSEL_2", "value": 2},
3437 {"name": "RASTER_CONFIG_PKR_XSEL_3", "value": 3}
3438 ]
3439 },
3440 "PkrXsel2": {
3441 "entries": [
3442 {"name": "RASTER_CONFIG_PKR_XSEL2_0", "value": 0},
3443 {"name": "RASTER_CONFIG_PKR_XSEL2_1", "value": 1},
3444 {"name": "RASTER_CONFIG_PKR_XSEL2_2", "value": 2},
3445 {"name": "RASTER_CONFIG_PKR_XSEL2_3", "value": 3}
3446 ]
3447 },
3448 "PkrYsel": {
3449 "entries": [
3450 {"name": "RASTER_CONFIG_PKR_YSEL_0", "value": 0},
3451 {"name": "RASTER_CONFIG_PKR_YSEL_1", "value": 1},
3452 {"name": "RASTER_CONFIG_PKR_YSEL_2", "value": 2},
3453 {"name": "RASTER_CONFIG_PKR_YSEL_3", "value": 3}
3454 ]
3455 },
3456 "RMIPerfSel": {
3457 "entries": [
3458 {"name": "RMI_PERF_SEL_NONE", "value": 0},
3459 {"name": "RMI_PERF_SEL_BUSY", "value": 1},
3460 {"name": "RMI_PERF_SEL_REG_CLK_VLD", "value": 2},
3461 {"name": "RMI_PERF_SEL_DYN_CLK_CMN_VLD", "value": 3},
3462 {"name": "RMI_PERF_SEL_DYN_CLK_RB_VLD", "value": 4},
3463 {"name": "RMI_PERF_SEL_DYN_CLK_PERF_VLD", "value": 5},
3464 {"name": "RMI_PERF_SEL_PERF_WINDOW", "value": 6},
3465 {"name": "RMI_PERF_SEL_EVENT_SEND", "value": 7},
3466 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID0", "value": 8},
3467 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID1", "value": 9},
3468 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID2", "value": 10},
3469 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID3", "value": 11},
3470 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID4", "value": 12},
3471 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID5", "value": 13},
3472 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID6", "value": 14},
3473 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID7", "value": 15},
3474 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID8", "value": 16},
3475 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID9", "value": 17},
3476 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID10", "value": 18},
3477 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID11", "value": 19},
3478 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID12", "value": 20},
3479 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID13", "value": 21},
3480 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID14", "value": 22},
3481 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID15", "value": 23},
3482 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID_ALL", "value": 24},
3483 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID0", "value": 25},
3484 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID1", "value": 26},
3485 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID2", "value": 27},
3486 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID3", "value": 28},
3487 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID4", "value": 29},
3488 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID5", "value": 30},
3489 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID6", "value": 31},
3490 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID7", "value": 32},
3491 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID8", "value": 33},
3492 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID9", "value": 34},
3493 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID10", "value": 35},
3494 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID11", "value": 36},
3495 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID12", "value": 37},
3496 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID13", "value": 38},
3497 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID14", "value": 39},
3498 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID15", "value": 40},
3499 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID_ALL", "value": 41},
3500 {"name": "RMI_PERF_SEL_UTCL1_TRANSLATION_MISS", "value": 42},
3501 {"name": "RMI_PERF_SEL_UTCL1_PERMISSION_MISS", "value": 43},
3502 {"name": "RMI_PERF_SEL_UTCL1_TRANSLATION_HIT", "value": 44},
3503 {"name": "RMI_PERF_SEL_UTCL1_REQUEST", "value": 45},
3504 {"name": "RMI_PERF_SEL_UTCL1_STALL_INFLIGHT_MAX", "value": 46},
3505 {"name": "RMI_PERF_SEL_UTCL1_STALL_LRU_INFLIGHT", "value": 47},
3506 {"name": "RMI_PERF_SEL_UTCL1_LFIFO_FULL", "value": 48},
3507 {"name": "RMI_PERF_SEL_UTCL1_STALL_LFIFO_NOT_RES", "value": 49},
3508 {"name": "RMI_PERF_SEL_UTCL1_STALL_UTCL2_REQ_OUT_OF_CREDITS", "value": 50},
3509 {"name": "RMI_PERF_SEL_UTCL1_STALL_MISSFIFO_FULL", "value": 51},
3510 {"name": "RMI_PERF_SEL_UTCL1_HIT_FIFO_FULL", "value": 52},
3511 {"name": "RMI_PERF_SEL_UTCL1_STALL_MULTI_MISS", "value": 53},
3512 {"name": "RMI_PERF_SEL_RB_RMI_WRREQ_ALL_CID", "value": 54},
3513 {"name": "RMI_PERF_SEL_RB_RMI_WRREQ_TO_WRRET_BUSY", "value": 55},
3514 {"name": "RMI_PERF_SEL_RB_RMI_WRREQ_CID0", "value": 56},
3515 {"name": "RMI_PERF_SEL_RB_RMI_WRREQ_CID1", "value": 57},
3516 {"name": "RMI_PERF_SEL_RB_RMI_WRREQ_CID2", "value": 58},
3517 {"name": "RMI_PERF_SEL_RB_RMI_WRREQ_CID3", "value": 59},
3518 {"name": "RMI_PERF_SEL_RB_RMI_WRREQ_CID4", "value": 60},
3519 {"name": "RMI_PERF_SEL_RB_RMI_WRREQ_CID5", "value": 61},
3520 {"name": "RMI_PERF_SEL_RB_RMI_WRREQ_CID6", "value": 62},
3521 {"name": "RMI_PERF_SEL_RB_RMI_WRREQ_CID7", "value": 63},
3522 {"name": "RMI_PERF_SEL_RB_RMI_32BWRREQ_INFLIGHT_ALL_ORONE_CID", "value": 64},
3523 {"name": "RMI_PERF_SEL_RB_RMI_WRREQ_BURST_LENGTH_ALL_ORONE_CID", "value": 65},
3524 {"name": "RMI_PERF_SEL_RB_RMI_WRREQ_BURST_ALL_ORONE_CID", "value": 66},
3525 {"name": "RMI_PERF_SEL_RB_RMI_WRREQ_RESIDENCY", "value": 67},
3526 {"name": "RMI_PERF_SEL_RMI_RB_WRRET_VALID_ALL_CID", "value": 68},
3527 {"name": "RMI_PERF_SEL_RMI_RB_WRRET_VALID_CID0", "value": 69},
3528 {"name": "RMI_PERF_SEL_RMI_RB_WRRET_VALID_CID1", "value": 70},
3529 {"name": "RMI_PERF_SEL_RMI_RB_WRRET_VALID_CID2", "value": 71},
3530 {"name": "RMI_PERF_SEL_RMI_RB_WRRET_VALID_CID3", "value": 72},
3531 {"name": "RMI_PERF_SEL_RMI_RB_WRRET_VALID_CID4", "value": 73},
3532 {"name": "RMI_PERF_SEL_RMI_RB_WRRET_VALID_CID5", "value": 74},
3533 {"name": "RMI_PERF_SEL_RMI_RB_WRRET_VALID_CID6", "value": 75},
3534 {"name": "RMI_PERF_SEL_RMI_RB_WRRET_VALID_CID7", "value": 76},
3535 {"name": "RMI_PERF_SEL_RMI_RB_WRRET_VALID_NACK0", "value": 77},
3536 {"name": "RMI_PERF_SEL_RMI_RB_WRRET_VALID_NACK1", "value": 78},
3537 {"name": "RMI_PERF_SEL_RMI_RB_WRRET_VALID_NACK2", "value": 79},
3538 {"name": "RMI_PERF_SEL_RMI_RB_WRRET_VALID_NACK3", "value": 80},
3539 {"name": "RMI_PERF_SEL_RB_RMI_32BRDREQ_ALL_CID", "value": 81},
3540 {"name": "RMI_PERF_SEL_RB_RMI_RDREQ_ALL_CID", "value": 82},
3541 {"name": "RMI_PERF_SEL_RB_RMI_RDREQ_TO_RDRET_BUSY", "value": 83},
3542 {"name": "RMI_PERF_SEL_RB_RMI_32BRDREQ_CID0", "value": 84},
3543 {"name": "RMI_PERF_SEL_RB_RMI_32BRDREQ_CID1", "value": 85},
3544 {"name": "RMI_PERF_SEL_RB_RMI_32BRDREQ_CID2", "value": 86},
3545 {"name": "RMI_PERF_SEL_RB_RMI_32BRDREQ_CID3", "value": 87},
3546 {"name": "RMI_PERF_SEL_RB_RMI_32BRDREQ_CID4", "value": 88},
3547 {"name": "RMI_PERF_SEL_RB_RMI_32BRDREQ_CID5", "value": 89},
3548 {"name": "RMI_PERF_SEL_RB_RMI_32BRDREQ_CID6", "value": 90},
3549 {"name": "RMI_PERF_SEL_RB_RMI_32BRDREQ_CID7", "value": 91},
3550 {"name": "RMI_PERF_SEL_RB_RMI_RDREQ_CID0", "value": 92},
3551 {"name": "RMI_PERF_SEL_RB_RMI_RDREQ_CID1", "value": 93},
3552 {"name": "RMI_PERF_SEL_RB_RMI_RDREQ_CID2", "value": 94},
3553 {"name": "RMI_PERF_SEL_RB_RMI_RDREQ_CID3", "value": 95},
3554 {"name": "RMI_PERF_SEL_RB_RMI_RDREQ_CID4", "value": 96},
3555 {"name": "RMI_PERF_SEL_RB_RMI_RDREQ_CID5", "value": 97},
3556 {"name": "RMI_PERF_SEL_RB_RMI_RDREQ_CID6", "value": 98},
3557 {"name": "RMI_PERF_SEL_RB_RMI_RDREQ_CID7", "value": 99},
3558 {"name": "RMI_PERF_SEL_RB_RMI_32BRDREQ_INFLIGHT_ALL_ORONE_CID", "value": 100},
3559 {"name": "RMI_PERF_SEL_RB_RMI_RDREQ_BURST_LENGTH_ALL_ORONE_CID", "value": 101},
3560 {"name": "RMI_PERF_SEL_RB_RMI_RDREQ_BURST_ALL_ORONE_CID", "value": 102},
3561 {"name": "RMI_PERF_SEL_RB_RMI_RDREQ_RESIDENCY", "value": 103},
3562 {"name": "RMI_PERF_SEL_RMI_RB_32BRDRET_VALID_ALL_CID", "value": 104},
3563 {"name": "RMI_PERF_SEL_RMI_RB_32BRDRET_VALID_CID0", "value": 105},
3564 {"name": "RMI_PERF_SEL_RMI_RB_32BRDRET_VALID_CID1", "value": 106},
3565 {"name": "RMI_PERF_SEL_RMI_RB_32BRDRET_VALID_CID2", "value": 107},
3566 {"name": "RMI_PERF_SEL_RMI_RB_32BRDRET_VALID_CID3", "value": 108},
3567 {"name": "RMI_PERF_SEL_RMI_RB_32BRDRET_VALID_CID4", "value": 109},
3568 {"name": "RMI_PERF_SEL_RMI_RB_32BRDRET_VALID_CID5", "value": 110},
3569 {"name": "RMI_PERF_SEL_RMI_RB_32BRDRET_VALID_CID6", "value": 111},
3570 {"name": "RMI_PERF_SEL_RMI_RB_32BRDRET_VALID_CID7", "value": 112},
3571 {"name": "RMI_PERF_SEL_RMI_RB_32BRDRET_VALID_NACK0", "value": 113},
3572 {"name": "RMI_PERF_SEL_RMI_RB_32BRDRET_VALID_NACK1", "value": 114},
3573 {"name": "RMI_PERF_SEL_RMI_RB_32BRDRET_VALID_NACK2", "value": 115},
3574 {"name": "RMI_PERF_SEL_RMI_RB_32BRDRET_VALID_NACK3", "value": 116},
3575 {"name": "RMI_PERF_SEL_RB_RMI_WR_FIFO_MAX", "value": 117},
3576 {"name": "RMI_PERF_SEL_RB_RMI_WR_FIFO_EMPTY", "value": 118},
3577 {"name": "RMI_PERF_SEL_RB_RMI_WR_IDLE", "value": 119},
3578 {"name": "RMI_PERF_SEL_RB_RMI_WR_STARVE", "value": 120},
3579 {"name": "RMI_PERF_SEL_RB_RMI_WR_STALL", "value": 121},
3580 {"name": "RMI_PERF_SEL_RB_RMI_WR_BUSY", "value": 122},
3581 {"name": "RMI_PERF_SEL_RB_RMI_WR_INTF_BUSY", "value": 123},
3582 {"name": "RMI_PERF_SEL_RB_RMI_RD_FIFO_MAX", "value": 124},
3583 {"name": "RMI_PERF_SEL_RB_RMI_RD_FIFO_EMPTY", "value": 125},
3584 {"name": "RMI_PERF_SEL_RB_RMI_RD_IDLE", "value": 126},
3585 {"name": "RMI_PERF_SEL_RB_RMI_RD_STARVE", "value": 127},
3586 {"name": "RMI_PERF_SEL_RB_RMI_RD_STALL", "value": 128},
3587 {"name": "RMI_PERF_SEL_RB_RMI_RD_BUSY", "value": 129},
3588 {"name": "RMI_PERF_SEL_RB_RMI_RD_INTF_BUSY", "value": 130},
3589 {"name": "RMI_PERF_SEL_RMI_TC_64BWRREQ_ALL_ORONE_CID", "value": 131},
3590 {"name": "RMI_PERF_SEL_RMI_TC_64BRDREQ_ALL_ORONE_CID", "value": 132},
3591 {"name": "RMI_PERF_SEL_RMI_TC_WRREQ_ALL_CID", "value": 133},
3592 {"name": "RMI_PERF_SEL_RMI_TC_REQ_BUSY", "value": 134},
3593 {"name": "RMI_PERF_SEL_RMI_TC_WRREQ_CID0", "value": 135},
3594 {"name": "RMI_PERF_SEL_RMI_TC_WRREQ_CID1", "value": 136},
3595 {"name": "RMI_PERF_SEL_RMI_TC_WRREQ_CID2", "value": 137},
3596 {"name": "RMI_PERF_SEL_RMI_TC_WRREQ_CID3", "value": 138},
3597 {"name": "RMI_PERF_SEL_RMI_TC_WRREQ_CID4", "value": 139},
3598 {"name": "RMI_PERF_SEL_RMI_TC_WRREQ_CID5", "value": 140},
3599 {"name": "RMI_PERF_SEL_RMI_TC_WRREQ_CID6", "value": 141},
3600 {"name": "RMI_PERF_SEL_RMI_TC_WRREQ_CID7", "value": 142},
3601 {"name": "RMI_PERF_SEL_RMI_TC_WRREQ_INFLIGHT_ALL_CID", "value": 143},
3602 {"name": "RMI_PERF_SEL_TC_RMI_WRRET_VALID_ALL_CID", "value": 144},
3603 {"name": "RMI_PERF_SEL_RMI_TC_RDREQ_ALL_CID", "value": 145},
3604 {"name": "RMI_PERF_SEL_RMI_TC_RDREQ_CID0", "value": 146},
3605 {"name": "RMI_PERF_SEL_RMI_TC_RDREQ_CID1", "value": 147},
3606 {"name": "RMI_PERF_SEL_RMI_TC_RDREQ_CID2", "value": 148},
3607 {"name": "RMI_PERF_SEL_RMI_TC_RDREQ_CID3", "value": 149},
3608 {"name": "RMI_PERF_SEL_RMI_TC_RDREQ_CID4", "value": 150},
3609 {"name": "RMI_PERF_SEL_RMI_TC_RDREQ_CID5", "value": 151},
3610 {"name": "RMI_PERF_SEL_RMI_TC_RDREQ_CID6", "value": 152},
3611 {"name": "RMI_PERF_SEL_RMI_TC_RDREQ_CID7", "value": 153},
3612 {"name": "RMI_PERF_SEL_RMI_TC_STALL_RDREQ", "value": 154},
3613 {"name": "RMI_PERF_SEL_RMI_TC_STALL_WRREQ", "value": 155},
3614 {"name": "RMI_PERF_SEL_RMI_TC_STALL_ALLREQ", "value": 156},
3615 {"name": "RMI_PERF_SEL_RMI_TC_CREDIT_FULL_NO_PENDING_SEND", "value": 157},
3616 {"name": "RMI_PERF_SEL_RMI_TC_CREDIT_ZERO_PENDING_SEND", "value": 158},
3617 {"name": "RMI_PERF_SEL_RMI_TC_RDREQ_INFLIGHT_ALL_CID", "value": 159},
3618 {"name": "RMI_PERF_SEL_TC_RMI_RDRET_VALID_ALL_CID", "value": 160},
3619 {"name": "RMI_PERF_SEL_UTCL1_BUSY", "value": 161},
3620 {"name": "RMI_PERF_SEL_RMI_UTC_REQ", "value": 162},
3621 {"name": "RMI_PERF_SEL_RMI_UTC_BUSY", "value": 163},
3622 {"name": "RMI_PERF_SEL_UTCL1_UTCL2_REQ", "value": 164},
3623 {"name": "RMI_PERF_SEL_LEVEL_ADD_UTCL1_TO_UTCL2", "value": 165},
3624 {"name": "RMI_PERF_SEL_PROBE_UTCL1_XNACK_RETRY", "value": 166},
3625 {"name": "RMI_PERF_SEL_PROBE_UTCL1_ALL_FAULT", "value": 167},
3626 {"name": "RMI_PERF_SEL_PROBE_UTCL1_PRT_FAULT", "value": 168},
3627 {"name": "RMI_PERF_SEL_PROBE_UTCL1_VMID_BYPASS", "value": 169},
3628 {"name": "RMI_PERF_SEL_PROBE_UTCL1_XNACK_NORETRY_FAULT", "value": 170},
3629 {"name": "RMI_PERF_SEL_XNACK_FIFO_NUM_USED", "value": 171},
3630 {"name": "RMI_PERF_SEL_LAT_FIFO_NUM_USED", "value": 172},
3631 {"name": "RMI_PERF_SEL_LAT_FIFO_BLOCKING_REQ", "value": 173},
3632 {"name": "RMI_PERF_SEL_LAT_FIFO_NONBLOCKING_REQ", "value": 174},
3633 {"name": "RMI_PERF_SEL_XNACK_FIFO_FULL", "value": 175},
3634 {"name": "RMI_PERF_SEL_XNACK_FIFO_BUSY", "value": 176},
3635 {"name": "RMI_PERF_SEL_LAT_FIFO_FULL", "value": 177},
3636 {"name": "RMI_PERF_SEL_SKID_FIFO_DEPTH", "value": 178},
3637 {"name": "RMI_PERF_SEL_TCIW_INFLIGHT_COUNT", "value": 179},
3638 {"name": "RMI_PERF_SEL_PRT_FIFO_NUM_USED", "value": 180},
3639 {"name": "RMI_PERF_SEL_PRT_FIFO_REQ", "value": 181},
3640 {"name": "RMI_PERF_SEL_PRT_FIFO_BUSY", "value": 182},
3641 {"name": "RMI_PERF_SEL_TCIW_REQ", "value": 183},
3642 {"name": "RMI_PERF_SEL_TCIW_BUSY", "value": 184},
3643 {"name": "RMI_PERF_SEL_SKID_FIFO_REQ", "value": 185},
3644 {"name": "RMI_PERF_SEL_SKID_FIFO_BUSY", "value": 186},
3645 {"name": "RMI_PERF_SEL_DEMUX_TCIW_RESIDENCY_NACK0", "value": 187},
3646 {"name": "RMI_PERF_SEL_DEMUX_TCIW_RESIDENCY_NACK1", "value": 188},
3647 {"name": "RMI_PERF_SEL_DEMUX_TCIW_RESIDENCY_NACK2", "value": 189},
3648 {"name": "RMI_PERF_SEL_DEMUX_TCIW_RESIDENCY_NACK3", "value": 190},
3649 {"name": "RMI_PERF_SEL_XBAR_PROBEGEN_RTS_RTR", "value": 191},
3650 {"name": "RMI_PERF_SEL_XBAR_PROBEGEN_RTSB_RTR", "value": 192},
3651 {"name": "RMI_PERF_SEL_XBAR_PROBEGEN_RTS_RTRB", "value": 193},
3652 {"name": "RMI_PERF_SEL_XBAR_PROBEGEN_RTSB_RTRB", "value": 194},
3653 {"name": "RMI_PERF_SEL_DEMUX_TCIW_FORMATTER_RTS_RTR", "value": 195},
3654 {"name": "RMI_PERF_SEL_DEMUX_TCIW_FORMATTER_RTSB_RTR", "value": 196},
3655 {"name": "RMI_PERF_SEL_DEMUX_TCIW_FORMATTER_RTS_RTRB", "value": 197},
3656 {"name": "RMI_PERF_SEL_DEMUX_TCIW_FORMATTER_RTSB_RTRB", "value": 198},
3657 {"name": "RMI_PERF_SEL_WRREQCONSUMER_XBAR_WRREQ_RTS_RTR", "value": 199},
3658 {"name": "RMI_PERF_SEL_WRREQCONSUMER_XBAR_WRREQ_RTSB_RTR", "value": 200},
3659 {"name": "RMI_PERF_SEL_WRREQCONSUMER_XBAR_WRREQ_RTS_RTRB", "value": 201},
3660 {"name": "RMI_PERF_SEL_WRREQCONSUMER_XBAR_WRREQ_RTSB_RTRB", "value": 202},
3661 {"name": "RMI_PERF_SEL_RDREQCONSUMER_XBAR_RDREQ_RTS_RTR", "value": 203},
3662 {"name": "RMI_PERF_SEL_RDREQCONSUMER_XBAR_RDREQ_RTSB_RTR", "value": 204},
3663 {"name": "RMI_PERF_SEL_RDREQCONSUMER_XBAR_RDREQ_RTS_RTRB", "value": 205},
3664 {"name": "RMI_PERF_SEL_RDREQCONSUMER_XBAR_RDREQ_RTSB_RTRB", "value": 206},
3665 {"name": "RMI_PERF_SEL_POP_DEMUX_RTS_RTR", "value": 207},
3666 {"name": "RMI_PERF_SEL_POP_DEMUX_RTSB_RTR", "value": 208},
3667 {"name": "RMI_PERF_SEL_POP_DEMUX_RTS_RTRB", "value": 209},
3668 {"name": "RMI_PERF_SEL_POP_DEMUX_RTSB_RTRB", "value": 210},
3669 {"name": "RMI_PERF_SEL_PROBEGEN_UTC_RTS_RTR", "value": 211},
3670 {"name": "RMI_PERF_SEL_LEVEL_ADD_RMI_TO_UTC", "value": 212},
3671 {"name": "RMI_PERF_SEL_PROBEGEN_UTC_RTSB_RTR", "value": 213},
3672 {"name": "RMI_PERF_SEL_PROBEGEN_UTC_RTS_RTRB", "value": 214},
3673 {"name": "RMI_PERF_SEL_PROBEGEN_UTC_RTSB_RTRB", "value": 215},
3674 {"name": "RMI_PERF_SEL_UTC_POP_RTS_RTR", "value": 216},
3675 {"name": "RMI_PERF_SEL_UTC_POP_RTSB_RTR", "value": 217},
3676 {"name": "RMI_PERF_SEL_UTC_POP_RTS_RTRB", "value": 218},
3677 {"name": "RMI_PERF_SEL_UTC_POP_RTSB_RTRB", "value": 219},
3678 {"name": "RMI_PERF_SEL_POP_XNACK_RTS_RTR", "value": 220},
3679 {"name": "RMI_PERF_SEL_POP_XNACK_RTSB_RTR", "value": 221},
3680 {"name": "RMI_PERF_SEL_POP_XNACK_RTS_RTRB", "value": 222},
3681 {"name": "RMI_PERF_SEL_POP_XNACK_RTSB_RTRB", "value": 223},
3682 {"name": "RMI_PERF_SEL_XNACK_PROBEGEN_RTS_RTR", "value": 224},
3683 {"name": "RMI_PERF_SEL_XNACK_PROBEGEN_RTSB_RTR", "value": 225},
3684 {"name": "RMI_PERF_SEL_XNACK_PROBEGEN_RTS_RTRB", "value": 226},
3685 {"name": "RMI_PERF_SEL_XNACK_PROBEGEN_RTSB_RTRB", "value": 227},
3686 {"name": "RMI_PERF_SEL_PRTFIFO_RTNFORMATTER_RTS_RTR", "value": 228},
3687 {"name": "RMI_PERF_SEL_PRTFIFO_RTNFORMATTER_RTSB_RTR", "value": 229},
3688 {"name": "RMI_PERF_SEL_PRTFIFO_RTNFORMATTER_RTS_RTRB", "value": 230},
3689 {"name": "RMI_PERF_SEL_PRTFIFO_RTNFORMATTER_RTSB_RTRB", "value": 231},
3690 {"name": "RMI_PERF_SEL_SKID_FIFO_IN_RTS", "value": 232},
3691 {"name": "RMI_PERF_SEL_SKID_FIFO_IN_RTSB", "value": 233},
3692 {"name": "RMI_PERF_SEL_SKID_FIFO_OUT_RTS", "value": 234},
3693 {"name": "RMI_PERF_SEL_SKID_FIFO_OUT_RTSB", "value": 235},
3694 {"name": "RMI_PERF_SEL_XBAR_PROBEGEN_READ_RTS_RTR", "value": 236},
3695 {"name": "RMI_PERF_SEL_XBAR_PROBEGEN_WRITE_RTS_RTR", "value": 237},
3696 {"name": "RMI_PERF_SEL_XBAR_PROBEGEN_IN0_RTS_RTR", "value": 238},
3697 {"name": "RMI_PERF_SEL_XBAR_PROBEGEN_IN1_RTS_RTR", "value": 239},
3698 {"name": "RMI_PERF_SEL_XBAR_PROBEGEN_CB_RTS_RTR", "value": 240},
3699 {"name": "RMI_PERF_SEL_XBAR_PROBEGEN_DB_RTS_RTR", "value": 241},
3700 {"name": "RMI_PERF_SEL_REORDER_FIFO_REQ", "value": 242},
3701 {"name": "RMI_PERF_SEL_REORDER_FIFO_BUSY", "value": 243},
3702 {"name": "RMI_PERF_SEL_RMI_RB_EARLY_WRACK_ALL_CID", "value": 244},
3703 {"name": "RMI_PERF_SEL_RMI_RB_EARLY_WRACK_CID0", "value": 245},
3704 {"name": "RMI_PERF_SEL_RMI_RB_EARLY_WRACK_CID1", "value": 246},
3705 {"name": "RMI_PERF_SEL_RMI_RB_EARLY_WRACK_CID2", "value": 247},
3706 {"name": "RMI_PERF_SEL_RMI_RB_EARLY_WRACK_CID3", "value": 248},
3707 {"name": "RMI_PERF_SEL_RMI_RB_EARLY_WRACK_CID4", "value": 249},
3708 {"name": "RMI_PERF_SEL_RMI_RB_EARLY_WRACK_CID5", "value": 250},
3709 {"name": "RMI_PERF_SEL_RMI_RB_EARLY_WRACK_CID6", "value": 251},
3710 {"name": "RMI_PERF_SEL_RMI_RB_EARLY_WRACK_CID7", "value": 252},
3711 {"name": "RMI_PERF_SEL_RMI_RB_EARLY_WRACK_NACK0", "value": 253},
3712 {"name": "RMI_PERF_SEL_RMI_RB_EARLY_WRACK_NACK1", "value": 254},
3713 {"name": "RMI_PERF_SEL_RMI_RB_EARLY_WRACK_NACK2", "value": 255},
3714 {"name": "RMI_PERF_SEL_RMI_RB_EARLY_WRACK_NACK3", "value": 256},
3715 {"name": "RMI_PERF_SEL_UTCL0_UTCL1_PERM_FAULT", "value": 257}
3716 ]
3717 },
3718 "RbMap": {
3719 "entries": [
3720 {"name": "RASTER_CONFIG_RB_MAP_0", "value": 0},
3721 {"name": "RASTER_CONFIG_RB_MAP_1", "value": 1},
3722 {"name": "RASTER_CONFIG_RB_MAP_2", "value": 2},
3723 {"name": "RASTER_CONFIG_RB_MAP_3", "value": 3}
3724 ]
3725 },
3726 "RbXsel": {
3727 "entries": [
3728 {"name": "RASTER_CONFIG_RB_XSEL_0", "value": 0},
3729 {"name": "RASTER_CONFIG_RB_XSEL_1", "value": 1}
3730 ]
3731 },
3732 "RbXsel2": {
3733 "entries": [
3734 {"name": "RASTER_CONFIG_RB_XSEL2_0", "value": 0},
3735 {"name": "RASTER_CONFIG_RB_XSEL2_1", "value": 1},
3736 {"name": "RASTER_CONFIG_RB_XSEL2_2", "value": 2},
3737 {"name": "RASTER_CONFIG_RB_XSEL2_3", "value": 3}
3738 ]
3739 },
3740 "RbYsel": {
3741 "entries": [
3742 {"name": "RASTER_CONFIG_RB_YSEL_0", "value": 0},
3743 {"name": "RASTER_CONFIG_RB_YSEL_1", "value": 1}
3744 ]
3745 },
3746 "SC_PERFCNT_SEL": {
3747 "entries": [
3748 {"name": "SC_SRPS_WINDOW_VALID", "value": 0},
3749 {"name": "SC_PSSW_WINDOW_VALID", "value": 1},
3750 {"name": "SC_TPQZ_WINDOW_VALID", "value": 2},
3751 {"name": "SC_QZQP_WINDOW_VALID", "value": 3},
3752 {"name": "SC_TRPK_WINDOW_VALID", "value": 4},
3753 {"name": "SC_SRPS_WINDOW_VALID_BUSY", "value": 5},
3754 {"name": "SC_PSSW_WINDOW_VALID_BUSY", "value": 6},
3755 {"name": "SC_TPQZ_WINDOW_VALID_BUSY", "value": 7},
3756 {"name": "SC_QZQP_WINDOW_VALID_BUSY", "value": 8},
3757 {"name": "SC_TRPK_WINDOW_VALID_BUSY", "value": 9},
3758 {"name": "SC_STARVED_BY_PA", "value": 10},
3759 {"name": "SC_STALLED_BY_PRIMFIFO", "value": 11},
3760 {"name": "SC_STALLED_BY_DB_TILE", "value": 12},
3761 {"name": "SC_STARVED_BY_DB_TILE", "value": 13},
3762 {"name": "SC_STALLED_BY_TILEORDERFIFO", "value": 14},
3763 {"name": "SC_STALLED_BY_TILEFIFO", "value": 15},
3764 {"name": "SC_STALLED_BY_DB_QUAD", "value": 16},
3765 {"name": "SC_STARVED_BY_DB_QUAD", "value": 17},
3766 {"name": "SC_STALLED_BY_QUADFIFO", "value": 18},
3767 {"name": "SC_STALLED_BY_BCI", "value": 19},
3768 {"name": "SC_STALLED_BY_SPI", "value": 20},
3769 {"name": "SC_SCISSOR_DISCARD", "value": 21},
3770 {"name": "SC_BB_DISCARD", "value": 22},
3771 {"name": "SC_SUPERTILE_COUNT", "value": 23},
3772 {"name": "SC_SUPERTILE_PER_PRIM_H0", "value": 24},
3773 {"name": "SC_SUPERTILE_PER_PRIM_H1", "value": 25},
3774 {"name": "SC_SUPERTILE_PER_PRIM_H2", "value": 26},
3775 {"name": "SC_SUPERTILE_PER_PRIM_H3", "value": 27},
3776 {"name": "SC_SUPERTILE_PER_PRIM_H4", "value": 28},
3777 {"name": "SC_SUPERTILE_PER_PRIM_H5", "value": 29},
3778 {"name": "SC_SUPERTILE_PER_PRIM_H6", "value": 30},
3779 {"name": "SC_SUPERTILE_PER_PRIM_H7", "value": 31},
3780 {"name": "SC_SUPERTILE_PER_PRIM_H8", "value": 32},
3781 {"name": "SC_SUPERTILE_PER_PRIM_H9", "value": 33},
3782 {"name": "SC_SUPERTILE_PER_PRIM_H10", "value": 34},
3783 {"name": "SC_SUPERTILE_PER_PRIM_H11", "value": 35},
3784 {"name": "SC_SUPERTILE_PER_PRIM_H12", "value": 36},
3785 {"name": "SC_SUPERTILE_PER_PRIM_H13", "value": 37},
3786 {"name": "SC_SUPERTILE_PER_PRIM_H14", "value": 38},
3787 {"name": "SC_SUPERTILE_PER_PRIM_H15", "value": 39},
3788 {"name": "SC_SUPERTILE_PER_PRIM_H16", "value": 40},
3789 {"name": "SC_TILE_PER_PRIM_H0", "value": 41},
3790 {"name": "SC_TILE_PER_PRIM_H1", "value": 42},
3791 {"name": "SC_TILE_PER_PRIM_H2", "value": 43},
3792 {"name": "SC_TILE_PER_PRIM_H3", "value": 44},
3793 {"name": "SC_TILE_PER_PRIM_H4", "value": 45},
3794 {"name": "SC_TILE_PER_PRIM_H5", "value": 46},
3795 {"name": "SC_TILE_PER_PRIM_H6", "value": 47},
3796 {"name": "SC_TILE_PER_PRIM_H7", "value": 48},
3797 {"name": "SC_TILE_PER_PRIM_H8", "value": 49},
3798 {"name": "SC_TILE_PER_PRIM_H9", "value": 50},
3799 {"name": "SC_TILE_PER_PRIM_H10", "value": 51},
3800 {"name": "SC_TILE_PER_PRIM_H11", "value": 52},
3801 {"name": "SC_TILE_PER_PRIM_H12", "value": 53},
3802 {"name": "SC_TILE_PER_PRIM_H13", "value": 54},
3803 {"name": "SC_TILE_PER_PRIM_H14", "value": 55},
3804 {"name": "SC_TILE_PER_PRIM_H15", "value": 56},
3805 {"name": "SC_TILE_PER_PRIM_H16", "value": 57},
3806 {"name": "SC_TILE_PER_SUPERTILE_H0", "value": 58},
3807 {"name": "SC_TILE_PER_SUPERTILE_H1", "value": 59},
3808 {"name": "SC_TILE_PER_SUPERTILE_H2", "value": 60},
3809 {"name": "SC_TILE_PER_SUPERTILE_H3", "value": 61},
3810 {"name": "SC_TILE_PER_SUPERTILE_H4", "value": 62},
3811 {"name": "SC_TILE_PER_SUPERTILE_H5", "value": 63},
3812 {"name": "SC_TILE_PER_SUPERTILE_H6", "value": 64},
3813 {"name": "SC_TILE_PER_SUPERTILE_H7", "value": 65},
3814 {"name": "SC_TILE_PER_SUPERTILE_H8", "value": 66},
3815 {"name": "SC_TILE_PER_SUPERTILE_H9", "value": 67},
3816 {"name": "SC_TILE_PER_SUPERTILE_H10", "value": 68},
3817 {"name": "SC_TILE_PER_SUPERTILE_H11", "value": 69},
3818 {"name": "SC_TILE_PER_SUPERTILE_H12", "value": 70},
3819 {"name": "SC_TILE_PER_SUPERTILE_H13", "value": 71},
3820 {"name": "SC_TILE_PER_SUPERTILE_H14", "value": 72},
3821 {"name": "SC_TILE_PER_SUPERTILE_H15", "value": 73},
3822 {"name": "SC_TILE_PER_SUPERTILE_H16", "value": 74},
3823 {"name": "SC_TILE_PICKED_H1", "value": 75},
3824 {"name": "SC_TILE_PICKED_H2", "value": 76},
3825 {"name": "SC_TILE_PICKED_H3", "value": 77},
3826 {"name": "SC_TILE_PICKED_H4", "value": 78},
3827 {"name": "SC_QZ0_TILE_COUNT", "value": 79},
3828 {"name": "SC_QZ1_TILE_COUNT", "value": 80},
3829 {"name": "SC_QZ2_TILE_COUNT", "value": 81},
3830 {"name": "SC_QZ3_TILE_COUNT", "value": 82},
3831 {"name": "SC_QZ0_TILE_COVERED_COUNT", "value": 83},
3832 {"name": "SC_QZ1_TILE_COVERED_COUNT", "value": 84},
3833 {"name": "SC_QZ2_TILE_COVERED_COUNT", "value": 85},
3834 {"name": "SC_QZ3_TILE_COVERED_COUNT", "value": 86},
3835 {"name": "SC_QZ0_TILE_NOT_COVERED_COUNT", "value": 87},
3836 {"name": "SC_QZ1_TILE_NOT_COVERED_COUNT", "value": 88},
3837 {"name": "SC_QZ2_TILE_NOT_COVERED_COUNT", "value": 89},
3838 {"name": "SC_QZ3_TILE_NOT_COVERED_COUNT", "value": 90},
3839 {"name": "SC_QZ0_QUAD_PER_TILE_H0", "value": 91},
3840 {"name": "SC_QZ0_QUAD_PER_TILE_H1", "value": 92},
3841 {"name": "SC_QZ0_QUAD_PER_TILE_H2", "value": 93},
3842 {"name": "SC_QZ0_QUAD_PER_TILE_H3", "value": 94},
3843 {"name": "SC_QZ0_QUAD_PER_TILE_H4", "value": 95},
3844 {"name": "SC_QZ0_QUAD_PER_TILE_H5", "value": 96},
3845 {"name": "SC_QZ0_QUAD_PER_TILE_H6", "value": 97},
3846 {"name": "SC_QZ0_QUAD_PER_TILE_H7", "value": 98},
3847 {"name": "SC_QZ0_QUAD_PER_TILE_H8", "value": 99},
3848 {"name": "SC_QZ0_QUAD_PER_TILE_H9", "value": 100},
3849 {"name": "SC_QZ0_QUAD_PER_TILE_H10", "value": 101},
3850 {"name": "SC_QZ0_QUAD_PER_TILE_H11", "value": 102},
3851 {"name": "SC_QZ0_QUAD_PER_TILE_H12", "value": 103},
3852 {"name": "SC_QZ0_QUAD_PER_TILE_H13", "value": 104},
3853 {"name": "SC_QZ0_QUAD_PER_TILE_H14", "value": 105},
3854 {"name": "SC_QZ0_QUAD_PER_TILE_H15", "value": 106},
3855 {"name": "SC_QZ0_QUAD_PER_TILE_H16", "value": 107},
3856 {"name": "SC_QZ1_QUAD_PER_TILE_H0", "value": 108},
3857 {"name": "SC_QZ1_QUAD_PER_TILE_H1", "value": 109},
3858 {"name": "SC_QZ1_QUAD_PER_TILE_H2", "value": 110},
3859 {"name": "SC_QZ1_QUAD_PER_TILE_H3", "value": 111},
3860 {"name": "SC_QZ1_QUAD_PER_TILE_H4", "value": 112},
3861 {"name": "SC_QZ1_QUAD_PER_TILE_H5", "value": 113},
3862 {"name": "SC_QZ1_QUAD_PER_TILE_H6", "value": 114},
3863 {"name": "SC_QZ1_QUAD_PER_TILE_H7", "value": 115},
3864 {"name": "SC_QZ1_QUAD_PER_TILE_H8", "value": 116},
3865 {"name": "SC_QZ1_QUAD_PER_TILE_H9", "value": 117},
3866 {"name": "SC_QZ1_QUAD_PER_TILE_H10", "value": 118},
3867 {"name": "SC_QZ1_QUAD_PER_TILE_H11", "value": 119},
3868 {"name": "SC_QZ1_QUAD_PER_TILE_H12", "value": 120},
3869 {"name": "SC_QZ1_QUAD_PER_TILE_H13", "value": 121},
3870 {"name": "SC_QZ1_QUAD_PER_TILE_H14", "value": 122},
3871 {"name": "SC_QZ1_QUAD_PER_TILE_H15", "value": 123},
3872 {"name": "SC_QZ1_QUAD_PER_TILE_H16", "value": 124},
3873 {"name": "SC_QZ2_QUAD_PER_TILE_H0", "value": 125},
3874 {"name": "SC_QZ2_QUAD_PER_TILE_H1", "value": 126},
3875 {"name": "SC_QZ2_QUAD_PER_TILE_H2", "value": 127},
3876 {"name": "SC_QZ2_QUAD_PER_TILE_H3", "value": 128},
3877 {"name": "SC_QZ2_QUAD_PER_TILE_H4", "value": 129},
3878 {"name": "SC_QZ2_QUAD_PER_TILE_H5", "value": 130},
3879 {"name": "SC_QZ2_QUAD_PER_TILE_H6", "value": 131},
3880 {"name": "SC_QZ2_QUAD_PER_TILE_H7", "value": 132},
3881 {"name": "SC_QZ2_QUAD_PER_TILE_H8", "value": 133},
3882 {"name": "SC_QZ2_QUAD_PER_TILE_H9", "value": 134},
3883 {"name": "SC_QZ2_QUAD_PER_TILE_H10", "value": 135},
3884 {"name": "SC_QZ2_QUAD_PER_TILE_H11", "value": 136},
3885 {"name": "SC_QZ2_QUAD_PER_TILE_H12", "value": 137},
3886 {"name": "SC_QZ2_QUAD_PER_TILE_H13", "value": 138},
3887 {"name": "SC_QZ2_QUAD_PER_TILE_H14", "value": 139},
3888 {"name": "SC_QZ2_QUAD_PER_TILE_H15", "value": 140},
3889 {"name": "SC_QZ2_QUAD_PER_TILE_H16", "value": 141},
3890 {"name": "SC_QZ3_QUAD_PER_TILE_H0", "value": 142},
3891 {"name": "SC_QZ3_QUAD_PER_TILE_H1", "value": 143},
3892 {"name": "SC_QZ3_QUAD_PER_TILE_H2", "value": 144},
3893 {"name": "SC_QZ3_QUAD_PER_TILE_H3", "value": 145},
3894 {"name": "SC_QZ3_QUAD_PER_TILE_H4", "value": 146},
3895 {"name": "SC_QZ3_QUAD_PER_TILE_H5", "value": 147},
3896 {"name": "SC_QZ3_QUAD_PER_TILE_H6", "value": 148},
3897 {"name": "SC_QZ3_QUAD_PER_TILE_H7", "value": 149},
3898 {"name": "SC_QZ3_QUAD_PER_TILE_H8", "value": 150},
3899 {"name": "SC_QZ3_QUAD_PER_TILE_H9", "value": 151},
3900 {"name": "SC_QZ3_QUAD_PER_TILE_H10", "value": 152},
3901 {"name": "SC_QZ3_QUAD_PER_TILE_H11", "value": 153},
3902 {"name": "SC_QZ3_QUAD_PER_TILE_H12", "value": 154},
3903 {"name": "SC_QZ3_QUAD_PER_TILE_H13", "value": 155},
3904 {"name": "SC_QZ3_QUAD_PER_TILE_H14", "value": 156},
3905 {"name": "SC_QZ3_QUAD_PER_TILE_H15", "value": 157},
3906 {"name": "SC_QZ3_QUAD_PER_TILE_H16", "value": 158},
3907 {"name": "SC_QZ0_QUAD_COUNT", "value": 159},
3908 {"name": "SC_QZ1_QUAD_COUNT", "value": 160},
3909 {"name": "SC_QZ2_QUAD_COUNT", "value": 161},
3910 {"name": "SC_QZ3_QUAD_COUNT", "value": 162},
3911 {"name": "SC_P0_HIZ_TILE_COUNT", "value": 163},
3912 {"name": "SC_P1_HIZ_TILE_COUNT", "value": 164},
3913 {"name": "SC_P2_HIZ_TILE_COUNT", "value": 165},
3914 {"name": "SC_P3_HIZ_TILE_COUNT", "value": 166},
3915 {"name": "SC_P0_HIZ_QUAD_PER_TILE_H0", "value": 167},
3916 {"name": "SC_P0_HIZ_QUAD_PER_TILE_H1", "value": 168},
3917 {"name": "SC_P0_HIZ_QUAD_PER_TILE_H2", "value": 169},
3918 {"name": "SC_P0_HIZ_QUAD_PER_TILE_H3", "value": 170},
3919 {"name": "SC_P0_HIZ_QUAD_PER_TILE_H4", "value": 171},
3920 {"name": "SC_P0_HIZ_QUAD_PER_TILE_H5", "value": 172},
3921 {"name": "SC_P0_HIZ_QUAD_PER_TILE_H6", "value": 173},
3922 {"name": "SC_P0_HIZ_QUAD_PER_TILE_H7", "value": 174},
3923 {"name": "SC_P0_HIZ_QUAD_PER_TILE_H8", "value": 175},
3924 {"name": "SC_P0_HIZ_QUAD_PER_TILE_H9", "value": 176},
3925 {"name": "SC_P0_HIZ_QUAD_PER_TILE_H10", "value": 177},
3926 {"name": "SC_P0_HIZ_QUAD_PER_TILE_H11", "value": 178},
3927 {"name": "SC_P0_HIZ_QUAD_PER_TILE_H12", "value": 179},
3928 {"name": "SC_P0_HIZ_QUAD_PER_TILE_H13", "value": 180},
3929 {"name": "SC_P0_HIZ_QUAD_PER_TILE_H14", "value": 181},
3930 {"name": "SC_P0_HIZ_QUAD_PER_TILE_H15", "value": 182},
3931 {"name": "SC_P0_HIZ_QUAD_PER_TILE_H16", "value": 183},
3932 {"name": "SC_P1_HIZ_QUAD_PER_TILE_H0", "value": 184},
3933 {"name": "SC_P1_HIZ_QUAD_PER_TILE_H1", "value": 185},
3934 {"name": "SC_P1_HIZ_QUAD_PER_TILE_H2", "value": 186},
3935 {"name": "SC_P1_HIZ_QUAD_PER_TILE_H3", "value": 187},
3936 {"name": "SC_P1_HIZ_QUAD_PER_TILE_H4", "value": 188},
3937 {"name": "SC_P1_HIZ_QUAD_PER_TILE_H5", "value": 189},
3938 {"name": "SC_P1_HIZ_QUAD_PER_TILE_H6", "value": 190},
3939 {"name": "SC_P1_HIZ_QUAD_PER_TILE_H7", "value": 191},
3940 {"name": "SC_P1_HIZ_QUAD_PER_TILE_H8", "value": 192},
3941 {"name": "SC_P1_HIZ_QUAD_PER_TILE_H9", "value": 193},
3942 {"name": "SC_P1_HIZ_QUAD_PER_TILE_H10", "value": 194},
3943 {"name": "SC_P1_HIZ_QUAD_PER_TILE_H11", "value": 195},
3944 {"name": "SC_P1_HIZ_QUAD_PER_TILE_H12", "value": 196},
3945 {"name": "SC_P1_HIZ_QUAD_PER_TILE_H13", "value": 197},
3946 {"name": "SC_P1_HIZ_QUAD_PER_TILE_H14", "value": 198},
3947 {"name": "SC_P1_HIZ_QUAD_PER_TILE_H15", "value": 199},
3948 {"name": "SC_P1_HIZ_QUAD_PER_TILE_H16", "value": 200},
3949 {"name": "SC_P2_HIZ_QUAD_PER_TILE_H0", "value": 201},
3950 {"name": "SC_P2_HIZ_QUAD_PER_TILE_H1", "value": 202},
3951 {"name": "SC_P2_HIZ_QUAD_PER_TILE_H2", "value": 203},
3952 {"name": "SC_P2_HIZ_QUAD_PER_TILE_H3", "value": 204},
3953 {"name": "SC_P2_HIZ_QUAD_PER_TILE_H4", "value": 205},
3954 {"name": "SC_P2_HIZ_QUAD_PER_TILE_H5", "value": 206},
3955 {"name": "SC_P2_HIZ_QUAD_PER_TILE_H6", "value": 207},
3956 {"name": "SC_P2_HIZ_QUAD_PER_TILE_H7", "value": 208},
3957 {"name": "SC_P2_HIZ_QUAD_PER_TILE_H8", "value": 209},
3958 {"name": "SC_P2_HIZ_QUAD_PER_TILE_H9", "value": 210},
3959 {"name": "SC_P2_HIZ_QUAD_PER_TILE_H10", "value": 211},
3960 {"name": "SC_P2_HIZ_QUAD_PER_TILE_H11", "value": 212},
3961 {"name": "SC_P2_HIZ_QUAD_PER_TILE_H12", "value": 213},
3962 {"name": "SC_P2_HIZ_QUAD_PER_TILE_H13", "value": 214},
3963 {"name": "SC_P2_HIZ_QUAD_PER_TILE_H14", "value": 215},
3964 {"name": "SC_P2_HIZ_QUAD_PER_TILE_H15", "value": 216},
3965 {"name": "SC_P2_HIZ_QUAD_PER_TILE_H16", "value": 217},
3966 {"name": "SC_P3_HIZ_QUAD_PER_TILE_H0", "value": 218},
3967 {"name": "SC_P3_HIZ_QUAD_PER_TILE_H1", "value": 219},
3968 {"name": "SC_P3_HIZ_QUAD_PER_TILE_H2", "value": 220},
3969 {"name": "SC_P3_HIZ_QUAD_PER_TILE_H3", "value": 221},
3970 {"name": "SC_P3_HIZ_QUAD_PER_TILE_H4", "value": 222},
3971 {"name": "SC_P3_HIZ_QUAD_PER_TILE_H5", "value": 223},
3972 {"name": "SC_P3_HIZ_QUAD_PER_TILE_H6", "value": 224},
3973 {"name": "SC_P3_HIZ_QUAD_PER_TILE_H7", "value": 225},
3974 {"name": "SC_P3_HIZ_QUAD_PER_TILE_H8", "value": 226},
3975 {"name": "SC_P3_HIZ_QUAD_PER_TILE_H9", "value": 227},
3976 {"name": "SC_P3_HIZ_QUAD_PER_TILE_H10", "value": 228},
3977 {"name": "SC_P3_HIZ_QUAD_PER_TILE_H11", "value": 229},
3978 {"name": "SC_P3_HIZ_QUAD_PER_TILE_H12", "value": 230},
3979 {"name": "SC_P3_HIZ_QUAD_PER_TILE_H13", "value": 231},
3980 {"name": "SC_P3_HIZ_QUAD_PER_TILE_H14", "value": 232},
3981 {"name": "SC_P3_HIZ_QUAD_PER_TILE_H15", "value": 233},
3982 {"name": "SC_P3_HIZ_QUAD_PER_TILE_H16", "value": 234},
3983 {"name": "SC_P0_HIZ_QUAD_COUNT", "value": 235},
3984 {"name": "SC_P1_HIZ_QUAD_COUNT", "value": 236},
3985 {"name": "SC_P2_HIZ_QUAD_COUNT", "value": 237},
3986 {"name": "SC_P3_HIZ_QUAD_COUNT", "value": 238},
3987 {"name": "SC_P0_DETAIL_QUAD_COUNT", "value": 239},
3988 {"name": "SC_P1_DETAIL_QUAD_COUNT", "value": 240},
3989 {"name": "SC_P2_DETAIL_QUAD_COUNT", "value": 241},
3990 {"name": "SC_P3_DETAIL_QUAD_COUNT", "value": 242},
3991 {"name": "SC_P0_DETAIL_QUAD_WITH_1_PIX", "value": 243},
3992 {"name": "SC_P0_DETAIL_QUAD_WITH_2_PIX", "value": 244},
3993 {"name": "SC_P0_DETAIL_QUAD_WITH_3_PIX", "value": 245},
3994 {"name": "SC_P0_DETAIL_QUAD_WITH_4_PIX", "value": 246},
3995 {"name": "SC_P1_DETAIL_QUAD_WITH_1_PIX", "value": 247},
3996 {"name": "SC_P1_DETAIL_QUAD_WITH_2_PIX", "value": 248},
3997 {"name": "SC_P1_DETAIL_QUAD_WITH_3_PIX", "value": 249},
3998 {"name": "SC_P1_DETAIL_QUAD_WITH_4_PIX", "value": 250},
3999 {"name": "SC_P2_DETAIL_QUAD_WITH_1_PIX", "value": 251},
4000 {"name": "SC_P2_DETAIL_QUAD_WITH_2_PIX", "value": 252},
4001 {"name": "SC_P2_DETAIL_QUAD_WITH_3_PIX", "value": 253},
4002 {"name": "SC_P2_DETAIL_QUAD_WITH_4_PIX", "value": 254},
4003 {"name": "SC_P3_DETAIL_QUAD_WITH_1_PIX", "value": 255},
4004 {"name": "SC_P3_DETAIL_QUAD_WITH_2_PIX", "value": 256},
4005 {"name": "SC_P3_DETAIL_QUAD_WITH_3_PIX", "value": 257},
4006 {"name": "SC_P3_DETAIL_QUAD_WITH_4_PIX", "value": 258},
4007 {"name": "SC_EARLYZ_QUAD_COUNT", "value": 259},
4008 {"name": "SC_EARLYZ_QUAD_WITH_1_PIX", "value": 260},
4009 {"name": "SC_EARLYZ_QUAD_WITH_2_PIX", "value": 261},
4010 {"name": "SC_EARLYZ_QUAD_WITH_3_PIX", "value": 262},
4011 {"name": "SC_EARLYZ_QUAD_WITH_4_PIX", "value": 263},
4012 {"name": "SC_PKR_QUAD_PER_ROW_H1", "value": 264},
4013 {"name": "SC_PKR_QUAD_PER_ROW_H2", "value": 265},
4014 {"name": "SC_PKR_4X2_QUAD_SPLIT", "value": 266},
4015 {"name": "SC_PKR_4X2_FILL_QUAD", "value": 267},
4016 {"name": "SC_PKR_END_OF_VECTOR", "value": 268},
4017 {"name": "SC_PKR_CONTROL_XFER", "value": 269},
4018 {"name": "SC_PKR_DBHANG_FORCE_EOV", "value": 270},
4019 {"name": "SC_REG_SCLK_BUSY", "value": 271},
4020 {"name": "SC_GRP0_DYN_SCLK_BUSY", "value": 272},
4021 {"name": "SC_GRP1_DYN_SCLK_BUSY", "value": 273},
4022 {"name": "SC_GRP2_DYN_SCLK_BUSY", "value": 274},
4023 {"name": "SC_GRP3_DYN_SCLK_BUSY", "value": 275},
4024 {"name": "SC_GRP4_DYN_SCLK_BUSY", "value": 276},
4025 {"name": "SC_PA0_SC_DATA_FIFO_RD", "value": 277},
4026 {"name": "SC_PA0_SC_DATA_FIFO_WE", "value": 278},
4027 {"name": "SC_PA1_SC_DATA_FIFO_RD", "value": 279},
4028 {"name": "SC_PA1_SC_DATA_FIFO_WE", "value": 280},
4029 {"name": "SC_PS_ARB_XFC_ALL_EVENT_OR_PRIM_CYCLES", "value": 281},
4030 {"name": "SC_PS_ARB_XFC_ONLY_PRIM_CYCLES", "value": 282},
4031 {"name": "SC_PS_ARB_XFC_ONLY_ONE_INC_PER_PRIM", "value": 283},
4032 {"name": "SC_PS_ARB_STALLED_FROM_BELOW", "value": 284},
4033 {"name": "SC_PS_ARB_STARVED_FROM_ABOVE", "value": 285},
4034 {"name": "SC_PS_ARB_SC_BUSY", "value": 286},
4035 {"name": "SC_PS_ARB_PA_SC_BUSY", "value": 287},
4036 {"name": "SC_PA2_SC_DATA_FIFO_RD", "value": 288},
4037 {"name": "SC_PA2_SC_DATA_FIFO_WE", "value": 289},
4038 {"name": "SC_PA3_SC_DATA_FIFO_RD", "value": 290},
4039 {"name": "SC_PA3_SC_DATA_FIFO_WE", "value": 291},
4040 {"name": "SC_PA_SC_DEALLOC_0_0_WE", "value": 292},
4041 {"name": "SC_PA_SC_DEALLOC_0_1_WE", "value": 293},
4042 {"name": "SC_PA_SC_DEALLOC_1_0_WE", "value": 294},
4043 {"name": "SC_PA_SC_DEALLOC_1_1_WE", "value": 295},
4044 {"name": "SC_PA_SC_DEALLOC_2_0_WE", "value": 296},
4045 {"name": "SC_PA_SC_DEALLOC_2_1_WE", "value": 297},
4046 {"name": "SC_PA_SC_DEALLOC_3_0_WE", "value": 298},
4047 {"name": "SC_PA_SC_DEALLOC_3_1_WE", "value": 299},
4048 {"name": "SC_PA0_SC_EOP_WE", "value": 300},
4049 {"name": "SC_PA0_SC_EOPG_WE", "value": 301},
4050 {"name": "SC_PA0_SC_EVENT_WE", "value": 302},
4051 {"name": "SC_PA1_SC_EOP_WE", "value": 303},
4052 {"name": "SC_PA1_SC_EOPG_WE", "value": 304},
4053 {"name": "SC_PA1_SC_EVENT_WE", "value": 305},
4054 {"name": "SC_PA2_SC_EOP_WE", "value": 306},
4055 {"name": "SC_PA2_SC_EOPG_WE", "value": 307},
4056 {"name": "SC_PA2_SC_EVENT_WE", "value": 308},
4057 {"name": "SC_PA3_SC_EOP_WE", "value": 309},
4058 {"name": "SC_PA3_SC_EOPG_WE", "value": 310},
4059 {"name": "SC_PA3_SC_EVENT_WE", "value": 311},
4060 {"name": "SC_PS_ARB_OOO_THRESHOLD_SWITCH_TO_DESIRED_FIFO", "value": 312},
4061 {"name": "SC_PS_ARB_OOO_FIFO_EMPTY_SWITCH", "value": 313},
4062 {"name": "SC_PS_ARB_NULL_PRIM_BUBBLE_POP", "value": 314},
4063 {"name": "SC_PS_ARB_EOP_POP_SYNC_POP", "value": 315},
4064 {"name": "SC_PS_ARB_EVENT_SYNC_POP", "value": 316},
4065 {"name": "SC_SC_PS_ENG_MULTICYCLE_BUBBLE", "value": 317},
4066 {"name": "SC_PA0_SC_FPOV_WE", "value": 318},
4067 {"name": "SC_PA1_SC_FPOV_WE", "value": 319},
4068 {"name": "SC_PA2_SC_FPOV_WE", "value": 320},
4069 {"name": "SC_PA3_SC_FPOV_WE", "value": 321},
4070 {"name": "SC_PA0_SC_LPOV_WE", "value": 322},
4071 {"name": "SC_PA1_SC_LPOV_WE", "value": 323},
4072 {"name": "SC_PA2_SC_LPOV_WE", "value": 324},
4073 {"name": "SC_PA3_SC_LPOV_WE", "value": 325},
4074 {"name": "SC_SC_SPI_DEALLOC_0_0", "value": 326},
4075 {"name": "SC_SC_SPI_DEALLOC_0_1", "value": 327},
4076 {"name": "SC_SC_SPI_DEALLOC_0_2", "value": 328},
4077 {"name": "SC_SC_SPI_DEALLOC_1_0", "value": 329},
4078 {"name": "SC_SC_SPI_DEALLOC_1_1", "value": 330},
4079 {"name": "SC_SC_SPI_DEALLOC_1_2", "value": 331},
4080 {"name": "SC_SC_SPI_DEALLOC_2_0", "value": 332},
4081 {"name": "SC_SC_SPI_DEALLOC_2_1", "value": 333},
4082 {"name": "SC_SC_SPI_DEALLOC_2_2", "value": 334},
4083 {"name": "SC_SC_SPI_DEALLOC_3_0", "value": 335},
4084 {"name": "SC_SC_SPI_DEALLOC_3_1", "value": 336},
4085 {"name": "SC_SC_SPI_DEALLOC_3_2", "value": 337},
4086 {"name": "SC_SC_SPI_FPOV_0", "value": 338},
4087 {"name": "SC_SC_SPI_FPOV_1", "value": 339},
4088 {"name": "SC_SC_SPI_FPOV_2", "value": 340},
4089 {"name": "SC_SC_SPI_FPOV_3", "value": 341},
4090 {"name": "SC_SC_SPI_EVENT", "value": 342},
4091 {"name": "SC_PS_TS_EVENT_FIFO_PUSH", "value": 343},
4092 {"name": "SC_PS_TS_EVENT_FIFO_POP", "value": 344},
4093 {"name": "SC_PS_CTX_DONE_FIFO_PUSH", "value": 345},
4094 {"name": "SC_PS_CTX_DONE_FIFO_POP", "value": 346},
4095 {"name": "SC_MULTICYCLE_BUBBLE_FREEZE", "value": 347},
4096 {"name": "SC_EOP_SYNC_WINDOW", "value": 348},
4097 {"name": "SC_PA0_SC_NULL_WE", "value": 349},
4098 {"name": "SC_PA0_SC_NULL_DEALLOC_WE", "value": 350},
4099 {"name": "SC_PA0_SC_DATA_FIFO_EOPG_RD", "value": 351},
4100 {"name": "SC_PA0_SC_DATA_FIFO_EOP_RD", "value": 352},
4101 {"name": "SC_PA0_SC_DEALLOC_0_RD", "value": 353},
4102 {"name": "SC_PA0_SC_DEALLOC_1_RD", "value": 354},
4103 {"name": "SC_PA1_SC_DATA_FIFO_EOPG_RD", "value": 355},
4104 {"name": "SC_PA1_SC_DATA_FIFO_EOP_RD", "value": 356},
4105 {"name": "SC_PA1_SC_DEALLOC_0_RD", "value": 357},
4106 {"name": "SC_PA1_SC_DEALLOC_1_RD", "value": 358},
4107 {"name": "SC_PA1_SC_NULL_WE", "value": 359},
4108 {"name": "SC_PA1_SC_NULL_DEALLOC_WE", "value": 360},
4109 {"name": "SC_PA2_SC_DATA_FIFO_EOPG_RD", "value": 361},
4110 {"name": "SC_PA2_SC_DATA_FIFO_EOP_RD", "value": 362},
4111 {"name": "SC_PA2_SC_DEALLOC_0_RD", "value": 363},
4112 {"name": "SC_PA2_SC_DEALLOC_1_RD", "value": 364},
4113 {"name": "SC_PA2_SC_NULL_WE", "value": 365},
4114 {"name": "SC_PA2_SC_NULL_DEALLOC_WE", "value": 366},
4115 {"name": "SC_PA3_SC_DATA_FIFO_EOPG_RD", "value": 367},
4116 {"name": "SC_PA3_SC_DATA_FIFO_EOP_RD", "value": 368},
4117 {"name": "SC_PA3_SC_DEALLOC_0_RD", "value": 369},
4118 {"name": "SC_PA3_SC_DEALLOC_1_RD", "value": 370},
4119 {"name": "SC_PA3_SC_NULL_WE", "value": 371},
4120 {"name": "SC_PA3_SC_NULL_DEALLOC_WE", "value": 372},
4121 {"name": "SC_PS_PA0_SC_FIFO_EMPTY", "value": 373},
4122 {"name": "SC_PS_PA0_SC_FIFO_FULL", "value": 374},
4123 {"name": "SC_RESERVED_0", "value": 375},
4124 {"name": "SC_PS_PA1_SC_FIFO_EMPTY", "value": 376},
4125 {"name": "SC_PS_PA1_SC_FIFO_FULL", "value": 377},
4126 {"name": "SC_RESERVED_1", "value": 378},
4127 {"name": "SC_PS_PA2_SC_FIFO_EMPTY", "value": 379},
4128 {"name": "SC_PS_PA2_SC_FIFO_FULL", "value": 380},
4129 {"name": "SC_RESERVED_2", "value": 381},
4130 {"name": "SC_PS_PA3_SC_FIFO_EMPTY", "value": 382},
4131 {"name": "SC_PS_PA3_SC_FIFO_FULL", "value": 383},
4132 {"name": "SC_RESERVED_3", "value": 384},
4133 {"name": "SC_BUSY_PROCESSING_MULTICYCLE_PRIM", "value": 385},
4134 {"name": "SC_BUSY_CNT_NOT_ZERO", "value": 386},
4135 {"name": "SC_BM_BUSY", "value": 387},
4136 {"name": "SC_BACKEND_BUSY", "value": 388},
4137 {"name": "SC_SCF_SCB_INTERFACE_BUSY", "value": 389},
4138 {"name": "SC_SCB_BUSY", "value": 390},
4139 {"name": "SC_STARVED_BY_PA_WITH_UNSELECTED_PA_NOT_EMPTY", "value": 391},
4140 {"name": "SC_STARVED_BY_PA_WITH_UNSELECTED_PA_FULL", "value": 392},
4141 {"name": "SC_PBB_BIN_HIST_NUM_PRIMS", "value": 393},
4142 {"name": "SC_PBB_BATCH_HIST_NUM_PRIMS", "value": 394},
4143 {"name": "SC_PBB_BIN_HIST_NUM_CONTEXTS", "value": 395},
4144 {"name": "SC_PBB_BATCH_HIST_NUM_CONTEXTS", "value": 396},
4145 {"name": "SC_PBB_BIN_HIST_NUM_PERSISTENT_STATES", "value": 397},
4146 {"name": "SC_PBB_BATCH_HIST_NUM_PERSISTENT_STATES", "value": 398},
4147 {"name": "SC_PBB_BATCH_HIST_NUM_PS_WAVE_BREAKS", "value": 399},
4148 {"name": "SC_PBB_BATCH_HIST_NUM_TRIV_REJECTED_PRIMS", "value": 400},
4149 {"name": "SC_PBB_BATCH_HIST_NUM_ROWS_PER_PRIM", "value": 401},
4150 {"name": "SC_PBB_BATCH_HIST_NUM_COLUMNS_PER_ROW", "value": 402},
4151 {"name": "SC_PBB_BUSY", "value": 403},
4152 {"name": "SC_PBB_BUSY_AND_NO_SENDS", "value": 404},
4153 {"name": "SC_PBB_STALLS_PA_DUE_TO_NO_TILES", "value": 405},
4154 {"name": "SC_PBB_NUM_BINS", "value": 406},
4155 {"name": "SC_PBB_END_OF_BIN", "value": 407},
4156 {"name": "SC_PBB_END_OF_BATCH", "value": 408},
4157 {"name": "SC_PBB_PRIMBIN_PROCESSED", "value": 409},
4158 {"name": "SC_PBB_PRIM_ADDED_TO_BATCH", "value": 410},
4159 {"name": "SC_PBB_NONBINNED_PRIM", "value": 411},
4160 {"name": "SC_PBB_TOTAL_REAL_PRIMS_OUT_OF_PBB", "value": 412},
4161 {"name": "SC_PBB_TOTAL_NULL_PRIMS_OUT_OF_PBB", "value": 413},
4162 {"name": "SC_PBB_IDLE_CLK_DUE_TO_ROW_TO_COLUMN_TRANSITION", "value": 414},
4163 {"name": "SC_PBB_IDLE_CLK_DUE_TO_FALSE_POSITIVE_ON_ROW", "value": 415},
4164 {"name": "SC_PBB_IDLE_CLK_DUE_TO_FALSE_POSITIVE_ON_COLUMN", "value": 416},
4165 {"name": "SC_PBB_BATCH_BREAK_DUE_TO_PERSISTENT_STATE", "value": 417},
4166 {"name": "SC_PBB_BATCH_BREAK_DUE_TO_CONTEXT_STATE", "value": 418},
4167 {"name": "SC_PBB_BATCH_BREAK_DUE_TO_PRIM", "value": 419},
4168 {"name": "SC_PBB_BATCH_BREAK_DUE_TO_PC_STORAGE", "value": 420},
4169 {"name": "SC_PBB_BATCH_BREAK_DUE_TO_EVENT", "value": 421},
4170 {"name": "SC_PBB_BATCH_BREAK_DUE_TO_FPOV_LIMIT", "value": 422},
4171 {"name": "SC_POPS_INTRA_WAVE_OVERLAPS", "value": 423},
4172 {"name": "SC_POPS_FORCE_EOV", "value": 424},
4173 {"name": "SC_PKR_QUAD_OVLP_NOT_FOUND_IN_WAVE_TABLE_AND_WAVES_SINCE_OVLP_SET_TO_MAX", "value": 425},
4174 {"name": "SC_PKR_QUAD_OVLP_NOT_FOUND_IN_WAVE_TABLE_AND_NO_CHANGE_TO_WAVES_SINCE_OVLP", "value": 426},
4175 {"name": "SC_PKR_QUAD_OVLP_FOUND_IN_WAVE_TABLE", "value": 427},
4176 {"name": "SC_FULL_FULL_QUAD", "value": 428},
4177 {"name": "SC_FULL_HALF_QUAD", "value": 429},
4178 {"name": "SC_FULL_QTR_QUAD", "value": 430},
4179 {"name": "SC_HALF_FULL_QUAD", "value": 431},
4180 {"name": "SC_HALF_HALF_QUAD", "value": 432},
4181 {"name": "SC_HALF_QTR_QUAD", "value": 433},
4182 {"name": "SC_QTR_FULL_QUAD", "value": 434},
4183 {"name": "SC_QTR_HALF_QUAD", "value": 435},
4184 {"name": "SC_QTR_QTR_QUAD", "value": 436},
4185 {"name": "SC_GRP5_DYN_SCLK_BUSY", "value": 437},
4186 {"name": "SC_GRP6_DYN_SCLK_BUSY", "value": 438},
4187 {"name": "SC_GRP7_DYN_SCLK_BUSY", "value": 439},
4188 {"name": "SC_GRP8_DYN_SCLK_BUSY", "value": 440},
4189 {"name": "SC_GRP9_DYN_SCLK_BUSY", "value": 441},
4190 {"name": "SC_PS_TO_BE_SCLK_GATE_STALL", "value": 442},
4191 {"name": "SC_PA_TO_PBB_SCLK_GATE_STALL_STALL", "value": 443},
4192 {"name": "SC_PK_BUSY", "value": 444},
4193 {"name": "SC_PK_MAX_DEALLOC_FORCE_EOV", "value": 445},
4194 {"name": "SC_PK_DEALLOC_WAVE_BREAK", "value": 446},
4195 {"name": "SC_SPI_SEND", "value": 447},
4196 {"name": "SC_SPI_CREDIT_AT_ZERO_WITH_PENDING_SEND", "value": 448},
4197 {"name": "SC_SPI_CREDIT_AT_MAX", "value": 449},
4198 {"name": "SC_SPI_CREDIT_AT_MAX_NO_PENDING_SEND", "value": 450},
4199 {"name": "SC_BCI_SEND", "value": 451},
4200 {"name": "SC_BCI_CREDIT_AT_ZERO_WITH_PENDING_SEND", "value": 452},
4201 {"name": "SC_BCI_CREDIT_AT_MAX", "value": 453},
4202 {"name": "SC_BCI_CREDIT_AT_MAX_NO_PENDING_SEND", "value": 454},
4203 {"name": "SC_SPIBC_FULL_FREEZE", "value": 455},
4204 {"name": "SC_PW_BM_PASS_EMPTY_PRIM", "value": 456},
4205 {"name": "SC_SUPERTILE_COUNT_EXCLUDE_PASS_EMPTY_PRIM", "value": 457},
4206 {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H0", "value": 458},
4207 {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H1", "value": 459},
4208 {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H2", "value": 460},
4209 {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H3", "value": 461},
4210 {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H4", "value": 462},
4211 {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H5", "value": 463},
4212 {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H6", "value": 464},
4213 {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H7", "value": 465},
4214 {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H8", "value": 466},
4215 {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H9", "value": 467},
4216 {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H10", "value": 468},
4217 {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H11", "value": 469},
4218 {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H12", "value": 470},
4219 {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H13", "value": 471},
4220 {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H14", "value": 472},
4221 {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H15", "value": 473},
4222 {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H16", "value": 474},
4223 {"name": "SC_DB0_TILE_INTERFACE_BUSY", "value": 475},
4224 {"name": "SC_DB0_TILE_INTERFACE_SEND", "value": 476},
4225 {"name": "SC_DB0_TILE_INTERFACE_SEND_EVENT", "value": 477},
4226 {"name": "SC_DB0_TILE_INTERFACE_SEND_SOP_ONLY_EVENT", "value": 478},
4227 {"name": "SC_DB0_TILE_INTERFACE_SEND_SOP", "value": 479},
4228 {"name": "SC_DB0_TILE_INTERFACE_CREDIT_AT_ZERO_WITH_PENDING_SEND", "value": 480},
4229 {"name": "SC_DB0_TILE_INTERFACE_CREDIT_AT_MAX", "value": 481},
4230 {"name": "SC_DB0_TILE_INTERFACE_CREDIT_AT_MAX_WITH_NO_PENDING_SEND", "value": 482},
4231 {"name": "SC_DB1_TILE_INTERFACE_BUSY", "value": 483},
4232 {"name": "SC_DB1_TILE_INTERFACE_SEND", "value": 484},
4233 {"name": "SC_DB1_TILE_INTERFACE_SEND_EVENT", "value": 485},
4234 {"name": "SC_DB1_TILE_INTERFACE_SEND_SOP_ONLY_EVENT", "value": 486},
4235 {"name": "SC_DB1_TILE_INTERFACE_SEND_SOP", "value": 487},
4236 {"name": "SC_DB1_TILE_INTERFACE_CREDIT_AT_ZERO_WITH_PENDING_SEND", "value": 488},
4237 {"name": "SC_DB1_TILE_INTERFACE_CREDIT_AT_MAX", "value": 489},
4238 {"name": "SC_DB1_TILE_INTERFACE_CREDIT_AT_MAX_WITH_NO_PENDING_SEND", "value": 490},
4239 {"name": "SC_BACKEND_PRIM_FIFO_FULL", "value": 491},
4240 {"name": "SC_PBB_BATCH_BREAK_DUE_TO_TIMEOUT_COUNTER", "value": 492},
4241 {"name": "SC_PBB_BATCH_BREAK_DUE_TO_NONBINNED_BATCH", "value": 493},
4242 {"name": "SC_PBB_BATCH_BREAK_DUE_TO_DEBUG_DATA_PER_DRAW_DISPATCH", "value": 494},
4243 {"name": "SC_PBB_BATCH_BREAK_DUE_TO_OVERRIDE_REGISTER_PERSISTENT", "value": 495},
4244 {"name": "SC_PBB_BATCH_BREAK_DUE_TO_OVERRIDE_REGISTER_CONTEXT", "value": 496},
4245 {"name": "SC_PBB_BATCH_BREAK_DUE_TO_OVERRIDE_REGISTER_FPOV", "value": 497},
4246 {"name": "SC_PBB_BATCH_BREAK_DUE_TO_NEW_SC_MODE", "value": 498},
4247 {"name": "SC_PBB_BATCH_BREAK_DUE_TO_BINNING_MODE_CHANGE", "value": 499},
4248 {"name": "SC_PBB_BATCH_BREAK_DUE_TO_PIPELINE_EVENT_COUNT", "value": 500},
4249 {"name": "SC_PBB_BATCH_BREAK_DUE_TO_PIPE_RESET", "value": 501},
4250 {"name": "SC_PBB_BATCH_BREAK_DUE_TO_GFX_PIPE_CHANGE", "value": 502},
4251 {"name": "SC_STALLED_BY_DB0_TILEFIFO", "value": 503},
4252 {"name": "SC_DB0_QUAD_INTF_SEND", "value": 504},
4253 {"name": "SC_DB0_QUAD_INTF_BUSY", "value": 505},
4254 {"name": "SC_DB0_QUAD_INTF_STALLED_BY_DB", "value": 506},
4255 {"name": "SC_DB0_QUAD_INTF_CREDIT_AT_MAX", "value": 507},
4256 {"name": "SC_DB0_QUAD_INTF_IDLE", "value": 508},
4257 {"name": "SC_DB1_QUAD_INTF_SEND", "value": 509},
4258 {"name": "SC_STALLED_BY_DB1_TILEFIFO", "value": 510},
4259 {"name": "SC_DB1_QUAD_INTF_BUSY", "value": 511},
4260 {"name": "SC_DB1_QUAD_INTF_STALLED_BY_DB", "value": 512},
4261 {"name": "SC_DB1_QUAD_INTF_CREDIT_AT_MAX", "value": 513},
4262 {"name": "SC_DB1_QUAD_INTF_IDLE", "value": 514},
4263 {"name": "SC_PKR_WAVE_BREAK_OUTSIDE_REGION", "value": 515},
4264 {"name": "SC_PKR_WAVE_BREAK_FULL_TILE", "value": 516}
4265 ]
4266 },
4267 "SPI_LB_WAVES_SELECT": {
4268 "entries": [
4269 {"name": "HS_GS", "value": 0},
4270 {"name": "VS_PS", "value": 1},
4271 {"name": "CS_NA", "value": 2},
4272 {"name": "SPI_LB_WAVES_RSVD", "value": 3}
4273 ]
4274 },
4275 "SPI_PERFCNT_SEL": {
4276 "entries": [
4277 {"name": "SPI_PERF_VS_WINDOW_VALID", "value": 0},
4278 {"name": "SPI_PERF_VS_BUSY", "value": 1},
4279 {"name": "SPI_PERF_VS_FIRST_WAVE", "value": 2},
4280 {"name": "SPI_PERF_VS_LAST_WAVE", "value": 3},
4281 {"name": "SPI_PERF_VS_LSHS_DEALLOC", "value": 4},
4282 {"name": "SPI_PERF_VS_POS0_STALL", "value": 5},
4283 {"name": "SPI_PERF_VS_POS1_STALL", "value": 6},
4284 {"name": "SPI_PERF_VS_CRAWLER_STALL", "value": 7},
4285 {"name": "SPI_PERF_VS_EVENT_WAVE", "value": 8},
4286 {"name": "SPI_PERF_VS_WAVE", "value": 9},
4287 {"name": "SPI_PERF_VS_PERS_UPD_FULL0", "value": 10},
4288 {"name": "SPI_PERF_VS_PERS_UPD_FULL1", "value": 11},
4289 {"name": "SPI_PERF_VS_LATE_ALLOC_FULL", "value": 12},
4290 {"name": "SPI_PERF_VS_FIRST_SUBGRP", "value": 13},
4291 {"name": "SPI_PERF_VS_LAST_SUBGRP", "value": 14},
4292 {"name": "SPI_PERF_VS_ALLOC_CNT", "value": 15},
4293 {"name": "SPI_PERF_VS_LATE_ALLOC_ACCUM", "value": 16},
4294 {"name": "SPI_PERF_GS_WINDOW_VALID", "value": 17},
4295 {"name": "SPI_PERF_GS_BUSY", "value": 18},
4296 {"name": "SPI_PERF_GS_CRAWLER_STALL", "value": 19},
4297 {"name": "SPI_PERF_GS_EVENT_WAVE", "value": 20},
4298 {"name": "SPI_PERF_GS_WAVE", "value": 21},
4299 {"name": "SPI_PERF_GS_PERS_UPD_FULL0", "value": 22},
4300 {"name": "SPI_PERF_GS_PERS_UPD_FULL1", "value": 23},
4301 {"name": "SPI_PERF_GS_FIRST_SUBGRP", "value": 24},
4302 {"name": "SPI_PERF_GS_LAST_SUBGRP", "value": 25},
4303 {"name": "SPI_PERF_GS_HS_DEALLOC", "value": 26},
4304 {"name": "SPI_PERF_GS_NGG_SE_LATE_ALLOC_LIMIT", "value": 27},
4305 {"name": "SPI_PERF_GS_GRP_FIFO_FULL", "value": 28},
4306 {"name": "SPI_PERF_GS_POS0_STALL", "value": 29},
4307 {"name": "SPI_PERF_GS_POS1_STALL", "value": 30},
4308 {"name": "SPI_PERF_GS_INDX0_STALL", "value": 31},
4309 {"name": "SPI_PERF_GS_INDX1_STALL", "value": 32},
4310 {"name": "SPI_PERF_HS_WINDOW_VALID", "value": 33},
4311 {"name": "SPI_PERF_HS_BUSY", "value": 34},
4312 {"name": "SPI_PERF_HS_CRAWLER_STALL", "value": 35},
4313 {"name": "SPI_PERF_HS_FIRST_WAVE", "value": 36},
4314 {"name": "SPI_PERF_HS_LAST_WAVE", "value": 37},
4315 {"name": "SPI_PERF_HS_LSHS_DEALLOC", "value": 38},
4316 {"name": "SPI_PERF_HS_OFFCHIP_LDS_STALL", "value": 39},
4317 {"name": "SPI_PERF_HS_EVENT_WAVE", "value": 40},
4318 {"name": "SPI_PERF_HS_WAVE", "value": 41},
4319 {"name": "SPI_PERF_HS_PERS_UPD_FULL0", "value": 42},
4320 {"name": "SPI_PERF_HS_PERS_UPD_FULL1", "value": 43},
4321 {"name": "SPI_PERF_CSG_WINDOW_VALID", "value": 44},
4322 {"name": "SPI_PERF_CSG_BUSY", "value": 45},
4323 {"name": "SPI_PERF_CSG_NUM_THREADGROUPS", "value": 46},
4324 {"name": "SPI_PERF_CSG_CRAWLER_STALL", "value": 47},
4325 {"name": "SPI_PERF_CSG_EVENT_WAVE", "value": 48},
4326 {"name": "SPI_PERF_CSG_WAVE", "value": 49},
4327 {"name": "SPI_PERF_CSN_WINDOW_VALID", "value": 50},
4328 {"name": "SPI_PERF_CSN_BUSY", "value": 51},
4329 {"name": "SPI_PERF_CSN_NUM_THREADGROUPS", "value": 52},
4330 {"name": "SPI_PERF_CSN_CRAWLER_STALL", "value": 53},
4331 {"name": "SPI_PERF_CSN_EVENT_WAVE", "value": 54},
4332 {"name": "SPI_PERF_CSN_WAVE", "value": 55},
4333 {"name": "SPI_PERF_PS0_WINDOW_VALID", "value": 56},
4334 {"name": "SPI_PERF_PS1_WINDOW_VALID", "value": 57},
4335 {"name": "SPI_PERF_PS2_WINDOW_VALID", "value": 58},
4336 {"name": "SPI_PERF_PS3_WINDOW_VALID", "value": 59},
4337 {"name": "SPI_PERF_PS0_BUSY", "value": 60},
4338 {"name": "SPI_PERF_PS1_BUSY", "value": 61},
4339 {"name": "SPI_PERF_PS2_BUSY", "value": 62},
4340 {"name": "SPI_PERF_PS3_BUSY", "value": 63},
4341 {"name": "SPI_PERF_PS0_ACTIVE", "value": 64},
4342 {"name": "SPI_PERF_PS1_ACTIVE", "value": 65},
4343 {"name": "SPI_PERF_PS2_ACTIVE", "value": 66},
4344 {"name": "SPI_PERF_PS3_ACTIVE", "value": 67},
4345 {"name": "SPI_PERF_PS0_DEALLOC", "value": 68},
4346 {"name": "SPI_PERF_PS1_DEALLOC", "value": 69},
4347 {"name": "SPI_PERF_PS2_DEALLOC", "value": 70},
4348 {"name": "SPI_PERF_PS3_DEALLOC", "value": 71},
4349 {"name": "SPI_PERF_PS0_FPOS_STALL", "value": 72},
4350 {"name": "SPI_PERF_PS1_FPOS_STALL", "value": 73},
4351 {"name": "SPI_PERF_PS2_FPOS_STALL", "value": 74},
4352 {"name": "SPI_PERF_PS3_FPOS_STALL", "value": 75},
4353 {"name": "SPI_PERF_PS0_EVENT_WAVE", "value": 76},
4354 {"name": "SPI_PERF_PS1_EVENT_WAVE", "value": 77},
4355 {"name": "SPI_PERF_PS2_EVENT_WAVE", "value": 78},
4356 {"name": "SPI_PERF_PS3_EVENT_WAVE", "value": 79},
4357 {"name": "SPI_PERF_PS0_WAVE", "value": 80},
4358 {"name": "SPI_PERF_PS1_WAVE", "value": 81},
4359 {"name": "SPI_PERF_PS2_WAVE", "value": 82},
4360 {"name": "SPI_PERF_PS3_WAVE", "value": 83},
4361 {"name": "SPI_PERF_PS0_OPT_WAVE", "value": 84},
4362 {"name": "SPI_PERF_PS1_OPT_WAVE", "value": 85},
4363 {"name": "SPI_PERF_PS2_OPT_WAVE", "value": 86},
4364 {"name": "SPI_PERF_PS3_OPT_WAVE", "value": 87},
4365 {"name": "SPI_PERF_PS0_PASS_BIN0", "value": 88},
4366 {"name": "SPI_PERF_PS1_PASS_BIN0", "value": 89},
4367 {"name": "SPI_PERF_PS2_PASS_BIN0", "value": 90},
4368 {"name": "SPI_PERF_PS3_PASS_BIN0", "value": 91},
4369 {"name": "SPI_PERF_PS0_PASS_BIN1", "value": 92},
4370 {"name": "SPI_PERF_PS1_PASS_BIN1", "value": 93},
4371 {"name": "SPI_PERF_PS2_PASS_BIN1", "value": 94},
4372 {"name": "SPI_PERF_PS3_PASS_BIN1", "value": 95},
4373 {"name": "SPI_PERF_PS0_FPOS", "value": 96},
4374 {"name": "SPI_PERF_PS1_FPOS", "value": 97},
4375 {"name": "SPI_PERF_PS2_FPOS", "value": 98},
4376 {"name": "SPI_PERF_PS3_FPOS", "value": 99},
4377 {"name": "SPI_PERF_PS0_PRIM_BIN0", "value": 100},
4378 {"name": "SPI_PERF_PS1_PRIM_BIN0", "value": 101},
4379 {"name": "SPI_PERF_PS2_PRIM_BIN0", "value": 102},
4380 {"name": "SPI_PERF_PS3_PRIM_BIN0", "value": 103},
4381 {"name": "SPI_PERF_PS0_PRIM_BIN1", "value": 104},
4382 {"name": "SPI_PERF_PS1_PRIM_BIN1", "value": 105},
4383 {"name": "SPI_PERF_PS2_PRIM_BIN1", "value": 106},
4384 {"name": "SPI_PERF_PS3_PRIM_BIN1", "value": 107},
4385 {"name": "SPI_PERF_PS0_CNF_BIN2", "value": 108},
4386 {"name": "SPI_PERF_PS1_CNF_BIN2", "value": 109},
4387 {"name": "SPI_PERF_PS2_CNF_BIN2", "value": 110},
4388 {"name": "SPI_PERF_PS3_CNF_BIN2", "value": 111},
4389 {"name": "SPI_PERF_PS0_CNF_BIN3", "value": 112},
4390 {"name": "SPI_PERF_PS1_CNF_BIN3", "value": 113},
4391 {"name": "SPI_PERF_PS2_CNF_BIN3", "value": 114},
4392 {"name": "SPI_PERF_PS3_CNF_BIN3", "value": 115},
4393 {"name": "SPI_PERF_PS0_CRAWLER_STALL", "value": 116},
4394 {"name": "SPI_PERF_PS1_CRAWLER_STALL", "value": 117},
4395 {"name": "SPI_PERF_PS2_CRAWLER_STALL", "value": 118},
4396 {"name": "SPI_PERF_PS3_CRAWLER_STALL", "value": 119},
4397 {"name": "SPI_PERF_PS0_LDS_RES_FULL", "value": 120},
4398 {"name": "SPI_PERF_PS1_LDS_RES_FULL", "value": 121},
4399 {"name": "SPI_PERF_PS2_LDS_RES_FULL", "value": 122},
4400 {"name": "SPI_PERF_PS3_LDS_RES_FULL", "value": 123},
4401 {"name": "SPI_PERF_PS0_POPS_WAVE_SENT", "value": 124},
4402 {"name": "SPI_PERF_PS1_POPS_WAVE_SENT", "value": 125},
4403 {"name": "SPI_PERF_PS2_POPS_WAVE_SENT", "value": 126},
4404 {"name": "SPI_PERF_PS3_POPS_WAVE_SENT", "value": 127},
4405 {"name": "SPI_PERF_PS0_POPS_WAVE_EXIT", "value": 128},
4406 {"name": "SPI_PERF_PS1_POPS_WAVE_EXIT", "value": 129},
4407 {"name": "SPI_PERF_PS2_POPS_WAVE_EXIT", "value": 130},
4408 {"name": "SPI_PERF_PS3_POPS_WAVE_EXIT", "value": 131},
4409 {"name": "SPI_PERF_PS_PERS_UPD_FULL0", "value": 132},
4410 {"name": "SPI_PERF_PS_PERS_UPD_FULL1", "value": 133},
4411 {"name": "SPI_PERF_LDS0_PC_VALID", "value": 134},
4412 {"name": "SPI_PERF_LDS1_PC_VALID", "value": 135},
4413 {"name": "SPI_PERF_RA_PIPE_REQ_BIN2", "value": 136},
4414 {"name": "SPI_PERF_RA_TASK_REQ_BIN3", "value": 137},
4415 {"name": "SPI_PERF_RA_WR_CTL_FULL", "value": 138},
4416 {"name": "SPI_PERF_RA_REQ_NO_ALLOC", "value": 139},
4417 {"name": "SPI_PERF_RA_REQ_NO_ALLOC_PS", "value": 140},
4418 {"name": "SPI_PERF_RA_REQ_NO_ALLOC_VS", "value": 141},
4419 {"name": "SPI_PERF_RA_REQ_NO_ALLOC_ES", "value": 142},
4420 {"name": "SPI_PERF_RA_REQ_NO_ALLOC_GS", "value": 143},
4421 {"name": "SPI_PERF_RA_REQ_NO_ALLOC_LS", "value": 144},
4422 {"name": "SPI_PERF_RA_REQ_NO_ALLOC_HS", "value": 145},
4423 {"name": "SPI_PERF_RA_REQ_NO_ALLOC_CSG", "value": 146},
4424 {"name": "SPI_PERF_RA_REQ_NO_ALLOC_CSN", "value": 147},
4425 {"name": "SPI_PERF_RA_RES_STALL_PS", "value": 148},
4426 {"name": "SPI_PERF_RA_RES_STALL_VS", "value": 149},
4427 {"name": "SPI_PERF_RA_RES_STALL_ES", "value": 150},
4428 {"name": "SPI_PERF_RA_RES_STALL_GS", "value": 151},
4429 {"name": "SPI_PERF_RA_RES_STALL_LS", "value": 152},
4430 {"name": "SPI_PERF_RA_RES_STALL_HS", "value": 153},
4431 {"name": "SPI_PERF_RA_RES_STALL_CSG", "value": 154},
4432 {"name": "SPI_PERF_RA_RES_STALL_CSN", "value": 155},
4433 {"name": "SPI_PERF_RA_TMP_STALL_PS", "value": 156},
4434 {"name": "SPI_PERF_RA_TMP_STALL_VS", "value": 157},
4435 {"name": "SPI_PERF_RA_TMP_STALL_ES", "value": 158},
4436 {"name": "SPI_PERF_RA_TMP_STALL_GS", "value": 159},
4437 {"name": "SPI_PERF_RA_TMP_STALL_LS", "value": 160},
4438 {"name": "SPI_PERF_RA_TMP_STALL_HS", "value": 161},
4439 {"name": "SPI_PERF_RA_TMP_STALL_CSG", "value": 162},
4440 {"name": "SPI_PERF_RA_TMP_STALL_CSN", "value": 163},
4441 {"name": "SPI_PERF_RA_WAVE_SIMD_FULL_PS", "value": 164},
4442 {"name": "SPI_PERF_RA_WAVE_SIMD_FULL_VS", "value": 165},
4443 {"name": "SPI_PERF_RA_WAVE_SIMD_FULL_ES", "value": 166},
4444 {"name": "SPI_PERF_RA_WAVE_SIMD_FULL_GS", "value": 167},
4445 {"name": "SPI_PERF_RA_WAVE_SIMD_FULL_LS", "value": 168},
4446 {"name": "SPI_PERF_RA_WAVE_SIMD_FULL_HS", "value": 169},
4447 {"name": "SPI_PERF_RA_WAVE_SIMD_FULL_CSG", "value": 170},
4448 {"name": "SPI_PERF_RA_WAVE_SIMD_FULL_CSN", "value": 171},
4449 {"name": "SPI_PERF_RA_VGPR_SIMD_FULL_PS", "value": 172},
4450 {"name": "SPI_PERF_RA_VGPR_SIMD_FULL_VS", "value": 173},
4451 {"name": "SPI_PERF_RA_VGPR_SIMD_FULL_ES", "value": 174},
4452 {"name": "SPI_PERF_RA_VGPR_SIMD_FULL_GS", "value": 175},
4453 {"name": "SPI_PERF_RA_VGPR_SIMD_FULL_HS", "value": 176},
4454 {"name": "SPI_PERF_RA_VGPR_SIMD_FULL_LS", "value": 177},
4455 {"name": "SPI_PERF_RA_VGPR_SIMD_FULL_CSG", "value": 178},
4456 {"name": "SPI_PERF_RA_VGPR_SIMD_FULL_CSN", "value": 179},
4457 {"name": "SPI_PERF_RA_SGPR_SIMD_FULL_PS", "value": 180},
4458 {"name": "SPI_PERF_RA_SGPR_SIMD_FULL_VS", "value": 181},
4459 {"name": "SPI_PERF_RA_SGPR_SIMD_FULL_ES", "value": 182},
4460 {"name": "SPI_PERF_RA_SGPR_SIMD_FULL_GS", "value": 183},
4461 {"name": "SPI_PERF_RA_SGPR_SIMD_FULL_LS", "value": 184},
4462 {"name": "SPI_PERF_RA_SGPR_SIMD_FULL_HS", "value": 185},
4463 {"name": "SPI_PERF_RA_SGPR_SIMD_FULL_CSG", "value": 186},
4464 {"name": "SPI_PERF_RA_SGPR_SIMD_FULL_CSN", "value": 187},
4465 {"name": "SPI_PERF_RA_LDS_CU_FULL_PS", "value": 188},
4466 {"name": "SPI_PERF_RA_LDS_CU_FULL_LS", "value": 189},
4467 {"name": "SPI_PERF_RA_LDS_CU_FULL_HS", "value": 190},
4468 {"name": "SPI_PERF_RA_LDS_CU_FULL_ES", "value": 191},
4469 {"name": "SPI_PERF_RA_LDS_CU_FULL_GS", "value": 192},
4470 {"name": "SPI_PERF_RA_LDS_CU_FULL_CSG", "value": 193},
4471 {"name": "SPI_PERF_RA_LDS_CU_FULL_CSN", "value": 194},
4472 {"name": "SPI_PERF_RA_BAR_CU_FULL_HS", "value": 195},
4473 {"name": "SPI_PERF_RA_BAR_CU_FULL_CSG", "value": 196},
4474 {"name": "SPI_PERF_RA_BAR_CU_FULL_CSN", "value": 197},
4475 {"name": "SPI_PERF_RA_BULKY_CU_FULL_CSG", "value": 198},
4476 {"name": "SPI_PERF_RA_BULKY_CU_FULL_CSN", "value": 199},
4477 {"name": "SPI_PERF_RA_TGLIM_CU_FULL_CSG", "value": 200},
4478 {"name": "SPI_PERF_RA_TGLIM_CU_FULL_CSN", "value": 201},
4479 {"name": "SPI_PERF_RA_WVLIM_STALL_PS", "value": 202},
4480 {"name": "SPI_PERF_RA_WVLIM_STALL_VS", "value": 203},
4481 {"name": "SPI_PERF_RA_WVLIM_STALL_ES", "value": 204},
4482 {"name": "SPI_PERF_RA_WVLIM_STALL_GS", "value": 205},
4483 {"name": "SPI_PERF_RA_WVLIM_STALL_LS", "value": 206},
4484 {"name": "SPI_PERF_RA_WVLIM_STALL_HS", "value": 207},
4485 {"name": "SPI_PERF_RA_WVLIM_STALL_CSG", "value": 208},
4486 {"name": "SPI_PERF_RA_WVLIM_STALL_CSN", "value": 209},
4487 {"name": "SPI_PERF_RA_VS_LOCK", "value": 210},
4488 {"name": "SPI_PERF_RA_PS_LOCK", "value": 211},
4489 {"name": "SPI_PERF_RA_ES_LOCK", "value": 212},
4490 {"name": "SPI_PERF_RA_GS_LOCK", "value": 213},
4491 {"name": "SPI_PERF_RA_LS_LOCK", "value": 214},
4492 {"name": "SPI_PERF_RA_HS_LOCK", "value": 215},
4493 {"name": "SPI_PERF_RA_CSG_LOCK", "value": 216},
4494 {"name": "SPI_PERF_RA_CSN_LOCK", "value": 217},
4495 {"name": "SPI_PERF_RA_RSV_UPD", "value": 218},
4496 {"name": "SPI_PERF_RA_PRE_ALLOC_STALL", "value": 219},
4497 {"name": "SPI_PERF_RA_GFX_UNDER_TUNNEL", "value": 220},
4498 {"name": "SPI_PERF_RA_CSC_UNDER_TUNNEL", "value": 221},
4499 {"name": "SPI_PERF_RA_WVALLOC_STALL", "value": 222},
4500 {"name": "SPI_PERF_EXP_ARB_COL_CNT", "value": 223},
4501 {"name": "SPI_PERF_EXP_ARB_PAR_CNT", "value": 224},
4502 {"name": "SPI_PERF_EXP_ARB_POS_CNT", "value": 225},
4503 {"name": "SPI_PERF_EXP_ARB_GDS_CNT", "value": 226},
4504 {"name": "SPI_PERF_NUM_PS_COL_SA0SQ0_EXPORTS", "value": 227},
4505 {"name": "SPI_PERF_NUM_PS_COL_SA0SQ1_EXPORTS", "value": 228},
4506 {"name": "SPI_PERF_NUM_PS_COL_SA1SQ0_EXPORTS", "value": 229},
4507 {"name": "SPI_PERF_NUM_PS_COL_SA1SQ1_EXPORTS", "value": 230},
4508 {"name": "SPI_PERF_NUM_VS_POS_SA0SQ0_EXPORTS", "value": 231},
4509 {"name": "SPI_PERF_NUM_VS_POS_SA0SQ1_EXPORTS", "value": 232},
4510 {"name": "SPI_PERF_NUM_VS_POS_SA1SQ0_EXPORTS", "value": 233},
4511 {"name": "SPI_PERF_NUM_VS_POS_SA1SQ1_EXPORTS", "value": 234},
4512 {"name": "SPI_PERF_NUM_VS_PARAM_SA0SQ0_EXPORTS", "value": 235},
4513 {"name": "SPI_PERF_NUM_VS_PARAM_SA0SQ1_EXPORTS", "value": 236},
4514 {"name": "SPI_PERF_NUM_VS_PARAM_SA1SQ0_EXPORTS", "value": 237},
4515 {"name": "SPI_PERF_NUM_VS_PARAM_SA1SQ1_EXPORTS", "value": 238},
4516 {"name": "SPI_PERF_NUM_VS_GDS_SA0SQ0_EXPORTS", "value": 239},
4517 {"name": "SPI_PERF_NUM_VS_GDS_SA0SQ1_EXPORTS", "value": 240},
4518 {"name": "SPI_PERF_NUM_VS_GDS_SA1SQ0_EXPORTS", "value": 241},
4519 {"name": "SPI_PERF_NUM_VS_GDS_SA1SQ1_EXPORTS", "value": 242},
4520 {"name": "SPI_PERF_NUM_EXPGRANT_EXPORTS", "value": 243},
4521 {"name": "SPI_PERF_CLKGATE_BUSY_STALL", "value": 244},
4522 {"name": "SPI_PERF_CLKGATE_ACTIVE_STALL", "value": 245},
4523 {"name": "SPI_PERF_CLKGATE_ALL_CLOCKS_ON", "value": 246},
4524 {"name": "SPI_PERF_CLKGATE_CGTT_DYN_ON", "value": 247},
4525 {"name": "SPI_PERF_CLKGATE_CGTT_REG_ON", "value": 248},
4526 {"name": "SPI_PERF_PIX_ALLOC_PEND_CNT", "value": 249},
4527 {"name": "SPI_PERF_PIX_ALLOC_SCB0_STALL", "value": 250},
4528 {"name": "SPI_PERF_PIX_ALLOC_SCB1_STALL", "value": 251},
4529 {"name": "SPI_PERF_PIX_ALLOC_SCB2_STALL", "value": 252},
4530 {"name": "SPI_PERF_PIX_ALLOC_SCB3_STALL", "value": 253},
4531 {"name": "SPI_PERF_PIX_ALLOC_DB0_STALL", "value": 254},
4532 {"name": "SPI_PERF_PIX_ALLOC_DB1_STALL", "value": 255},
4533 {"name": "SPI_PERF_PIX_ALLOC_DB2_STALL", "value": 256},
4534 {"name": "SPI_PERF_PIX_ALLOC_DB3_STALL", "value": 257},
4535 {"name": "SPI_PERF_PIX_ALLOC_DB4_STALL", "value": 258},
4536 {"name": "SPI_PERF_PIX_ALLOC_DB5_STALL", "value": 259},
4537 {"name": "SPI_PERF_PIX_ALLOC_DB6_STALL", "value": 260},
4538 {"name": "SPI_PERF_PIX_ALLOC_DB7_STALL", "value": 261},
4539 {"name": "SPI_PERF_GS_NGG_SE_SEND_GS_ALLOC", "value": 262},
4540 {"name": "SPI_PERF_SWC_PS_WR", "value": 263},
4541 {"name": "SPI_PERF_SWC_VS_WR", "value": 264},
4542 {"name": "SPI_PERF_SWC_ES_WR", "value": 265},
4543 {"name": "SPI_PERF_SWC_GS_WR", "value": 266},
4544 {"name": "SPI_PERF_SWC_LS_WR", "value": 267},
4545 {"name": "SPI_PERF_SWC_HS_WR", "value": 268},
4546 {"name": "SPI_PERF_SWC_CSG_WR", "value": 269},
4547 {"name": "SPI_PERF_SWC_CSN_WR", "value": 270},
4548 {"name": "SPI_PERF_VWC_PS_WR", "value": 271},
4549 {"name": "SPI_PERF_VWC_VS_WR", "value": 272},
4550 {"name": "SPI_PERF_VWC_ES_WR", "value": 273},
4551 {"name": "SPI_PERF_VWC_GS_WR", "value": 274},
4552 {"name": "SPI_PERF_VWC_LS_WR", "value": 275},
4553 {"name": "SPI_PERF_VWC_HS_WR", "value": 276},
4554 {"name": "SPI_PERF_VWC_CSG_WR", "value": 277},
4555 {"name": "SPI_PERF_VWC_CSN_WR", "value": 278},
4556 {"name": "SPI_PERF_ES_WINDOW_VALID", "value": 279},
4557 {"name": "SPI_PERF_ES_BUSY", "value": 280},
4558 {"name": "SPI_PERF_ES_CRAWLER_STALL", "value": 281},
4559 {"name": "SPI_PERF_ES_FIRST_WAVE", "value": 282},
4560 {"name": "SPI_PERF_ES_LAST_WAVE", "value": 283},
4561 {"name": "SPI_PERF_ES_LSHS_DEALLOC", "value": 284},
4562 {"name": "SPI_PERF_ES_EVENT_WAVE", "value": 285},
4563 {"name": "SPI_PERF_ES_WAVE", "value": 286},
4564 {"name": "SPI_PERF_ES_PERS_UPD_FULL0", "value": 287},
4565 {"name": "SPI_PERF_ES_PERS_UPD_FULL1", "value": 288},
4566 {"name": "SPI_PERF_ES_FIRST_SUBGRP", "value": 289},
4567 {"name": "SPI_PERF_ES_LAST_SUBGRP", "value": 290},
4568 {"name": "SPI_PERF_LS_WINDOW_VALID", "value": 291},
4569 {"name": "SPI_PERF_LS_BUSY", "value": 292},
4570 {"name": "SPI_PERF_LS_CRAWLER_STALL", "value": 293},
4571 {"name": "SPI_PERF_LS_FIRST_WAVE", "value": 294},
4572 {"name": "SPI_PERF_LS_LAST_WAVE", "value": 295},
4573 {"name": "SPI_PERF_LS_OFFCHIP_LDS_STALL", "value": 296},
4574 {"name": "SPI_PERF_LS_EVENT_WAVE", "value": 297},
4575 {"name": "SPI_PERF_LS_WAVE", "value": 298},
4576 {"name": "SPI_PERF_LS_PERS_UPD_FULL0", "value": 299},
4577 {"name": "SPI_PERF_LS_PERS_UPD_FULL1", "value": 300}
4578 ]
4579 },
4580 "SPI_PNT_SPRITE_OVERRIDE": {
4581 "entries": [
4582 {"name": "SPI_PNT_SPRITE_SEL_0", "value": 0},
4583 {"name": "SPI_PNT_SPRITE_SEL_1", "value": 1},
4584 {"name": "SPI_PNT_SPRITE_SEL_S", "value": 2},
4585 {"name": "SPI_PNT_SPRITE_SEL_T", "value": 3},
4586 {"name": "SPI_PNT_SPRITE_SEL_NONE", "value": 4}
4587 ]
4588 },
4589 "SPI_SHADER_EX_FORMAT": {
4590 "entries": [
4591 {"name": "SPI_SHADER_ZERO", "value": 0},
4592 {"name": "SPI_SHADER_32_R", "value": 1},
4593 {"name": "SPI_SHADER_32_GR", "value": 2},
4594 {"name": "SPI_SHADER_32_AR", "value": 3},
4595 {"name": "SPI_SHADER_FP16_ABGR", "value": 4},
4596 {"name": "SPI_SHADER_UNORM16_ABGR", "value": 5},
4597 {"name": "SPI_SHADER_SNORM16_ABGR", "value": 6},
4598 {"name": "SPI_SHADER_UINT16_ABGR", "value": 7},
4599 {"name": "SPI_SHADER_SINT16_ABGR", "value": 8},
4600 {"name": "SPI_SHADER_32_ABGR", "value": 9}
4601 ]
4602 },
4603 "SPI_SHADER_FORMAT": {
4604 "entries": [
4605 {"name": "SPI_SHADER_NONE", "value": 0},
4606 {"name": "SPI_SHADER_1COMP", "value": 1},
4607 {"name": "SPI_SHADER_2COMP", "value": 2},
4608 {"name": "SPI_SHADER_4COMPRESS", "value": 3},
4609 {"name": "SPI_SHADER_4COMP", "value": 4}
4610 ]
4611 },
4612 "SPM_PERFMON_STATE": {
4613 "entries": [
4614 {"name": "STRM_PERFMON_STATE_DISABLE_AND_RESET", "value": 0},
4615 {"name": "STRM_PERFMON_STATE_START_COUNTING", "value": 1},
4616 {"name": "STRM_PERFMON_STATE_STOP_COUNTING", "value": 2},
4617 {"name": "STRM_PERFMON_STATE_RESERVED_3", "value": 3},
4618 {"name": "STRM_PERFMON_STATE_DISABLE_AND_RESET_PHANTOM", "value": 4},
4619 {"name": "STRM_PERFMON_STATE_COUNT_AND_DUMP_PHANTOM", "value": 5}
4620 ]
4621 },
4622 "SQ_PERF_SEL": {
4623 "entries": [
4624 {"name": "SQ_PERF_SEL_NONE", "value": 0},
4625 {"name": "SQ_PERF_SEL_ACCUM_PREV", "value": 1},
4626 {"name": "SQ_PERF_SEL_CYCLES", "value": 2},
4627 {"name": "SQ_PERF_SEL_BUSY_CYCLES", "value": 3},
4628 {"name": "SQ_PERF_SEL_WAVES", "value": 4},
4629 {"name": "SQ_PERF_SEL_WAVES_32", "value": 5},
4630 {"name": "SQ_PERF_SEL_WAVES_64", "value": 6},
4631 {"name": "SQ_PERF_SEL_LEVEL_WAVES", "value": 7},
4632 {"name": "SQ_PERF_SEL_ITEMS", "value": 8},
4633 {"name": "SQ_PERF_SEL_WAVE32_ITEMS", "value": 9},
4634 {"name": "SQ_PERF_SEL_WAVE64_ITEMS", "value": 10},
4635 {"name": "SQ_PERF_SEL_QUADS", "value": 11},
4636 {"name": "SQ_PERF_SEL_EVENTS", "value": 12},
4637 {"name": "SQ_PERF_SEL_WAVES_EQ_64", "value": 13},
4638 {"name": "SQ_PERF_SEL_WAVES_LT_64", "value": 14},
4639 {"name": "SQ_PERF_SEL_WAVES_LT_48", "value": 15},
4640 {"name": "SQ_PERF_SEL_WAVES_LT_32", "value": 16},
4641 {"name": "SQ_PERF_SEL_WAVES_LT_16", "value": 17},
4642 {"name": "SQ_PERF_SEL_WAVES_RESTORED", "value": 18},
4643 {"name": "SQ_PERF_SEL_WAVES_SAVED", "value": 19},
4644 {"name": "SQ_PERF_SEL_MSG", "value": 20},
4645 {"name": "SQ_PERF_SEL_MSG_GSCNT", "value": 21},
4646 {"name": "SQ_PERF_SEL_MSG_INTERRUPT", "value": 22},
4647 {"name": "SQ_PERF_SEL_Reserved_1", "value": 23},
4648 {"name": "SQ_PERF_SEL_Reserved_2", "value": 24},
4649 {"name": "SQ_PERF_SEL_Reserved_3", "value": 25},
4650 {"name": "SQ_PERF_SEL_WAVE_CYCLES", "value": 26},
4651 {"name": "SQ_PERF_SEL_WAVE_READY", "value": 27},
4652 {"name": "SQ_PERF_SEL_WAIT_INST_ANY", "value": 28},
4653 {"name": "SQ_PERF_SEL_WAIT_INST_VALU", "value": 29},
4654 {"name": "SQ_PERF_SEL_WAIT_INST_SCA", "value": 30},
4655 {"name": "SQ_PERF_SEL_WAIT_INST_LDS", "value": 31},
4656 {"name": "SQ_PERF_SEL_WAIT_INST_TEX", "value": 32},
4657 {"name": "SQ_PERF_SEL_WAIT_INST_FLAT", "value": 33},
4658 {"name": "SQ_PERF_SEL_WAIT_INST_VMEM", "value": 34},
4659 {"name": "SQ_PERF_SEL_WAIT_INST_EXP_GDS", "value": 35},
4660 {"name": "SQ_PERF_SEL_WAIT_INST_BR_MSG", "value": 36},
4661 {"name": "SQ_PERF_SEL_WAIT_ANY", "value": 37},
4662 {"name": "SQ_PERF_SEL_WAIT_CNT_ANY", "value": 38},
4663 {"name": "SQ_PERF_SEL_WAIT_CNT_VMVS", "value": 39},
4664 {"name": "SQ_PERF_SEL_WAIT_CNT_LGKM", "value": 40},
4665 {"name": "SQ_PERF_SEL_WAIT_CNT_EXP", "value": 41},
4666 {"name": "SQ_PERF_SEL_WAIT_TTRACE", "value": 42},
4667 {"name": "SQ_PERF_SEL_WAIT_IFETCH", "value": 43},
4668 {"name": "SQ_PERF_SEL_WAIT_BARRIER", "value": 44},
4669 {"name": "SQ_PERF_SEL_WAIT_EXP_ALLOC", "value": 45},
4670 {"name": "SQ_PERF_SEL_WAIT_SLEEP", "value": 46},
4671 {"name": "SQ_PERF_SEL_WAIT_SLEEP_XNACK", "value": 47},
4672 {"name": "SQ_PERF_SEL_WAIT_OTHER", "value": 48},
4673 {"name": "SQ_PERF_SEL_INSTS_ALL", "value": 49},
4674 {"name": "SQ_PERF_SEL_INSTS_BRANCH", "value": 50},
4675 {"name": "SQ_PERF_SEL_INSTS_CBRANCH_NOT_TAKEN", "value": 51},
4676 {"name": "SQ_PERF_SEL_INSTS_CBRANCH_TAKEN", "value": 52},
4677 {"name": "SQ_PERF_SEL_INSTS_CBRANCH_TAKEN_HIT_IS", "value": 53},
4678 {"name": "SQ_PERF_SEL_INSTS_EXP_GDS", "value": 54},
4679 {"name": "SQ_PERF_SEL_INSTS_GDS", "value": 55},
4680 {"name": "SQ_PERF_SEL_INSTS_EXP", "value": 56},
4681 {"name": "SQ_PERF_SEL_INSTS_FLAT", "value": 57},
4682 {"name": "SQ_PERF_SEL_Reserved_4", "value": 58},
4683 {"name": "SQ_PERF_SEL_INSTS_LDS", "value": 59},
4684 {"name": "SQ_PERF_SEL_INSTS_SALU", "value": 60},
4685 {"name": "SQ_PERF_SEL_INSTS_SMEM", "value": 61},
4686 {"name": "SQ_PERF_SEL_INSTS_SMEM_NORM", "value": 62},
4687 {"name": "SQ_PERF_SEL_INSTS_SENDMSG", "value": 63},
4688 {"name": "SQ_PERF_SEL_INSTS_VALU", "value": 64},
4689 {"name": "SQ_PERF_SEL_Reserved_17", "value": 65},
4690 {"name": "SQ_PERF_SEL_INSTS_VALU_TRANS32", "value": 66},
4691 {"name": "SQ_PERF_SEL_INSTS_VALU_NO_COEXEC", "value": 67},
4692 {"name": "SQ_PERF_SEL_INSTS_TEX", "value": 68},
4693 {"name": "SQ_PERF_SEL_INSTS_TEX_LOAD", "value": 69},
4694 {"name": "SQ_PERF_SEL_INSTS_TEX_STORE", "value": 70},
4695 {"name": "SQ_PERF_SEL_INSTS_WAVE32", "value": 71},
4696 {"name": "SQ_PERF_SEL_INSTS_WAVE32_FLAT", "value": 72},
4697 {"name": "SQ_PERF_SEL_Reserved_5", "value": 73},
4698 {"name": "SQ_PERF_SEL_INSTS_WAVE32_LDS", "value": 74},
4699 {"name": "SQ_PERF_SEL_INSTS_WAVE32_VALU", "value": 75},
4700 {"name": "SQ_PERF_SEL_INSTS_WAVE32_EXP_GDS", "value": 76},
4701 {"name": "SQ_PERF_SEL_INSTS_WAVE32_VALU_TRANS32", "value": 77},
4702 {"name": "SQ_PERF_SEL_INSTS_WAVE32_VALU_NO_COEXEC", "value": 78},
4703 {"name": "SQ_PERF_SEL_INSTS_WAVE32_TEX", "value": 79},
4704 {"name": "SQ_PERF_SEL_INSTS_WAVE32_TEX_LOAD", "value": 80},
4705 {"name": "SQ_PERF_SEL_INSTS_WAVE32_TEX_STORE", "value": 81},
4706 {"name": "SQ_PERF_SEL_ITEM_CYCLES_VALU", "value": 82},
4707 {"name": "SQ_PERF_SEL_VALU_READWRITELANE_CYCLES", "value": 83},
4708 {"name": "SQ_PERF_SEL_WAVE32_INSTS", "value": 84},
4709 {"name": "SQ_PERF_SEL_WAVE64_INSTS", "value": 85},
4710 {"name": "SQ_PERF_SEL_Reserved_18", "value": 86},
4711 {"name": "SQ_PERF_SEL_INSTS_VALU_EXEC_SKIPPED", "value": 87},
4712 {"name": "SQ_PERF_SEL_WAVE64_HALF_SKIP", "value": 88},
4713 {"name": "SQ_PERF_SEL_INSTS_TEX_REPLAY", "value": 89},
4714 {"name": "SQ_PERF_SEL_INSTS_SMEM_REPLAY", "value": 90},
4715 {"name": "SQ_PERF_SEL_Reserved_20", "value": 91},
4716 {"name": "SQ_PERF_SEL_INSTS_FLAT_REPLAY", "value": 92},
4717 {"name": "SQ_PERF_SEL_TA_XNACK_ALL", "value": 93},
4718 {"name": "SQ_PERF_SEL_TA_XNACK_FIRST", "value": 94},
4719 {"name": "SQ_PERF_SEL_INSTS_VALU_LDS_DIRECT_RD", "value": 95},
4720 {"name": "SQ_PERF_SEL_INSTS_VALU_VINTRP_OP", "value": 96},
4721 {"name": "SQ_PERF_SEL_INST_LEVEL_EXP", "value": 97},
4722 {"name": "SQ_PERF_SEL_INST_LEVEL_GDS", "value": 98},
4723 {"name": "SQ_PERF_SEL_INST_LEVEL_LDS", "value": 99},
4724 {"name": "SQ_PERF_SEL_INST_LEVEL_SMEM", "value": 100},
4725 {"name": "SQ_PERF_SEL_INST_LEVEL_TEX_LOAD", "value": 101},
4726 {"name": "SQ_PERF_SEL_INST_LEVEL_TEX_STORE", "value": 102},
4727 {"name": "SQ_PERF_SEL_IFETCH_REQS", "value": 103},
4728 {"name": "SQ_PERF_SEL_IFETCH_LEVEL", "value": 104},
4729 {"name": "SQ_PERF_SEL_IFETCH_XNACK", "value": 105},
4730 {"name": "SQ_PERF_SEL_Reserved_6", "value": 106},
4731 {"name": "SQ_PERF_SEL_Reserved_7", "value": 107},
4732 {"name": "SQ_PERF_SEL_LDS_DIRECT_CMD_FIFO_FULL_STALL", "value": 108},
4733 {"name": "SQ_PERF_SEL_VALU_SGATHER_STALL", "value": 109},
4734 {"name": "SQ_PERF_SEL_VALU_FWD_BUFFER_FULL_STALL", "value": 110},
4735 {"name": "SQ_PERF_SEL_VALU_SGPR_RD_FIFO_FULL_STALL", "value": 111},
4736 {"name": "SQ_PERF_SEL_VALU_SGATHER_FULL_STALL", "value": 112},
4737 {"name": "SQ_PERF_SEL_SALU_SGATHER_STALL", "value": 113},
4738 {"name": "SQ_PERF_SEL_SALU_SGPR_RD_FIFO_FULL_STALL", "value": 114},
4739 {"name": "SQ_PERF_SEL_SALU_GATHER_FULL_STALL", "value": 115},
4740 {"name": "SQ_PERF_SEL_SMEM_DCACHE_FIFO_FULL_STALL", "value": 116},
4741 {"name": "SQ_PERF_SEL_INST_CYCLES_VALU", "value": 117},
4742 {"name": "SQ_PERF_SEL_INST_CYCLES_VALU_TRANS32", "value": 118},
4743 {"name": "SQ_PERF_SEL_INST_CYCLES_VALU_NO_COEXEC", "value": 119},
4744 {"name": "SQ_PERF_SEL_INST_CYCLES_VMEM", "value": 120},
4745 {"name": "SQ_PERF_SEL_INST_CYCLES_VMEM_LOAD", "value": 121},
4746 {"name": "SQ_PERF_SEL_INST_CYCLES_VMEM_STORE", "value": 122},
4747 {"name": "SQ_PERF_SEL_INST_CYCLES_LDS", "value": 123},
4748 {"name": "SQ_PERF_SEL_INST_CYCLES_TEX", "value": 124},
4749 {"name": "SQ_PERF_SEL_INST_CYCLES_FLAT", "value": 125},
4750 {"name": "SQ_PERF_SEL_INST_CYCLES_EXP_GDS", "value": 126},
4751 {"name": "SQ_PERF_SEL_INST_CYCLES_EXP", "value": 127},
4752 {"name": "SQ_PERF_SEL_INST_CYCLES_GDS", "value": 128},
4753 {"name": "SQ_PERF_SEL_VALU_STARVE", "value": 129},
4754 {"name": "SQ_PERF_SEL_VMEM_ARB_FIFO_FULL", "value": 130},
4755 {"name": "SQ_PERF_SEL_MSG_FIFO_FULL_STALL", "value": 131},
4756 {"name": "SQ_PERF_SEL_EXP_REQ_FIFO_FULL", "value": 132},
4757 {"name": "SQ_PERF_SEL_Reserved_11", "value": 133},
4758 {"name": "SQ_PERF_SEL_Reserved_12", "value": 134},
4759 {"name": "SQ_PERF_SEL_Reserved_13", "value": 135},
4760 {"name": "SQ_PERF_SEL_Reserved_14", "value": 136},
4761 {"name": "SQ_PERF_SEL_VMEM_BUS_ACTIVE", "value": 137},
4762 {"name": "SQ_PERF_SEL_VMEM_BUS_STALL", "value": 138},
4763 {"name": "SQ_PERF_SEL_VMEM_BUS_STALL_TA_ADDR_FIFO_FULL", "value": 139},
4764 {"name": "SQ_PERF_SEL_VMEM_BUS_STALL_TA_CMD_FIFO_FULL", "value": 140},
4765 {"name": "SQ_PERF_SEL_VMEM_BUS_STALL_LDS_ADDR_FIFO_FULL", "value": 141},
4766 {"name": "SQ_PERF_SEL_VMEM_BUS_STALL_LDS_CMD_FIFO_FULL", "value": 142},
4767 {"name": "SQ_PERF_SEL_VMEM_STARVE_TA_ADDR_EMPTY", "value": 143},
4768 {"name": "SQ_PERF_SEL_VMEM_STARVE_LDS_ADDR_EMPTY", "value": 144},
4769 {"name": "SQ_PERF_SEL_Reserved_15", "value": 145},
4770 {"name": "SQ_PERF_SEL_SALU_PIPE_STALL", "value": 146},
4771 {"name": "SQ_PERF_SEL_SMEM_DCACHE_RETURN_CYCLES", "value": 147},
4772 {"name": "SQ_PERF_SEL_Reserved_21", "value": 148},
4773 {"name": "SQ_PERF_SEL_MSG_BUS_BUSY", "value": 149},
4774 {"name": "SQ_PERF_SEL_EXP_REQ_BUS_STALL", "value": 150},
4775 {"name": "SQ_PERF_SEL_EXP_REQ0_BUS_BUSY", "value": 151},
4776 {"name": "SQ_PERF_SEL_EXP_REQ1_BUS_BUSY", "value": 152},
4777 {"name": "SQ_PERF_SEL_EXP_BUS0_BUSY", "value": 153},
4778 {"name": "SQ_PERF_SEL_EXP_BUS1_BUSY", "value": 154},
4779 {"name": "SQ_PERF_SEL_Reserved_19", "value": 155},
4780 {"name": "SQ_PERF_SEL_INST_CACHE_REQ_STALL", "value": 156},
4781 {"name": "SQ_PERF_SEL_MIXED_SUBSEQUENT_ISSUES_VALU", "value": 157},
4782 {"name": "SQ_PERF_SEL_MIXED_SUBSEQUENT_ISSUES_SALU", "value": 158},
4783 {"name": "SQ_PERF_SEL_MIXED_SUBSEQUENT_ISSUES_VMEM", "value": 159},
4784 {"name": "SQ_PERF_SEL_USER0", "value": 160},
4785 {"name": "SQ_PERF_SEL_USER1", "value": 161},
4786 {"name": "SQ_PERF_SEL_USER2", "value": 162},
4787 {"name": "SQ_PERF_SEL_USER3", "value": 163},
4788 {"name": "SQ_PERF_SEL_USER4", "value": 164},
4789 {"name": "SQ_PERF_SEL_USER5", "value": 165},
4790 {"name": "SQ_PERF_SEL_USER6", "value": 166},
4791 {"name": "SQ_PERF_SEL_USER7", "value": 167},
4792 {"name": "SQ_PERF_SEL_USER8", "value": 168},
4793 {"name": "SQ_PERF_SEL_USER9", "value": 169},
4794 {"name": "SQ_PERF_SEL_USER10", "value": 170},
4795 {"name": "SQ_PERF_SEL_USER11", "value": 171},
4796 {"name": "SQ_PERF_SEL_USER12", "value": 172},
4797 {"name": "SQ_PERF_SEL_USER13", "value": 173},
4798 {"name": "SQ_PERF_SEL_USER14", "value": 174},
4799 {"name": "SQ_PERF_SEL_USER15", "value": 175},
4800 {"name": "SQ_PERF_SEL_USER_LEVEL0", "value": 176},
4801 {"name": "SQ_PERF_SEL_USER_LEVEL1", "value": 177},
4802 {"name": "SQ_PERF_SEL_USER_LEVEL2", "value": 178},
4803 {"name": "SQ_PERF_SEL_USER_LEVEL3", "value": 179},
4804 {"name": "SQ_PERF_SEL_USER_LEVEL4", "value": 180},
4805 {"name": "SQ_PERF_SEL_USER_LEVEL5", "value": 181},
4806 {"name": "SQ_PERF_SEL_USER_LEVEL6", "value": 182},
4807 {"name": "SQ_PERF_SEL_USER_LEVEL7", "value": 183},
4808 {"name": "SQ_PERF_SEL_USER_LEVEL8", "value": 184},
4809 {"name": "SQ_PERF_SEL_USER_LEVEL9", "value": 185},
4810 {"name": "SQ_PERF_SEL_USER_LEVEL10", "value": 186},
4811 {"name": "SQ_PERF_SEL_USER_LEVEL11", "value": 187},
4812 {"name": "SQ_PERF_SEL_USER_LEVEL12", "value": 188},
4813 {"name": "SQ_PERF_SEL_USER_LEVEL13", "value": 189},
4814 {"name": "SQ_PERF_SEL_USER_LEVEL14", "value": 190},
4815 {"name": "SQ_PERF_SEL_USER_LEVEL15", "value": 191},
4816 {"name": "SQ_PERF_SEL_VALU_RETURN_SDST", "value": 192},
4817 {"name": "SQ_PERF_SEL_VMEM_SECOND_TRY_USED", "value": 193},
4818 {"name": "SQ_PERF_SEL_VMEM_SECOND_TRY_STALL", "value": 194},
4819 {"name": "SQ_PERF_SEL_DUMMY_END", "value": 195},
4820 {"name": "SQ_PERF_SEL_DUMMY_LAST", "value": 196},
4821 {"name": "SQG_PERF_SEL_UTCL0_TRANSLATION_MISS", "value": 197},
4822 {"name": "SQG_PERF_SEL_UTCL0_PERMISSION_MISS", "value": 198},
4823 {"name": "SQG_PERF_SEL_UTCL0_TRANSLATION_HIT", "value": 199},
4824 {"name": "SQG_PERF_SEL_UTCL0_REQUEST", "value": 200},
4825 {"name": "SQG_PERF_SEL_UTCL0_STALL_MISSFIFO_FULL", "value": 201},
4826 {"name": "SQG_PERF_SEL_UTCL0_STALL_INFLIGHT_MAX", "value": 202},
4827 {"name": "SQG_PERF_SEL_UTCL0_STALL_LRU_INFLIGHT", "value": 203},
4828 {"name": "SQG_PERF_SEL_UTCL0_LFIFO_FULL", "value": 204},
4829 {"name": "SQG_PERF_SEL_UTCL0_STALL_LFIFO_NOT_RES", "value": 205},
4830 {"name": "SQG_PERF_SEL_UTCL0_STALL_UTCL1_REQ_OUT_OF_CREDITS", "value": 206},
4831 {"name": "SQG_PERF_SEL_UTCL0_HIT_FIFO_FULL", "value": 207},
4832 {"name": "SQG_PERF_SEL_UTCL0_UTCL1_REQ", "value": 208},
4833 {"name": "SQG_PERF_SEL_TLB_SHOOTDOWN", "value": 209},
4834 {"name": "SQG_PERF_SEL_TLB_SHOOTDOWN_CYCLES", "value": 210},
4835 {"name": "SQG_PERF_SEL_TTRACE_REQS", "value": 211},
4836 {"name": "SQG_PERF_SEL_TTRACE_INFLIGHT_REQS", "value": 212},
4837 {"name": "SQG_PERF_SEL_TTRACE_STALL", "value": 213},
4838 {"name": "SQG_PERF_SEL_TTRACE_LOST_PACKETS", "value": 214},
4839 {"name": "SQG_PERF_SEL_DUMMY_LAST", "value": 215},
4840 {"name": "SQC_PERF_SEL_POWER_VALU", "value": 216},
4841 {"name": "SQC_PERF_SEL_POWER_VALU0", "value": 217},
4842 {"name": "SQC_PERF_SEL_POWER_VALU1", "value": 218},
4843 {"name": "SQC_PERF_SEL_POWER_VALU2", "value": 219},
4844 {"name": "SQC_PERF_SEL_POWER_GPR_RD", "value": 220},
4845 {"name": "SQC_PERF_SEL_POWER_GPR_WR", "value": 221},
4846 {"name": "SQC_PERF_SEL_POWER_LDS_BUSY", "value": 222},
4847 {"name": "SQC_PERF_SEL_POWER_ALU_BUSY", "value": 223},
4848 {"name": "SQC_PERF_SEL_POWER_TEX_BUSY", "value": 224},
4849 {"name": "SQC_PERF_SEL_PT_POWER_STALL", "value": 225},
4850 {"name": "SQC_PERF_SEL_LDS_BANK_CONFLICT", "value": 226},
4851 {"name": "SQC_PERF_SEL_LDS_ADDR_CONFLICT", "value": 227},
4852 {"name": "SQC_PERF_SEL_LDS_UNALIGNED_STALL", "value": 228},
4853 {"name": "SQC_PERF_SEL_LDS_MEM_VIOLATIONS", "value": 229},
4854 {"name": "SQC_PERF_SEL_LDS_ATOMIC_RETURN", "value": 230},
4855 {"name": "SQC_PERF_SEL_LDS_IDX_ACTIVE", "value": 231},
4856 {"name": "SQC_PERF_SEL_LDS_ADDR_STALL", "value": 232},
4857 {"name": "SQC_PERF_SEL_LDS_ADDR_ACTIVE", "value": 233},
4858 {"name": "SQC_PERF_SEL_LDS_DIRECT_FIFO_FULL_STALL", "value": 234},
4859 {"name": "SQC_PERF_SEL_LDS_PC_LDS_WRITE_STALL_TD", "value": 235},
4860 {"name": "SQC_PERF_SEL_LDS_SPI_VGPR_WRITE_STALL_TD", "value": 236},
4861 {"name": "SQC_PERF_SEL_LDS_LDS_VGPR_WRITE_STALL", "value": 237},
4862 {"name": "SQC_PERF_SEL_LDS_FP_ADD_CYCLES", "value": 238},
4863 {"name": "SQC_PERF_SEL_ICACHE_BUSY_CYCLES", "value": 239},
4864 {"name": "SQC_PERF_SEL_ICACHE_REQ", "value": 240},
4865 {"name": "SQC_PERF_SEL_ICACHE_HITS", "value": 241},
4866 {"name": "SQC_PERF_SEL_ICACHE_MISSES", "value": 242},
4867 {"name": "SQC_PERF_SEL_ICACHE_MISSES_DUPLICATE", "value": 243},
4868 {"name": "SQC_PERF_SEL_ICACHE_INVAL_INST", "value": 244},
4869 {"name": "SQC_PERF_SEL_ICACHE_INVAL_ASYNC", "value": 245},
4870 {"name": "SQC_PERF_SEL_ICACHE_INFLIGHT_LEVEL", "value": 246},
4871 {"name": "SQC_PERF_SEL_DCACHE_INFLIGHT_LEVEL", "value": 247},
4872 {"name": "SQC_PERF_SEL_TC_INFLIGHT_LEVEL", "value": 248},
4873 {"name": "SQC_PERF_SEL_ICACHE_TC_INFLIGHT_LEVEL", "value": 249},
4874 {"name": "SQC_PERF_SEL_DCACHE_TC_INFLIGHT_LEVEL", "value": 250},
4875 {"name": "SQC_PERF_SEL_ICACHE_INPUT_VALID_READY", "value": 251},
4876 {"name": "SQC_PERF_SEL_ICACHE_INPUT_VALID_READYB", "value": 252},
4877 {"name": "SQC_PERF_SEL_ICACHE_INPUT_VALIDB", "value": 253},
4878 {"name": "SQC_PERF_SEL_DCACHE_INPUT_VALID_READY", "value": 254},
4879 {"name": "SQC_PERF_SEL_DCACHE_INPUT_VALID_READYB", "value": 255},
4880 {"name": "SQC_PERF_SEL_DCACHE_INPUT_VALIDB", "value": 256},
4881 {"name": "SQC_PERF_SEL_TC_REQ", "value": 257},
4882 {"name": "SQC_PERF_SEL_TC_INST_REQ", "value": 258},
4883 {"name": "SQC_PERF_SEL_TC_DATA_READ_REQ", "value": 259},
4884 {"name": "SQC_PERF_SEL_TC_DATA_WRITE_REQ", "value": 260},
4885 {"name": "SQC_PERF_SEL_TC_DATA_ATOMIC_REQ", "value": 261},
4886 {"name": "SQC_PERF_SEL_TC_STALL", "value": 262},
4887 {"name": "SQC_PERF_SEL_TC_STARVE", "value": 263},
4888 {"name": "SQC_PERF_SEL_ICACHE_INPUT_STALL_ARB_NO_GRANT", "value": 264},
4889 {"name": "SQC_PERF_SEL_ICACHE_INPUT_STALL_BANK_READYB", "value": 265},
4890 {"name": "SQC_PERF_SEL_ICACHE_CACHE_STALLED", "value": 266},
4891 {"name": "SQC_PERF_SEL_ICACHE_CACHE_STALL_INFLIGHT_NONZERO", "value": 267},
4892 {"name": "SQC_PERF_SEL_ICACHE_CACHE_STALL_INFLIGHT_MAX", "value": 268},
4893 {"name": "SQC_PERF_SEL_ICACHE_CACHE_STALL_OUTPUT", "value": 269},
4894 {"name": "SQC_PERF_SEL_ICACHE_CACHE_STALL_OUTPUT_MISS_FIFO", "value": 270},
4895 {"name": "SQC_PERF_SEL_ICACHE_CACHE_STALL_OUTPUT_HIT_FIFO", "value": 271},
4896 {"name": "SQC_PERF_SEL_ICACHE_CACHE_STALL_OUTPUT_TC_IF", "value": 272},
4897 {"name": "SQC_PERF_SEL_ICACHE_STALL_OUTXBAR_ARB_NO_GRANT", "value": 273},
4898 {"name": "SQC_PERF_SEL_DCACHE_BUSY_CYCLES", "value": 274},
4899 {"name": "SQC_PERF_SEL_DCACHE_REQ", "value": 275},
4900 {"name": "SQC_PERF_SEL_DCACHE_HITS", "value": 276},
4901 {"name": "SQC_PERF_SEL_DCACHE_MISSES", "value": 277},
4902 {"name": "SQC_PERF_SEL_DCACHE_MISSES_DUPLICATE", "value": 278},
4903 {"name": "SQC_PERF_SEL_DCACHE_INVAL_INST", "value": 279},
4904 {"name": "SQC_PERF_SEL_DCACHE_INVAL_ASYNC", "value": 280},
4905 {"name": "SQC_PERF_SEL_DCACHE_HIT_LRU_READ", "value": 281},
4906 {"name": "SQC_PERF_SEL_DCACHE_WC_LRU_WRITE", "value": 282},
4907 {"name": "SQC_PERF_SEL_DCACHE_WT_EVICT_WRITE", "value": 283},
4908 {"name": "SQC_PERF_SEL_DCACHE_ATOMIC", "value": 284},
4909 {"name": "SQC_PERF_SEL_DCACHE_WB_INST", "value": 285},
4910 {"name": "SQC_PERF_SEL_DCACHE_WB_ASYNC", "value": 286},
4911 {"name": "SQC_PERF_SEL_DCACHE_INPUT_STALL_ARB_NO_GRANT", "value": 287},
4912 {"name": "SQC_PERF_SEL_DCACHE_INPUT_STALL_BANK_READYB", "value": 288},
4913 {"name": "SQC_PERF_SEL_DCACHE_CACHE_STALLED", "value": 289},
4914 {"name": "SQC_PERF_SEL_DCACHE_CACHE_STALL_INFLIGHT_MAX", "value": 290},
4915 {"name": "SQC_PERF_SEL_DCACHE_CACHE_STALL_OUTPUT", "value": 291},
4916 {"name": "SQC_PERF_SEL_DCACHE_CACHE_STALL_EVICT", "value": 292},
4917 {"name": "SQC_PERF_SEL_DCACHE_CACHE_STALL_UNORDERED", "value": 293},
4918 {"name": "SQC_PERF_SEL_DCACHE_CACHE_STALL_ALLOC_UNAVAILABLE", "value": 294},
4919 {"name": "SQC_PERF_SEL_DCACHE_CACHE_STALL_FORCE_EVICT", "value": 295},
4920 {"name": "SQC_PERF_SEL_DCACHE_CACHE_STALL_MULTI_FLUSH", "value": 296},
4921 {"name": "SQC_PERF_SEL_DCACHE_CACHE_STALL_FLUSH_DONE", "value": 297},
4922 {"name": "SQC_PERF_SEL_DCACHE_CACHE_STALL_OUTPUT_MISS_FIFO", "value": 298},
4923 {"name": "SQC_PERF_SEL_DCACHE_CACHE_STALL_OUTPUT_HIT_FIFO", "value": 299},
4924 {"name": "SQC_PERF_SEL_DCACHE_CACHE_STALL_OUTPUT_TC_IF", "value": 300},
4925 {"name": "SQC_PERF_SEL_DCACHE_STALL_OUTXBAR_ARB_NO_GRANT", "value": 301},
4926 {"name": "SQC_PERF_SEL_DCACHE_REQ_READ_1", "value": 302},
4927 {"name": "SQC_PERF_SEL_DCACHE_REQ_READ_2", "value": 303},
4928 {"name": "SQC_PERF_SEL_DCACHE_REQ_READ_4", "value": 304},
4929 {"name": "SQC_PERF_SEL_DCACHE_REQ_READ_8", "value": 305},
4930 {"name": "SQC_PERF_SEL_DCACHE_REQ_READ_16", "value": 306},
4931 {"name": "SQC_PERF_SEL_DCACHE_REQ_TIME", "value": 307},
4932 {"name": "SQC_PERF_SEL_DCACHE_REQ_WRITE_1", "value": 308},
4933 {"name": "SQC_PERF_SEL_DCACHE_REQ_WRITE_2", "value": 309},
4934 {"name": "SQC_PERF_SEL_DCACHE_REQ_WRITE_4", "value": 310},
4935 {"name": "SQC_PERF_SEL_DCACHE_REQ_ATC_PROBE", "value": 311},
4936 {"name": "SQC_PERF_SEL_SQ_DCACHE_REQS", "value": 312},
4937 {"name": "SQC_PERF_SEL_DCACHE_FLAT_REQ", "value": 313},
4938 {"name": "SQC_PERF_SEL_DCACHE_NONFLAT_REQ", "value": 314},
4939 {"name": "SQC_PERF_SEL_ICACHE_UTCL0_TRANSLATION_MISS", "value": 315},
4940 {"name": "SQC_PERF_SEL_ICACHE_UTCL0_PERMISSION_MISS", "value": 316},
4941 {"name": "SQC_PERF_SEL_ICACHE_UTCL0_TRANSLATION_HIT", "value": 317},
4942 {"name": "SQC_PERF_SEL_ICACHE_UTCL0_REQUEST", "value": 318},
4943 {"name": "SQC_PERF_SEL_ICACHE_UTCL0_XNACK", "value": 319},
4944 {"name": "SQC_PERF_SEL_ICACHE_UTCL0_STALL_INFLIGHT_MAX", "value": 320},
4945 {"name": "SQC_PERF_SEL_ICACHE_UTCL0_STALL_LRU_INFLIGHT", "value": 321},
4946 {"name": "SQC_PERF_SEL_ICACHE_UTCL0_LFIFO_FULL", "value": 322},
4947 {"name": "SQC_PERF_SEL_ICACHE_UTCL0_STALL_LFIFO_NOT_RES", "value": 323},
4948 {"name": "SQC_PERF_SEL_ICACHE_UTCL0_STALL_UTCL1_REQ_OUT_OF_CREDITS", "value": 324},
4949 {"name": "SQC_PERF_SEL_ICACHE_UTCL0_UTCL1_INFLIGHT", "value": 325},
4950 {"name": "SQC_PERF_SEL_ICACHE_UTCL0_STALL_MISSFIFO_FULL", "value": 326},
4951 {"name": "SQC_PERF_SEL_DCACHE_UTCL0_TRANSLATION_MISS", "value": 327},
4952 {"name": "SQC_PERF_SEL_DCACHE_UTCL0_PERMISSION_MISS", "value": 328},
4953 {"name": "SQC_PERF_SEL_DCACHE_UTCL0_TRANSLATION_HIT", "value": 329},
4954 {"name": "SQC_PERF_SEL_DCACHE_UTCL0_REQUEST", "value": 330},
4955 {"name": "SQC_PERF_SEL_DCACHE_UTCL0_XNACK", "value": 331},
4956 {"name": "SQC_PERF_SEL_DCACHE_UTCL0_STALL_INFLIGHT_MAX", "value": 332},
4957 {"name": "SQC_PERF_SEL_DCACHE_UTCL0_STALL_LRU_INFLIGHT", "value": 333},
4958 {"name": "SQC_PERF_SEL_DCACHE_UTCL0_LFIFO_FULL", "value": 334},
4959 {"name": "SQC_PERF_SEL_DCACHE_UTCL0_STALL_LFIFO_NOT_RES", "value": 335},
4960 {"name": "SQC_PERF_SEL_DCACHE_UTCL0_STALL_UTCL1_REQ_OUT_OF_CREDITS", "value": 336},
4961 {"name": "SQC_PERF_SEL_DCACHE_UTCL0_UTCL1_INFLIGHT", "value": 337},
4962 {"name": "SQC_PERF_SEL_DCACHE_UTCL0_STALL_MISSFIFO_FULL", "value": 338},
4963 {"name": "SQC_PERF_SEL_DCACHE_UTCL0_STALL_MULTI_MISS", "value": 339},
4964 {"name": "SQC_PERF_SEL_DCACHE_UTCL0_HIT_FIFO_FULL", "value": 340},
4965 {"name": "SQC_PERF_SEL_ICACHE_UTCL0_INFLIGHT_LEVEL", "value": 341},
4966 {"name": "SQC_PERF_SEL_ICACHE_UTCL0_ALL_REQ", "value": 342},
4967 {"name": "SQC_PERF_SEL_ICACHE_UTCL1_INFLIGHT_LEVEL", "value": 343},
4968 {"name": "SQC_PERF_SEL_ICACHE_UTCL1_ALL_REQ", "value": 344},
4969 {"name": "SQC_PERF_SEL_ICACHE_UTCL0_UTCL1_PERM_FAULT", "value": 345},
4970 {"name": "SQC_PERF_SEL_DCACHE_UTCL0_INFLIGHT_LEVEL", "value": 346},
4971 {"name": "SQC_PERF_SEL_DCACHE_UTCL0_ALL_REQ", "value": 347},
4972 {"name": "SQC_PERF_SEL_DCACHE_UTCL1_INFLIGHT_LEVEL", "value": 348},
4973 {"name": "SQC_PERF_SEL_DCACHE_UTCL1_ALL_REQ", "value": 349},
4974 {"name": "SQC_PERF_SEL_DCACHE_UTCL0_UTCL1_PERM_FAULT", "value": 350},
4975 {"name": "SQC_PERF_SEL_ICACHE_GCR", "value": 351},
4976 {"name": "SQC_PERF_SEL_ICACHE_GCR_HITS", "value": 352},
4977 {"name": "SQC_PERF_SEL_DCACHE_GCR", "value": 353},
4978 {"name": "SQC_PERF_SEL_DCACHE_GCR_HITS", "value": 354},
4979 {"name": "SQC_PERF_SEL_ICACHE_GCR_INVALIDATE", "value": 355},
4980 {"name": "SQC_PERF_SEL_DCACHE_GCR_INVALIDATE", "value": 356},
4981 {"name": "SQC_PERF_SEL_DCACHE_GCR_WRITEBACK", "value": 357},
4982 {"name": "SQC_PERF_SEL_DCACHE_SPI_RETURN_STALL", "value": 358},
4983 {"name": "SQC_PERF_SEL_DUMMY_LAST", "value": 359},
4984 {"name": "SP_PERF_SEL_DCACHE_WB_CNT", "value": 360},
4985 {"name": "SP_PERF_SEL_DCACHE_STALL", "value": 361},
4986 {"name": "SP_PERF_SEL_DCACHE_HIT", "value": 362},
4987 {"name": "SP_PERF_SEL_DCACHE_HIT_DIRTY", "value": 363},
4988 {"name": "SP_PERF_SEL_DCACHE_WB_CONF_W_LDS", "value": 364},
4989 {"name": "SP_PERF_SEL_DCACHE_WB_CONF_W_TD", "value": 365},
4990 {"name": "SP_PERF_SEL_DCACHE_WB_CONF_W_SPI", "value": 366},
4991 {"name": "SP_PERF_SEL_DCACHE_WB_CONF_W_EXP_VMEM", "value": 367},
4992 {"name": "SP_PERF_SEL_DCACHE_EVEN_DIRTY_CNT", "value": 368},
4993 {"name": "SP_PERF_SEL_DCACHE_ODD_DIRTY_CNT", "value": 369},
4994 {"name": "SP_PERF_SEL_DCACHE_INVALIDATE_BY_VEC", "value": 370},
4995 {"name": "SP_PERF_SEL_GATHER_SRCA_FWD", "value": 371},
4996 {"name": "SP_PERF_SEL_GATHER_SRCB_FWD", "value": 372},
4997 {"name": "SP_PERF_SEL_GATHER_SRCC_FWD", "value": 373},
4998 {"name": "SP_PERF_SEL_GATHER_SRCA_REDUNDANT", "value": 374},
4999 {"name": "SP_PERF_SEL_GATHER_SRCB_REDUNDANT", "value": 375},
5000 {"name": "SP_PERF_SEL_GATHER_SRCC_REDUNDANT", "value": 376},
5001 {"name": "SP_PERF_SEL_GATHER_SRCA_DIRECT", "value": 377},
5002 {"name": "SP_PERF_SEL_GATHER_SRCB_DIRECT", "value": 378},
5003 {"name": "SP_PERF_SEL_GATHER_SRCC_DIRECT", "value": 379},
5004 {"name": "SP_PERF_SEL_VGPR_VALU_BANK0_RD", "value": 380},
5005 {"name": "SP_PERF_SEL_VGPR_VALU_BANK1_RD", "value": 381},
5006 {"name": "SP_PERF_SEL_VGPR_VALU_BANK2_RD", "value": 382},
5007 {"name": "SP_PERF_SEL_VGPR_VALU_BANK3_RD", "value": 383},
5008 {"name": "SP_PERF_SEL_VGPR_VMEM_BANK_RD", "value": 384},
5009 {"name": "SP_PERF_SEL_VGPR_EXP_BANK_RD", "value": 385},
5010 {"name": "SP_PERF_SEL_VGPR_TDDATA_WR", "value": 386},
5011 {"name": "SP_PERF_SEL_VGPR_LDSDATA_WR", "value": 387},
5012 {"name": "SP_PERF_SEL_PB_STALL", "value": 388},
5013 {"name": "SP_PERF_SEL_PB_SEND", "value": 389},
5014 {"name": "SP_PERF_SEL_LDS_DIRECT_FIFO_FULL", "value": 390},
5015 {"name": "SP_PERF_SEL_LDS_DIRECT_FIFO_SEND", "value": 391},
5016 {"name": "SP_PERF_SEL_SQ_SP_CONST_FIFO_FULL", "value": 392},
5017 {"name": "SP_PERF_SEL_SQ_SP_CONST_FIFO_SEND", "value": 393},
5018 {"name": "SP_PERF_SEL_SGPR_FULL", "value": 394},
5019 {"name": "SP_PERF_SEL_VALU_CONFLICT_WITH_EXP", "value": 395},
5020 {"name": "SP_PERF_SEL_VALU_CONFLICT_WITH_VMEM_LDS", "value": 396},
5021 {"name": "SP_PERF_SEL_VALU_CONFLICT_WITH_VMEM_NON_LDS", "value": 397},
5022 {"name": "SP_PERF_SEL_VALU_STALL_DUE_CONST_NOT_READY", "value": 398},
5023 {"name": "SP_PERF_SEL_VALU_STALL_DUE_VDST_FWD", "value": 399},
5024 {"name": "SP_PERF_SEL_VALU_STALL_DUE_SDST_FWD", "value": 400},
5025 {"name": "SP_PERF_SEL_VALU_STALL_DUE_LDS_DIR_NOT_READY", "value": 401},
5026 {"name": "SP_PERF_SEL_VALU_STALL_DUE_VGPR_NOT_READY", "value": 402},
5027 {"name": "SP_PERF_SEL_VALU_STALL_DUE_DST_STALL", "value": 403},
5028 {"name": "SP_PERF_SEL_VALU_STALL_DUE_DST_CACHE_WRITE_CONF", "value": 404},
5029 {"name": "SP_PERF_SEL_VALU_STALL", "value": 405},
5030 {"name": "SP_PERF_SEL_PERF_MEM_RD_CNT", "value": 406},
5031 {"name": "SP_PERF_SEL_PERF_MEM_WR_CNT", "value": 407},
5032 {"name": "SP_PERF_SEL_PERF_THREAD_REDUNDANT", "value": 408},
5033 {"name": "SP_PERF_SEL_PERF_OP_W_1_PASS", "value": 409},
5034 {"name": "SP_PERF_SEL_PERF_OP_W_2_PASS", "value": 410},
5035 {"name": "SP_PERF_SEL_PERF_OP_W_4_PASS", "value": 411},
5036 {"name": "SP_PERF_SEL_PERF_OP_W_16_PASS", "value": 412},
5037 {"name": "SP_PERF_SEL_PERF_COEXEC", "value": 413},
5038 {"name": "SP_PERF_SEL_PERF_ACTIVE_THREAD", "value": 414},
5039 {"name": "SP_PERF_SEL_PERF_ALL_ACTIVE", "value": 415},
5040 {"name": "SP_PERF_SEL_PERF_ZERO_P_ZERO", "value": 416},
5041 {"name": "SP_PERF_SEL_PERF_TRANS_OP", "value": 417},
5042 {"name": "SP_PERF_SEL_PERF_OP_W_MAD", "value": 418},
5043 {"name": "SP_PERF_SEL_PERF_OP_W_MUL", "value": 419},
5044 {"name": "SP_PERF_SEL_PERF_OP_W_ADD", "value": 420},
5045 {"name": "SP_PERF_SEL_DUMMY_LAST", "value": 421}
5046 ]
5047 },
5048 "SU_PERFCNT_SEL": {
5049 "entries": [
5050 {"name": "PERF_PAPC_PASX_REQ", "value": 0},
5051 {"name": "PERF_PAPC_PASX_DISABLE_PIPE", "value": 1},
5052 {"name": "PERF_PAPC_PASX_FIRST_VECTOR", "value": 2},
5053 {"name": "PERF_PAPC_PASX_SECOND_VECTOR", "value": 3},
5054 {"name": "PERF_PAPC_PASX_FIRST_DEAD", "value": 4},
5055 {"name": "PERF_PAPC_PASX_SECOND_DEAD", "value": 5},
5056 {"name": "PERF_PAPC_PASX_VTX_KILL_DISCARD", "value": 6},
5057 {"name": "PERF_PAPC_PASX_VTX_NAN_DISCARD", "value": 7},
5058 {"name": "PERF_PAPC_PA_INPUT_PRIM", "value": 8},
5059 {"name": "PERF_PAPC_PA_INPUT_NULL_PRIM", "value": 9},
5060 {"name": "PERF_PAPC_PA_INPUT_EVENT_FLAG", "value": 10},
5061 {"name": "PERF_PAPC_PA_INPUT_FIRST_PRIM_SLOT", "value": 11},
5062 {"name": "PERF_PAPC_PA_INPUT_END_OF_PACKET", "value": 12},
5063 {"name": "PERF_PAPC_PA_INPUT_EXTENDED_EVENT", "value": 13},
5064 {"name": "PERF_PAPC_CLPR_CULL_PRIM", "value": 14},
5065 {"name": "PERF_PAPC_CLPR_VVUCP_CULL_PRIM", "value": 15},
5066 {"name": "PERF_PAPC_CLPR_VV_CULL_PRIM", "value": 16},
5067 {"name": "PERF_PAPC_CLPR_UCP_CULL_PRIM", "value": 17},
5068 {"name": "PERF_PAPC_CLPR_VTX_KILL_CULL_PRIM", "value": 18},
5069 {"name": "PERF_PAPC_CLPR_VTX_NAN_CULL_PRIM", "value": 19},
5070 {"name": "PERF_PAPC_CLPR_CULL_TO_NULL_PRIM", "value": 20},
5071 {"name": "PERF_PAPC_CLPR_VVUCP_CLIP_PRIM", "value": 21},
5072 {"name": "PERF_PAPC_CLPR_VV_CLIP_PRIM", "value": 22},
5073 {"name": "PERF_PAPC_CLPR_UCP_CLIP_PRIM", "value": 23},
5074 {"name": "PERF_PAPC_CLPR_POINT_CLIP_CANDIDATE", "value": 24},
5075 {"name": "PERF_PAPC_CLPR_CLIP_PLANE_CNT_1", "value": 25},
5076 {"name": "PERF_PAPC_CLPR_CLIP_PLANE_CNT_2", "value": 26},
5077 {"name": "PERF_PAPC_CLPR_CLIP_PLANE_CNT_3", "value": 27},
5078 {"name": "PERF_PAPC_CLPR_CLIP_PLANE_CNT_4", "value": 28},
5079 {"name": "PERF_PAPC_CLPR_CLIP_PLANE_CNT_5_8", "value": 29},
5080 {"name": "PERF_PAPC_CLPR_CLIP_PLANE_CNT_9_12", "value": 30},
5081 {"name": "PERF_PAPC_CLPR_CLIP_PLANE_NEAR", "value": 31},
5082 {"name": "PERF_PAPC_CLPR_CLIP_PLANE_FAR", "value": 32},
5083 {"name": "PERF_PAPC_CLPR_CLIP_PLANE_LEFT", "value": 33},
5084 {"name": "PERF_PAPC_CLPR_CLIP_PLANE_RIGHT", "value": 34},
5085 {"name": "PERF_PAPC_CLPR_CLIP_PLANE_TOP", "value": 35},
5086 {"name": "PERF_PAPC_CLPR_CLIP_PLANE_BOTTOM", "value": 36},
5087 {"name": "PERF_PAPC_CLPR_GSC_KILL_CULL_PRIM", "value": 37},
5088 {"name": "PERF_PAPC_CLPR_RASTER_KILL_CULL_PRIM", "value": 38},
5089 {"name": "PERF_PAPC_CLSM_NULL_PRIM", "value": 39},
5090 {"name": "PERF_PAPC_CLSM_TOTALLY_VISIBLE_PRIM", "value": 40},
5091 {"name": "PERF_PAPC_CLSM_CULL_TO_NULL_PRIM", "value": 41},
5092 {"name": "PERF_PAPC_CLSM_OUT_PRIM_CNT_1", "value": 42},
5093 {"name": "PERF_PAPC_CLSM_OUT_PRIM_CNT_2", "value": 43},
5094 {"name": "PERF_PAPC_CLSM_OUT_PRIM_CNT_3", "value": 44},
5095 {"name": "PERF_PAPC_CLSM_OUT_PRIM_CNT_4", "value": 45},
5096 {"name": "PERF_PAPC_CLSM_OUT_PRIM_CNT_5_8", "value": 46},
5097 {"name": "PERF_PAPC_CLSM_OUT_PRIM_CNT_9_13", "value": 47},
5098 {"name": "PERF_PAPC_CLIPGA_VTE_KILL_PRIM", "value": 48},
5099 {"name": "PERF_PAPC_SU_INPUT_PRIM", "value": 49},
5100 {"name": "PERF_PAPC_SU_INPUT_CLIP_PRIM", "value": 50},
5101 {"name": "PERF_PAPC_SU_INPUT_NULL_PRIM", "value": 51},
5102 {"name": "PERF_PAPC_SU_INPUT_PRIM_DUAL", "value": 52},
5103 {"name": "PERF_PAPC_SU_INPUT_CLIP_PRIM_DUAL", "value": 53},
5104 {"name": "PERF_PAPC_SU_ZERO_AREA_CULL_PRIM", "value": 54},
5105 {"name": "PERF_PAPC_SU_BACK_FACE_CULL_PRIM", "value": 55},
5106 {"name": "PERF_PAPC_SU_FRONT_FACE_CULL_PRIM", "value": 56},
5107 {"name": "PERF_PAPC_SU_POLYMODE_FACE_CULL", "value": 57},
5108 {"name": "PERF_PAPC_SU_POLYMODE_BACK_CULL", "value": 58},
5109 {"name": "PERF_PAPC_SU_POLYMODE_FRONT_CULL", "value": 59},
5110 {"name": "PERF_PAPC_SU_POLYMODE_INVALID_FILL", "value": 60},
5111 {"name": "PERF_PAPC_SU_OUTPUT_PRIM", "value": 61},
5112 {"name": "PERF_PAPC_SU_OUTPUT_CLIP_PRIM", "value": 62},
5113 {"name": "PERF_PAPC_SU_OUTPUT_NULL_PRIM", "value": 63},
5114 {"name": "PERF_PAPC_SU_OUTPUT_EVENT_FLAG", "value": 64},
5115 {"name": "PERF_PAPC_SU_OUTPUT_FIRST_PRIM_SLOT", "value": 65},
5116 {"name": "PERF_PAPC_SU_OUTPUT_END_OF_PACKET", "value": 66},
5117 {"name": "PERF_PAPC_SU_OUTPUT_POLYMODE_FACE", "value": 67},
5118 {"name": "PERF_PAPC_SU_OUTPUT_POLYMODE_BACK", "value": 68},
5119 {"name": "PERF_PAPC_SU_OUTPUT_POLYMODE_FRONT", "value": 69},
5120 {"name": "PERF_PAPC_SU_OUT_CLIP_POLYMODE_FACE", "value": 70},
5121 {"name": "PERF_PAPC_SU_OUT_CLIP_POLYMODE_BACK", "value": 71},
5122 {"name": "PERF_PAPC_SU_OUT_CLIP_POLYMODE_FRONT", "value": 72},
5123 {"name": "PERF_PAPC_SU_OUTPUT_PRIM_DUAL", "value": 73},
5124 {"name": "PERF_PAPC_SU_OUTPUT_CLIP_PRIM_DUAL", "value": 74},
5125 {"name": "PERF_PAPC_SU_OUTPUT_POLYMODE_DUAL", "value": 75},
5126 {"name": "PERF_PAPC_SU_OUTPUT_CLIP_POLYMODE_DUAL", "value": 76},
5127 {"name": "PERF_PAPC_PASX_REQ_IDLE", "value": 77},
5128 {"name": "PERF_PAPC_PASX_REQ_BUSY", "value": 78},
5129 {"name": "PERF_PAPC_PASX_REQ_STALLED", "value": 79},
5130 {"name": "PERF_PAPC_PASX_REC_IDLE", "value": 80},
5131 {"name": "PERF_PAPC_PASX_REC_BUSY", "value": 81},
5132 {"name": "PERF_PAPC_PASX_REC_STARVED_SX", "value": 82},
5133 {"name": "PERF_PAPC_PASX_REC_STALLED", "value": 83},
5134 {"name": "PERF_PAPC_PASX_REC_STALLED_POS_MEM", "value": 84},
5135 {"name": "PERF_PAPC_PASX_REC_STALLED_CCGSM_IN", "value": 85},
5136 {"name": "PERF_PAPC_CCGSM_IDLE", "value": 86},
5137 {"name": "PERF_PAPC_CCGSM_BUSY", "value": 87},
5138 {"name": "PERF_PAPC_CCGSM_STALLED", "value": 88},
5139 {"name": "PERF_PAPC_CLPRIM_IDLE", "value": 89},
5140 {"name": "PERF_PAPC_CLPRIM_BUSY", "value": 90},
5141 {"name": "PERF_PAPC_CLPRIM_STALLED", "value": 91},
5142 {"name": "PERF_PAPC_CLPRIM_STARVED_CCGSM", "value": 92},
5143 {"name": "PERF_PAPC_CLIPSM_IDLE", "value": 93},
5144 {"name": "PERF_PAPC_CLIPSM_BUSY", "value": 94},
5145 {"name": "PERF_PAPC_CLIPSM_WAIT_CLIP_VERT_ENGH", "value": 95},
5146 {"name": "PERF_PAPC_CLIPSM_WAIT_HIGH_PRI_SEQ", "value": 96},
5147 {"name": "PERF_PAPC_CLIPSM_WAIT_CLIPGA", "value": 97},
5148 {"name": "PERF_PAPC_CLIPSM_WAIT_AVAIL_VTE_CLIP", "value": 98},
5149 {"name": "PERF_PAPC_CLIPSM_WAIT_CLIP_OUTSM", "value": 99},
5150 {"name": "PERF_PAPC_CLIPGA_IDLE", "value": 100},
5151 {"name": "PERF_PAPC_CLIPGA_BUSY", "value": 101},
5152 {"name": "PERF_PAPC_CLIPGA_STARVED_VTE_CLIP", "value": 102},
5153 {"name": "PERF_PAPC_CLIPGA_STALLED", "value": 103},
5154 {"name": "PERF_PAPC_CLIP_IDLE", "value": 104},
5155 {"name": "PERF_PAPC_CLIP_BUSY", "value": 105},
5156 {"name": "PERF_PAPC_SU_IDLE", "value": 106},
5157 {"name": "PERF_PAPC_SU_BUSY", "value": 107},
5158 {"name": "PERF_PAPC_SU_STARVED_CLIP", "value": 108},
5159 {"name": "PERF_PAPC_SU_STALLED_SC", "value": 109},
5160 {"name": "PERF_PAPC_CL_DYN_SCLK_VLD", "value": 110},
5161 {"name": "PERF_PAPC_SU_DYN_SCLK_VLD", "value": 111},
5162 {"name": "PERF_PAPC_PA_REG_SCLK_VLD", "value": 112},
5163 {"name": "PERF_PAPC_SU_MULTI_GPU_PRIM_FILTER_CULL", "value": 113},
5164 {"name": "PERF_PAPC_PASX_SE0_REQ", "value": 114},
5165 {"name": "PERF_PAPC_PASX_SE1_REQ", "value": 115},
5166 {"name": "PERF_PAPC_PASX_SE0_FIRST_VECTOR", "value": 116},
5167 {"name": "PERF_PAPC_PASX_SE0_SECOND_VECTOR", "value": 117},
5168 {"name": "PERF_PAPC_PASX_SE1_FIRST_VECTOR", "value": 118},
5169 {"name": "PERF_PAPC_PASX_SE1_SECOND_VECTOR", "value": 119},
5170 {"name": "PERF_PAPC_SU_SE0_PRIM_FILTER_CULL", "value": 120},
5171 {"name": "PERF_PAPC_SU_SE1_PRIM_FILTER_CULL", "value": 121},
5172 {"name": "PERF_PAPC_SU_SE01_PRIM_FILTER_CULL", "value": 122},
5173 {"name": "PERF_PAPC_SU_SE0_OUTPUT_PRIM", "value": 123},
5174 {"name": "PERF_PAPC_SU_SE1_OUTPUT_PRIM", "value": 124},
5175 {"name": "PERF_PAPC_SU_SE01_OUTPUT_PRIM", "value": 125},
5176 {"name": "PERF_PAPC_SU_SE0_OUTPUT_NULL_PRIM", "value": 126},
5177 {"name": "PERF_PAPC_SU_SE1_OUTPUT_NULL_PRIM", "value": 127},
5178 {"name": "PERF_PAPC_SU_SE01_OUTPUT_NULL_PRIM", "value": 128},
5179 {"name": "PERF_PAPC_SU_SE0_OUTPUT_FIRST_PRIM_SLOT", "value": 129},
5180 {"name": "PERF_PAPC_SU_SE1_OUTPUT_FIRST_PRIM_SLOT", "value": 130},
5181 {"name": "PERF_PAPC_SU_SE0_STALLED_SC", "value": 131},
5182 {"name": "PERF_PAPC_SU_SE1_STALLED_SC", "value": 132},
5183 {"name": "PERF_PAPC_SU_SE01_STALLED_SC", "value": 133},
5184 {"name": "PERF_PAPC_CLSM_CLIPPING_PRIM", "value": 134},
5185 {"name": "PERF_PAPC_SU_CULLED_PRIM", "value": 135},
5186 {"name": "PERF_PAPC_SU_OUTPUT_EOPG", "value": 136},
5187 {"name": "PERF_PAPC_SU_SE2_PRIM_FILTER_CULL", "value": 137},
5188 {"name": "PERF_PAPC_SU_SE3_PRIM_FILTER_CULL", "value": 138},
5189 {"name": "PERF_PAPC_SU_SE2_OUTPUT_PRIM", "value": 139},
5190 {"name": "PERF_PAPC_SU_SE3_OUTPUT_PRIM", "value": 140},
5191 {"name": "PERF_PAPC_SU_SE2_OUTPUT_NULL_PRIM", "value": 141},
5192 {"name": "PERF_PAPC_SU_SE3_OUTPUT_NULL_PRIM", "value": 142},
5193 {"name": "PERF_PAPC_SU_SE0_OUTPUT_END_OF_PACKET", "value": 143},
5194 {"name": "PERF_PAPC_SU_SE1_OUTPUT_END_OF_PACKET", "value": 144},
5195 {"name": "PERF_PAPC_SU_SE2_OUTPUT_END_OF_PACKET", "value": 145},
5196 {"name": "PERF_PAPC_SU_SE3_OUTPUT_END_OF_PACKET", "value": 146},
5197 {"name": "PERF_PAPC_SU_SE0_OUTPUT_EOPG", "value": 147},
5198 {"name": "PERF_PAPC_SU_SE1_OUTPUT_EOPG", "value": 148},
5199 {"name": "PERF_PAPC_SU_SE2_OUTPUT_EOPG", "value": 149},
5200 {"name": "PERF_PAPC_SU_SE3_OUTPUT_EOPG", "value": 150},
5201 {"name": "PERF_PAPC_SU_SE2_STALLED_SC", "value": 151},
5202 {"name": "PERF_PAPC_SU_SE3_STALLED_SC", "value": 152},
5203 {"name": "PERF_SU_SMALL_PRIM_FILTER_CULL_CNT", "value": 153},
5204 {"name": "PERF_SMALL_PRIM_CULL_PRIM_1X1", "value": 154},
5205 {"name": "PERF_SMALL_PRIM_CULL_PRIM_2X1", "value": 155},
5206 {"name": "PERF_SMALL_PRIM_CULL_PRIM_1X2", "value": 156},
5207 {"name": "PERF_SMALL_PRIM_CULL_PRIM_2X2", "value": 157},
5208 {"name": "PERF_SMALL_PRIM_CULL_PRIM_3X1", "value": 158},
5209 {"name": "PERF_SMALL_PRIM_CULL_PRIM_1X3", "value": 159},
5210 {"name": "PERF_SMALL_PRIM_CULL_PRIM_3X2", "value": 160},
5211 {"name": "PERF_SMALL_PRIM_CULL_PRIM_2X3", "value": 161},
5212 {"name": "PERF_SMALL_PRIM_CULL_PRIM_NX1", "value": 162},
5213 {"name": "PERF_SMALL_PRIM_CULL_PRIM_1XN", "value": 163},
5214 {"name": "PERF_SMALL_PRIM_CULL_PRIM_NX2", "value": 164},
5215 {"name": "PERF_SMALL_PRIM_CULL_PRIM_2XN", "value": 165},
5216 {"name": "PERF_SMALL_PRIM_CULL_PRIM_FULL_RES_EVENT", "value": 166},
5217 {"name": "PERF_SMALL_PRIM_CULL_PRIM_HALF_RES_EVENT", "value": 167},
5218 {"name": "PERF_SMALL_PRIM_CULL_PRIM_QUARTER_RES_EVENT", "value": 168},
5219 {"name": "PERF_SC0_QUALIFIED_SEND_BUSY_EVENT", "value": 169},
5220 {"name": "PERF_SC0_QUALIFIED_SEND_NOT_BUSY_EVENT", "value": 170},
5221 {"name": "PERF_SC1_QUALIFIED_SEND_BUSY_EVENT", "value": 171},
5222 {"name": "PERF_SC1_QUALIFIED_SEND_NOT_BUSY_EVENT", "value": 172},
5223 {"name": "PERF_SC2_QUALIFIED_SEND_BUSY_EVENT", "value": 173},
5224 {"name": "PERF_SC2_QUALIFIED_SEND_NOT_BUSY_EVENT", "value": 174},
5225 {"name": "PERF_SC3_QUALIFIED_SEND_BUSY_EVENT", "value": 175},
5226 {"name": "PERF_SC3_QUALIFIED_SEND_NOT_BUSY_EVENT", "value": 176},
5227 {"name": "PERF_PA_VERTEX_FIFO_FULL", "value": 177},
5228 {"name": "PERF_PA_PRIMIC_TO_CLPRIM_FIFO_FULL", "value": 178},
5229 {"name": "PERF_PA_FETCH_TO_PRIMIC_P_FIFO_FULL", "value": 179},
5230 {"name": "PERF_PA_FETCH_TO_SXIF_FIFO_FULL", "value": 180},
5231 {"name": "PERF_PA_PIPE0_SWITCHED_GEN", "value": 181},
5232 {"name": "PERF_PA_PIPE1_SWITCHED_GEN", "value": 182},
5233 {"name": "PERF_ENGG_CSB_MACHINE_IS_STARVED", "value": 183},
5234 {"name": "PERF_ENGG_CSB_MACHINE_STALLED_BY_CSB_MEMORY", "value": 184},
5235 {"name": "PERF_ENGG_CSB_MACHINE_STALLED_BY_SPI", "value": 185},
5236 {"name": "PERF_ENGG_CSB_GE_INPUT_FIFO_FULL", "value": 186},
5237 {"name": "PERF_ENGG_CSB_SPI_INPUT_FIFO_FULL", "value": 187},
5238 {"name": "PERF_ENGG_CSB_OBJECTID_INPUT_FIFO_FULL", "value": 188},
5239 {"name": "PERF_ENGG_CSB_GE_INPUT_FIFO_POP_BIT", "value": 189},
5240 {"name": "PERF_ENGG_CSB_PRIM_COUNT_EQ0", "value": 190},
5241 {"name": "PERF_ENGG_CSB_NULL_SUBGROUP", "value": 191},
5242 {"name": "PERF_ENGG_CSB_GE_SENDING_SUBGROUP", "value": 192},
5243 {"name": "PERF_ENGG_CSB_GE_MEMORY_FULL", "value": 193},
5244 {"name": "PERF_ENGG_CSB_GE_MEMORY_EMPTY", "value": 194},
5245 {"name": "PERF_ENGG_CSB_SPI_MEMORY_FULL", "value": 195},
5246 {"name": "PERF_ENGG_CSB_SPI_MEMORY_EMPTY", "value": 196},
5247 {"name": "PERF_ENGG_CSB_DELAY_BIN00", "value": 197},
5248 {"name": "PERF_ENGG_CSB_DELAY_BIN01", "value": 198},
5249 {"name": "PERF_ENGG_CSB_DELAY_BIN02", "value": 199},
5250 {"name": "PERF_ENGG_CSB_DELAY_BIN03", "value": 200},
5251 {"name": "PERF_ENGG_CSB_DELAY_BIN04", "value": 201},
5252 {"name": "PERF_ENGG_CSB_DELAY_BIN05", "value": 202},
5253 {"name": "PERF_ENGG_CSB_DELAY_BIN06", "value": 203},
5254 {"name": "PERF_ENGG_CSB_DELAY_BIN07", "value": 204},
5255 {"name": "PERF_ENGG_CSB_DELAY_BIN08", "value": 205},
5256 {"name": "PERF_ENGG_CSB_DELAY_BIN09", "value": 206},
5257 {"name": "PERF_ENGG_CSB_DELAY_BIN10", "value": 207},
5258 {"name": "PERF_ENGG_CSB_DELAY_BIN11", "value": 208},
5259 {"name": "PERF_ENGG_CSB_DELAY_BIN12", "value": 209},
5260 {"name": "PERF_ENGG_CSB_DELAY_BIN13", "value": 210},
5261 {"name": "PERF_ENGG_CSB_DELAY_BIN14", "value": 211},
5262 {"name": "PERF_ENGG_CSB_DELAY_BIN15", "value": 212},
5263 {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN00", "value": 213},
5264 {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN01", "value": 214},
5265 {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN02", "value": 215},
5266 {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN03", "value": 216},
5267 {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN04", "value": 217},
5268 {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN05", "value": 218},
5269 {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN06", "value": 219},
5270 {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN07", "value": 220},
5271 {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN08", "value": 221},
5272 {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN09", "value": 222},
5273 {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN10", "value": 223},
5274 {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN11", "value": 224},
5275 {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN12", "value": 225},
5276 {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN13", "value": 226},
5277 {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN14", "value": 227},
5278 {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN15", "value": 228},
5279 {"name": "PERF_ENGG_INDEX_REQ_STARVED", "value": 229},
5280 {"name": "PERF_ENGG_INDEX_REQ_IDLE_AND_STALLED_BY_REQ2RTN_FIFO_FULL", "value": 230},
5281 {"name": "PERF_ENGG_INDEX_REQ_BUSY_AND_STALLED_BY_REQ2RTN_FIFO_FULL", "value": 231},
5282 {"name": "PERF_ENGG_INDEX_REQ_STALLED_BY_SX_CREDITS", "value": 232},
5283 {"name": "PERF_ENGG_INDEX_RET_REQ2RTN_FIFO_FULL", "value": 233},
5284 {"name": "PERF_ENGG_INDEX_RET_REQ2RTN_FIFO_EMPTY", "value": 234},
5285 {"name": "PERF_ENGG_INDEX_RET_SX_RECEIVE_FIFO_FULL", "value": 235},
5286 {"name": "PERF_ENGG_INDEX_RET_SXRX_STARVED_BY_CSB", "value": 236},
5287 {"name": "PERF_ENGG_INDEX_RET_SXRX_STARVED_BY_PRIMS", "value": 237},
5288 {"name": "PERF_ENGG_INDEX_RET_SXRX_STALLED_BY_PRIM_INDICES_CSB_FIFO", "value": 238},
5289 {"name": "PERF_ENGG_INDEX_RET_SXRX_STALLED_BY_PRIM_INDICES_FIFO", "value": 239},
5290 {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_EVENT", "value": 240},
5291 {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_NULL_SUBGROUP", "value": 241},
5292 {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_SUBGROUP_PRIMCOUNT_EQ0", "value": 242},
5293 {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_0_VALID_PRIMS_NOPL", "value": 243},
5294 {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_1_VALID_PRIMS_NOPL", "value": 244},
5295 {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_2_VALID_PRIMS_NOPL", "value": 245},
5296 {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_3_VALID_PRIMS_NOPL", "value": 246},
5297 {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_4_VALID_PRIMS_NOPL", "value": 247},
5298 {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_0_VALID_PRIMS_PL", "value": 248},
5299 {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_1_VALID_PRIMS_PL", "value": 249},
5300 {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_2_VALID_PRIMS_PL", "value": 250},
5301 {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_3_VALID_PRIMS_PL", "value": 251},
5302 {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_4_VALID_PRIMS_PL", "value": 252},
5303 {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_0_NULL_PRIMS", "value": 253},
5304 {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_1_NULL_PRIMS", "value": 254},
5305 {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_2_NULL_PRIMS", "value": 255},
5306 {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_3_NULL_PRIMS", "value": 256},
5307 {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_4_NULL_PRIMS", "value": 257},
5308 {"name": "PERF_ENGG_INDEX_PRIM_IF_STALLED_BY_FULL_FETCH_TO_PRIMIC_P_FIFO", "value": 258},
5309 {"name": "PERF_ENGG_INDEX_PRIM_IF_STALLED_BY_FULL_FETCH_TO_PRIMIC_S_FIFO", "value": 259},
5310 {"name": "PERF_ENGG_INDEX_PRIM_IF_STARVED_BY_NO_CSB", "value": 260},
5311 {"name": "PERF_ENGG_INDEX_PRIM_IF_STARVED_BY_NO_PRIM", "value": 261},
5312 {"name": "PERF_ENGG_INDEX_PRIM_IF_FETCH_TO_PRIMIC_P_FIFO_WRITE", "value": 262},
5313 {"name": "PERF_ENGG_INDEX_PRIM_IF_FETCH_TO_PRIMIC_P_FIFO_NO_WRITE", "value": 263},
5314 {"name": "PERF_ENGG_POS_REQ_STARVED", "value": 264},
5315 {"name": "PERF_ENGG_POS_REQ_STALLED_BY_FULL_CLIPV_FIFO", "value": 265}
5316 ]
5317 },
5318 "SX_BLEND_OPT": {
5319 "entries": [
5320 {"name": "BLEND_OPT_PRESERVE_NONE_IGNORE_ALL", "value": 0},
5321 {"name": "BLEND_OPT_PRESERVE_ALL_IGNORE_NONE", "value": 1},
5322 {"name": "BLEND_OPT_PRESERVE_C1_IGNORE_C0", "value": 2},
5323 {"name": "BLEND_OPT_PRESERVE_C0_IGNORE_C1", "value": 3},
5324 {"name": "BLEND_OPT_PRESERVE_A1_IGNORE_A0", "value": 4},
5325 {"name": "BLEND_OPT_PRESERVE_A0_IGNORE_A1", "value": 5},
5326 {"name": "BLEND_OPT_PRESERVE_NONE_IGNORE_A0", "value": 6},
5327 {"name": "BLEND_OPT_PRESERVE_NONE_IGNORE_NONE", "value": 7}
5328 ]
5329 },
5330 "SX_DOWNCONVERT_FORMAT": {
5331 "entries": [
5332 {"name": "SX_RT_EXPORT_NO_CONVERSION", "value": 0},
5333 {"name": "SX_RT_EXPORT_32_R", "value": 1},
5334 {"name": "SX_RT_EXPORT_32_A", "value": 2},
5335 {"name": "SX_RT_EXPORT_10_11_11", "value": 3},
5336 {"name": "SX_RT_EXPORT_2_10_10_10", "value": 4},
5337 {"name": "SX_RT_EXPORT_8_8_8_8", "value": 5},
5338 {"name": "SX_RT_EXPORT_5_6_5", "value": 6},
5339 {"name": "SX_RT_EXPORT_1_5_5_5", "value": 7},
5340 {"name": "SX_RT_EXPORT_4_4_4_4", "value": 8},
5341 {"name": "SX_RT_EXPORT_16_16_GR", "value": 9},
5342 {"name": "SX_RT_EXPORT_16_16_AR", "value": 10},
5343 {"name": "SX_RT_EXPORT_9_9_9_E5", "value": 11}
5344 ]
5345 },
5346 "SX_OPT_COMB_FCN": {
5347 "entries": [
5348 {"name": "OPT_COMB_NONE", "value": 0},
5349 {"name": "OPT_COMB_ADD", "value": 1},
5350 {"name": "OPT_COMB_SUBTRACT", "value": 2},
5351 {"name": "OPT_COMB_MIN", "value": 3},
5352 {"name": "OPT_COMB_MAX", "value": 4},
5353 {"name": "OPT_COMB_REVSUBTRACT", "value": 5},
5354 {"name": "OPT_COMB_BLEND_DISABLED", "value": 6},
5355 {"name": "OPT_COMB_SAFE_ADD", "value": 7}
5356 ]
5357 },
5358 "SX_PERFCOUNTER_VALS": {
5359 "entries": [
5360 {"name": "SX_PERF_SEL_PA_IDLE_CYCLES", "value": 0},
5361 {"name": "SX_PERF_SEL_PA_REQ", "value": 1},
5362 {"name": "SX_PERF_SEL_PA_POS", "value": 2},
5363 {"name": "SX_PERF_SEL_CLOCK", "value": 3},
5364 {"name": "SX_PERF_SEL_GATE_EN1", "value": 4},
5365 {"name": "SX_PERF_SEL_GATE_EN2", "value": 5},
5366 {"name": "SX_PERF_SEL_GATE_EN3", "value": 6},
5367 {"name": "SX_PERF_SEL_GATE_EN4", "value": 7},
5368 {"name": "SX_PERF_SEL_SH_POS_STARVE", "value": 8},
5369 {"name": "SX_PERF_SEL_SH_COLOR_STARVE", "value": 9},
5370 {"name": "SX_PERF_SEL_SH_POS_STALL", "value": 10},
5371 {"name": "SX_PERF_SEL_SH_COLOR_STALL", "value": 11},
5372 {"name": "SX_PERF_SEL_DB0_PIXELS", "value": 12},
5373 {"name": "SX_PERF_SEL_DB0_HALF_QUADS", "value": 13},
5374 {"name": "SX_PERF_SEL_DB0_PIXEL_STALL", "value": 14},
5375 {"name": "SX_PERF_SEL_DB0_PIXEL_IDLE", "value": 15},
5376 {"name": "SX_PERF_SEL_DB0_PRED_PIXELS", "value": 16},
5377 {"name": "SX_PERF_SEL_DB1_PIXELS", "value": 17},
5378 {"name": "SX_PERF_SEL_DB1_HALF_QUADS", "value": 18},
5379 {"name": "SX_PERF_SEL_DB1_PIXEL_STALL", "value": 19},
5380 {"name": "SX_PERF_SEL_DB1_PIXEL_IDLE", "value": 20},
5381 {"name": "SX_PERF_SEL_DB1_PRED_PIXELS", "value": 21},
5382 {"name": "SX_PERF_SEL_DB2_PIXELS", "value": 22},
5383 {"name": "SX_PERF_SEL_DB2_HALF_QUADS", "value": 23},
5384 {"name": "SX_PERF_SEL_DB2_PIXEL_STALL", "value": 24},
5385 {"name": "SX_PERF_SEL_DB2_PIXEL_IDLE", "value": 25},
5386 {"name": "SX_PERF_SEL_DB2_PRED_PIXELS", "value": 26},
5387 {"name": "SX_PERF_SEL_DB3_PIXELS", "value": 27},
5388 {"name": "SX_PERF_SEL_DB3_HALF_QUADS", "value": 28},
5389 {"name": "SX_PERF_SEL_DB3_PIXEL_STALL", "value": 29},
5390 {"name": "SX_PERF_SEL_DB3_PIXEL_IDLE", "value": 30},
5391 {"name": "SX_PERF_SEL_DB3_PRED_PIXELS", "value": 31},
5392 {"name": "SX_PERF_SEL_COL_BUSY", "value": 32},
5393 {"name": "SX_PERF_SEL_POS_BUSY", "value": 33},
5394 {"name": "SX_PERF_SEL_DB0_A2M_DISCARD_QUADS", "value": 34},
5395 {"name": "SX_PERF_SEL_DB0_MRT0_BLEND_BYPASS", "value": 35},
5396 {"name": "SX_PERF_SEL_DB0_MRT0_DONT_RD_DEST", "value": 36},
5397 {"name": "SX_PERF_SEL_DB0_MRT0_DISCARD_SRC", "value": 37},
5398 {"name": "SX_PERF_SEL_DB0_MRT0_SINGLE_QUADS", "value": 38},
5399 {"name": "SX_PERF_SEL_DB0_MRT0_DOUBLE_QUADS", "value": 39},
5400 {"name": "SX_PERF_SEL_DB0_MRT1_BLEND_BYPASS", "value": 40},
5401 {"name": "SX_PERF_SEL_DB0_MRT1_DONT_RD_DEST", "value": 41},
5402 {"name": "SX_PERF_SEL_DB0_MRT1_DISCARD_SRC", "value": 42},
5403 {"name": "SX_PERF_SEL_DB0_MRT1_SINGLE_QUADS", "value": 43},
5404 {"name": "SX_PERF_SEL_DB0_MRT1_DOUBLE_QUADS", "value": 44},
5405 {"name": "SX_PERF_SEL_DB0_MRT2_BLEND_BYPASS", "value": 45},
5406 {"name": "SX_PERF_SEL_DB0_MRT2_DONT_RD_DEST", "value": 46},
5407 {"name": "SX_PERF_SEL_DB0_MRT2_DISCARD_SRC", "value": 47},
5408 {"name": "SX_PERF_SEL_DB0_MRT2_SINGLE_QUADS", "value": 48},
5409 {"name": "SX_PERF_SEL_DB0_MRT2_DOUBLE_QUADS", "value": 49},
5410 {"name": "SX_PERF_SEL_DB0_MRT3_BLEND_BYPASS", "value": 50},
5411 {"name": "SX_PERF_SEL_DB0_MRT3_DONT_RD_DEST", "value": 51},
5412 {"name": "SX_PERF_SEL_DB0_MRT3_DISCARD_SRC", "value": 52},
5413 {"name": "SX_PERF_SEL_DB0_MRT3_SINGLE_QUADS", "value": 53},
5414 {"name": "SX_PERF_SEL_DB0_MRT3_DOUBLE_QUADS", "value": 54},
5415 {"name": "SX_PERF_SEL_DB0_MRT4_BLEND_BYPASS", "value": 55},
5416 {"name": "SX_PERF_SEL_DB0_MRT4_DONT_RD_DEST", "value": 56},
5417 {"name": "SX_PERF_SEL_DB0_MRT4_DISCARD_SRC", "value": 57},
5418 {"name": "SX_PERF_SEL_DB0_MRT4_SINGLE_QUADS", "value": 58},
5419 {"name": "SX_PERF_SEL_DB0_MRT4_DOUBLE_QUADS", "value": 59},
5420 {"name": "SX_PERF_SEL_DB0_MRT5_BLEND_BYPASS", "value": 60},
5421 {"name": "SX_PERF_SEL_DB0_MRT5_DONT_RD_DEST", "value": 61},
5422 {"name": "SX_PERF_SEL_DB0_MRT5_DISCARD_SRC", "value": 62},
5423 {"name": "SX_PERF_SEL_DB0_MRT5_SINGLE_QUADS", "value": 63},
5424 {"name": "SX_PERF_SEL_DB0_MRT5_DOUBLE_QUADS", "value": 64},
5425 {"name": "SX_PERF_SEL_DB0_MRT6_BLEND_BYPASS", "value": 65},
5426 {"name": "SX_PERF_SEL_DB0_MRT6_DONT_RD_DEST", "value": 66},
5427 {"name": "SX_PERF_SEL_DB0_MRT6_DISCARD_SRC", "value": 67},
5428 {"name": "SX_PERF_SEL_DB0_MRT6_SINGLE_QUADS", "value": 68},
5429 {"name": "SX_PERF_SEL_DB0_MRT6_DOUBLE_QUADS", "value": 69},
5430 {"name": "SX_PERF_SEL_DB0_MRT7_BLEND_BYPASS", "value": 70},
5431 {"name": "SX_PERF_SEL_DB0_MRT7_DONT_RD_DEST", "value": 71},
5432 {"name": "SX_PERF_SEL_DB0_MRT7_DISCARD_SRC", "value": 72},
5433 {"name": "SX_PERF_SEL_DB0_MRT7_SINGLE_QUADS", "value": 73},
5434 {"name": "SX_PERF_SEL_DB0_MRT7_DOUBLE_QUADS", "value": 74},
5435 {"name": "SX_PERF_SEL_DB1_A2M_DISCARD_QUADS", "value": 75},
5436 {"name": "SX_PERF_SEL_DB1_MRT0_BLEND_BYPASS", "value": 76},
5437 {"name": "SX_PERF_SEL_DB1_MRT0_DONT_RD_DEST", "value": 77},
5438 {"name": "SX_PERF_SEL_DB1_MRT0_DISCARD_SRC", "value": 78},
5439 {"name": "SX_PERF_SEL_DB1_MRT0_SINGLE_QUADS", "value": 79},
5440 {"name": "SX_PERF_SEL_DB1_MRT0_DOUBLE_QUADS", "value": 80},
5441 {"name": "SX_PERF_SEL_DB1_MRT1_BLEND_BYPASS", "value": 81},
5442 {"name": "SX_PERF_SEL_DB1_MRT1_DONT_RD_DEST", "value": 82},
5443 {"name": "SX_PERF_SEL_DB1_MRT1_DISCARD_SRC", "value": 83},
5444 {"name": "SX_PERF_SEL_DB1_MRT1_SINGLE_QUADS", "value": 84},
5445 {"name": "SX_PERF_SEL_DB1_MRT1_DOUBLE_QUADS", "value": 85},
5446 {"name": "SX_PERF_SEL_DB1_MRT2_BLEND_BYPASS", "value": 86},
5447 {"name": "SX_PERF_SEL_DB1_MRT2_DONT_RD_DEST", "value": 87},
5448 {"name": "SX_PERF_SEL_DB1_MRT2_DISCARD_SRC", "value": 88},
5449 {"name": "SX_PERF_SEL_DB1_MRT2_SINGLE_QUADS", "value": 89},
5450 {"name": "SX_PERF_SEL_DB1_MRT2_DOUBLE_QUADS", "value": 90},
5451 {"name": "SX_PERF_SEL_DB1_MRT3_BLEND_BYPASS", "value": 91},
5452 {"name": "SX_PERF_SEL_DB1_MRT3_DONT_RD_DEST", "value": 92},
5453 {"name": "SX_PERF_SEL_DB1_MRT3_DISCARD_SRC", "value": 93},
5454 {"name": "SX_PERF_SEL_DB1_MRT3_SINGLE_QUADS", "value": 94},
5455 {"name": "SX_PERF_SEL_DB1_MRT3_DOUBLE_QUADS", "value": 95},
5456 {"name": "SX_PERF_SEL_DB1_MRT4_BLEND_BYPASS", "value": 96},
5457 {"name": "SX_PERF_SEL_DB1_MRT4_DONT_RD_DEST", "value": 97},
5458 {"name": "SX_PERF_SEL_DB1_MRT4_DISCARD_SRC", "value": 98},
5459 {"name": "SX_PERF_SEL_DB1_MRT4_SINGLE_QUADS", "value": 99},
5460 {"name": "SX_PERF_SEL_DB1_MRT4_DOUBLE_QUADS", "value": 100},
5461 {"name": "SX_PERF_SEL_DB1_MRT5_BLEND_BYPASS", "value": 101},
5462 {"name": "SX_PERF_SEL_DB1_MRT5_DONT_RD_DEST", "value": 102},
5463 {"name": "SX_PERF_SEL_DB1_MRT5_DISCARD_SRC", "value": 103},
5464 {"name": "SX_PERF_SEL_DB1_MRT5_SINGLE_QUADS", "value": 104},
5465 {"name": "SX_PERF_SEL_DB1_MRT5_DOUBLE_QUADS", "value": 105},
5466 {"name": "SX_PERF_SEL_DB1_MRT6_BLEND_BYPASS", "value": 106},
5467 {"name": "SX_PERF_SEL_DB1_MRT6_DONT_RD_DEST", "value": 107},
5468 {"name": "SX_PERF_SEL_DB1_MRT6_DISCARD_SRC", "value": 108},
5469 {"name": "SX_PERF_SEL_DB1_MRT6_SINGLE_QUADS", "value": 109},
5470 {"name": "SX_PERF_SEL_DB1_MRT6_DOUBLE_QUADS", "value": 110},
5471 {"name": "SX_PERF_SEL_DB1_MRT7_BLEND_BYPASS", "value": 111},
5472 {"name": "SX_PERF_SEL_DB1_MRT7_DONT_RD_DEST", "value": 112},
5473 {"name": "SX_PERF_SEL_DB1_MRT7_DISCARD_SRC", "value": 113},
5474 {"name": "SX_PERF_SEL_DB1_MRT7_SINGLE_QUADS", "value": 114},
5475 {"name": "SX_PERF_SEL_DB1_MRT7_DOUBLE_QUADS", "value": 115},
5476 {"name": "SX_PERF_SEL_DB2_A2M_DISCARD_QUADS", "value": 116},
5477 {"name": "SX_PERF_SEL_DB2_MRT0_BLEND_BYPASS", "value": 117},
5478 {"name": "SX_PERF_SEL_DB2_MRT0_DONT_RD_DEST", "value": 118},
5479 {"name": "SX_PERF_SEL_DB2_MRT0_DISCARD_SRC", "value": 119},
5480 {"name": "SX_PERF_SEL_DB2_MRT0_SINGLE_QUADS", "value": 120},
5481 {"name": "SX_PERF_SEL_DB2_MRT0_DOUBLE_QUADS", "value": 121},
5482 {"name": "SX_PERF_SEL_DB2_MRT1_BLEND_BYPASS", "value": 122},
5483 {"name": "SX_PERF_SEL_DB2_MRT1_DONT_RD_DEST", "value": 123},
5484 {"name": "SX_PERF_SEL_DB2_MRT1_DISCARD_SRC", "value": 124},
5485 {"name": "SX_PERF_SEL_DB2_MRT1_SINGLE_QUADS", "value": 125},
5486 {"name": "SX_PERF_SEL_DB2_MRT1_DOUBLE_QUADS", "value": 126},
5487 {"name": "SX_PERF_SEL_DB2_MRT2_BLEND_BYPASS", "value": 127},
5488 {"name": "SX_PERF_SEL_DB2_MRT2_DONT_RD_DEST", "value": 128},
5489 {"name": "SX_PERF_SEL_DB2_MRT2_DISCARD_SRC", "value": 129},
5490 {"name": "SX_PERF_SEL_DB2_MRT2_SINGLE_QUADS", "value": 130},
5491 {"name": "SX_PERF_SEL_DB2_MRT2_DOUBLE_QUADS", "value": 131},
5492 {"name": "SX_PERF_SEL_DB2_MRT3_BLEND_BYPASS", "value": 132},
5493 {"name": "SX_PERF_SEL_DB2_MRT3_DONT_RD_DEST", "value": 133},
5494 {"name": "SX_PERF_SEL_DB2_MRT3_DISCARD_SRC", "value": 134},
5495 {"name": "SX_PERF_SEL_DB2_MRT3_SINGLE_QUADS", "value": 135},
5496 {"name": "SX_PERF_SEL_DB2_MRT3_DOUBLE_QUADS", "value": 136},
5497 {"name": "SX_PERF_SEL_DB2_MRT4_BLEND_BYPASS", "value": 137},
5498 {"name": "SX_PERF_SEL_DB2_MRT4_DONT_RD_DEST", "value": 138},
5499 {"name": "SX_PERF_SEL_DB2_MRT4_DISCARD_SRC", "value": 139},
5500 {"name": "SX_PERF_SEL_DB2_MRT4_SINGLE_QUADS", "value": 140},
5501 {"name": "SX_PERF_SEL_DB2_MRT4_DOUBLE_QUADS", "value": 141},
5502 {"name": "SX_PERF_SEL_DB2_MRT5_BLEND_BYPASS", "value": 142},
5503 {"name": "SX_PERF_SEL_DB2_MRT5_DONT_RD_DEST", "value": 143},
5504 {"name": "SX_PERF_SEL_DB2_MRT5_DISCARD_SRC", "value": 144},
5505 {"name": "SX_PERF_SEL_DB2_MRT5_SINGLE_QUADS", "value": 145},
5506 {"name": "SX_PERF_SEL_DB2_MRT5_DOUBLE_QUADS", "value": 146},
5507 {"name": "SX_PERF_SEL_DB2_MRT6_BLEND_BYPASS", "value": 147},
5508 {"name": "SX_PERF_SEL_DB2_MRT6_DONT_RD_DEST", "value": 148},
5509 {"name": "SX_PERF_SEL_DB2_MRT6_DISCARD_SRC", "value": 149},
5510 {"name": "SX_PERF_SEL_DB2_MRT6_SINGLE_QUADS", "value": 150},
5511 {"name": "SX_PERF_SEL_DB2_MRT6_DOUBLE_QUADS", "value": 151},
5512 {"name": "SX_PERF_SEL_DB2_MRT7_BLEND_BYPASS", "value": 152},
5513 {"name": "SX_PERF_SEL_DB2_MRT7_DONT_RD_DEST", "value": 153},
5514 {"name": "SX_PERF_SEL_DB2_MRT7_DISCARD_SRC", "value": 154},
5515 {"name": "SX_PERF_SEL_DB2_MRT7_SINGLE_QUADS", "value": 155},
5516 {"name": "SX_PERF_SEL_DB2_MRT7_DOUBLE_QUADS", "value": 156},
5517 {"name": "SX_PERF_SEL_DB3_A2M_DISCARD_QUADS", "value": 157},
5518 {"name": "SX_PERF_SEL_DB3_MRT0_BLEND_BYPASS", "value": 158},
5519 {"name": "SX_PERF_SEL_DB3_MRT0_DONT_RD_DEST", "value": 159},
5520 {"name": "SX_PERF_SEL_DB3_MRT0_DISCARD_SRC", "value": 160},
5521 {"name": "SX_PERF_SEL_DB3_MRT0_SINGLE_QUADS", "value": 161},
5522 {"name": "SX_PERF_SEL_DB3_MRT0_DOUBLE_QUADS", "value": 162},
5523 {"name": "SX_PERF_SEL_DB3_MRT1_BLEND_BYPASS", "value": 163},
5524 {"name": "SX_PERF_SEL_DB3_MRT1_DONT_RD_DEST", "value": 164},
5525 {"name": "SX_PERF_SEL_DB3_MRT1_DISCARD_SRC", "value": 165},
5526 {"name": "SX_PERF_SEL_DB3_MRT1_SINGLE_QUADS", "value": 166},
5527 {"name": "SX_PERF_SEL_DB3_MRT1_DOUBLE_QUADS", "value": 167},
5528 {"name": "SX_PERF_SEL_DB3_MRT2_BLEND_BYPASS", "value": 168},
5529 {"name": "SX_PERF_SEL_DB3_MRT2_DONT_RD_DEST", "value": 169},
5530 {"name": "SX_PERF_SEL_DB3_MRT2_DISCARD_SRC", "value": 170},
5531 {"name": "SX_PERF_SEL_DB3_MRT2_SINGLE_QUADS", "value": 171},
5532 {"name": "SX_PERF_SEL_DB3_MRT2_DOUBLE_QUADS", "value": 172},
5533 {"name": "SX_PERF_SEL_DB3_MRT3_BLEND_BYPASS", "value": 173},
5534 {"name": "SX_PERF_SEL_DB3_MRT3_DONT_RD_DEST", "value": 174},
5535 {"name": "SX_PERF_SEL_DB3_MRT3_DISCARD_SRC", "value": 175},
5536 {"name": "SX_PERF_SEL_DB3_MRT3_SINGLE_QUADS", "value": 176},
5537 {"name": "SX_PERF_SEL_DB3_MRT3_DOUBLE_QUADS", "value": 177},
5538 {"name": "SX_PERF_SEL_DB3_MRT4_BLEND_BYPASS", "value": 178},
5539 {"name": "SX_PERF_SEL_DB3_MRT4_DONT_RD_DEST", "value": 179},
5540 {"name": "SX_PERF_SEL_DB3_MRT4_DISCARD_SRC", "value": 180},
5541 {"name": "SX_PERF_SEL_DB3_MRT4_SINGLE_QUADS", "value": 181},
5542 {"name": "SX_PERF_SEL_DB3_MRT4_DOUBLE_QUADS", "value": 182},
5543 {"name": "SX_PERF_SEL_DB3_MRT5_BLEND_BYPASS", "value": 183},
5544 {"name": "SX_PERF_SEL_DB3_MRT5_DONT_RD_DEST", "value": 184},
5545 {"name": "SX_PERF_SEL_DB3_MRT5_DISCARD_SRC", "value": 185},
5546 {"name": "SX_PERF_SEL_DB3_MRT5_SINGLE_QUADS", "value": 186},
5547 {"name": "SX_PERF_SEL_DB3_MRT5_DOUBLE_QUADS", "value": 187},
5548 {"name": "SX_PERF_SEL_DB3_MRT6_BLEND_BYPASS", "value": 188},
5549 {"name": "SX_PERF_SEL_DB3_MRT6_DONT_RD_DEST", "value": 189},
5550 {"name": "SX_PERF_SEL_DB3_MRT6_DISCARD_SRC", "value": 190},
5551 {"name": "SX_PERF_SEL_DB3_MRT6_SINGLE_QUADS", "value": 191},
5552 {"name": "SX_PERF_SEL_DB3_MRT6_DOUBLE_QUADS", "value": 192},
5553 {"name": "SX_PERF_SEL_DB3_MRT7_BLEND_BYPASS", "value": 193},
5554 {"name": "SX_PERF_SEL_DB3_MRT7_DONT_RD_DEST", "value": 194},
5555 {"name": "SX_PERF_SEL_DB3_MRT7_DISCARD_SRC", "value": 195},
5556 {"name": "SX_PERF_SEL_DB3_MRT7_SINGLE_QUADS", "value": 196},
5557 {"name": "SX_PERF_SEL_DB3_MRT7_DOUBLE_QUADS", "value": 197},
5558 {"name": "SX_PERF_SEL_PA_REQ_LATENCY", "value": 198},
5559 {"name": "SX_PERF_SEL_POS_SCBD_STALL", "value": 199},
5560 {"name": "SX_PERF_SEL_COL_SCBD_STALL", "value": 200},
5561 {"name": "SX_PERF_SEL_CLOCK_DROP_STALL", "value": 201},
5562 {"name": "SX_PERF_SEL_GATE_EN5", "value": 202},
5563 {"name": "SX_PERF_SEL_GATE_EN6", "value": 203},
5564 {"name": "SX_PERF_SEL_DB0_SIZE", "value": 204},
5565 {"name": "SX_PERF_SEL_DB1_SIZE", "value": 205},
5566 {"name": "SX_PERF_SEL_DB2_SIZE", "value": 206},
5567 {"name": "SX_PERF_SEL_DB3_SIZE", "value": 207},
5568 {"name": "SX_PERF_SEL_SPLITMODE", "value": 208},
5569 {"name": "SX_PERF_SEL_COL_SCBD0_STALL", "value": 209},
5570 {"name": "SX_PERF_SEL_COL_SCBD1_STALL", "value": 210},
5571 {"name": "SX_PERF_SEL_IDX_STALL_CYCLES", "value": 211},
5572 {"name": "SX_PERF_SEL_IDX_IDLE_CYCLES", "value": 212},
5573 {"name": "SX_PERF_SEL_IDX_REQ", "value": 213},
5574 {"name": "SX_PERF_SEL_IDX_RET", "value": 214},
5575 {"name": "SX_PERF_SEL_IDX_REQ_LATENCY", "value": 215},
5576 {"name": "SX_PERF_SEL_IDX_SCBD_STALL", "value": 216},
5577 {"name": "SX_PERF_SEL_GATE_EN7", "value": 217},
5578 {"name": "SX_PERF_SEL_GATE_EN8", "value": 218},
5579 {"name": "SX_PERF_SEL_SH_IDX_STARVE", "value": 219},
5580 {"name": "SX_PERF_SEL_IDX_BUSY", "value": 220}
5581 ]
5582 },
5583 "ScMap": {
5584 "entries": [
5585 {"name": "RASTER_CONFIG_SC_MAP_0", "value": 0},
5586 {"name": "RASTER_CONFIG_SC_MAP_1", "value": 1},
5587 {"name": "RASTER_CONFIG_SC_MAP_2", "value": 2},
5588 {"name": "RASTER_CONFIG_SC_MAP_3", "value": 3}
5589 ]
5590 },
5591 "ScUncertaintyRegionMode": {
5592 "entries": [
5593 {"name": "SC_HALF_LSB", "value": 0},
5594 {"name": "SC_LSB_ONE_SIDED", "value": 1},
5595 {"name": "SC_LSB_TWO_SIDED", "value": 2}
5596 ]
5597 },
5598 "ScUncertaintyRegionMult": {
5599 "entries": [
5600 {"name": "SC_UR_1X", "value": 0},
5601 {"name": "SC_UR_2X", "value": 1},
5602 {"name": "SC_UR_4X", "value": 2},
5603 {"name": "SC_UR_8X", "value": 3}
5604 ]
5605 },
5606 "ScXsel": {
5607 "entries": [
5608 {"name": "RASTER_CONFIG_SC_XSEL_8_WIDE_TILE", "value": 0},
5609 {"name": "RASTER_CONFIG_SC_XSEL_16_WIDE_TILE", "value": 1},
5610 {"name": "RASTER_CONFIG_SC_XSEL_32_WIDE_TILE", "value": 2},
5611 {"name": "RASTER_CONFIG_SC_XSEL_64_WIDE_TILE", "value": 3}
5612 ]
5613 },
5614 "ScYsel": {
5615 "entries": [
5616 {"name": "RASTER_CONFIG_SC_YSEL_8_WIDE_TILE", "value": 0},
5617 {"name": "RASTER_CONFIG_SC_YSEL_16_WIDE_TILE", "value": 1},
5618 {"name": "RASTER_CONFIG_SC_YSEL_32_WIDE_TILE", "value": 2},
5619 {"name": "RASTER_CONFIG_SC_YSEL_64_WIDE_TILE", "value": 3}
5620 ]
5621 },
5622 "SeMap": {
5623 "entries": [
5624 {"name": "RASTER_CONFIG_SE_MAP_0", "value": 0},
5625 {"name": "RASTER_CONFIG_SE_MAP_1", "value": 1},
5626 {"name": "RASTER_CONFIG_SE_MAP_2", "value": 2},
5627 {"name": "RASTER_CONFIG_SE_MAP_3", "value": 3}
5628 ]
5629 },
5630 "SePairMap": {
5631 "entries": [
5632 {"name": "RASTER_CONFIG_SE_PAIR_MAP_0", "value": 0},
5633 {"name": "RASTER_CONFIG_SE_PAIR_MAP_1", "value": 1},
5634 {"name": "RASTER_CONFIG_SE_PAIR_MAP_2", "value": 2},
5635 {"name": "RASTER_CONFIG_SE_PAIR_MAP_3", "value": 3}
5636 ]
5637 },
5638 "SePairXsel": {
5639 "entries": [
5640 {"name": "RASTER_CONFIG_SE_PAIR_XSEL_8_WIDE_TILE", "value": 0},
5641 {"name": "RASTER_CONFIG_SE_PAIR_XSEL_16_WIDE_TILE", "value": 1},
5642 {"name": "RASTER_CONFIG_SE_PAIR_XSEL_32_WIDE_TILE", "value": 2},
5643 {"name": "RASTER_CONFIG_SE_PAIR_XSEL_64_WIDE_TILE", "value": 3}
5644 ]
5645 },
5646 "SePairYsel": {
5647 "entries": [
5648 {"name": "RASTER_CONFIG_SE_PAIR_YSEL_8_WIDE_TILE", "value": 0},
5649 {"name": "RASTER_CONFIG_SE_PAIR_YSEL_16_WIDE_TILE", "value": 1},
5650 {"name": "RASTER_CONFIG_SE_PAIR_YSEL_32_WIDE_TILE", "value": 2},
5651 {"name": "RASTER_CONFIG_SE_PAIR_YSEL_64_WIDE_TILE", "value": 3}
5652 ]
5653 },
5654 "SeXsel": {
5655 "entries": [
5656 {"name": "RASTER_CONFIG_SE_XSEL_8_WIDE_TILE", "value": 0},
5657 {"name": "RASTER_CONFIG_SE_XSEL_16_WIDE_TILE", "value": 1},
5658 {"name": "RASTER_CONFIG_SE_XSEL_32_WIDE_TILE", "value": 2},
5659 {"name": "RASTER_CONFIG_SE_XSEL_64_WIDE_TILE", "value": 3}
5660 ]
5661 },
5662 "SeYsel": {
5663 "entries": [
5664 {"name": "RASTER_CONFIG_SE_YSEL_8_WIDE_TILE", "value": 0},
5665 {"name": "RASTER_CONFIG_SE_YSEL_16_WIDE_TILE", "value": 1},
5666 {"name": "RASTER_CONFIG_SE_YSEL_32_WIDE_TILE", "value": 2},
5667 {"name": "RASTER_CONFIG_SE_YSEL_64_WIDE_TILE", "value": 3}
5668 ]
5669 },
5670 "StencilOp": {
5671 "entries": [
5672 {"name": "STENCIL_KEEP", "value": 0},
5673 {"name": "STENCIL_ZERO", "value": 1},
5674 {"name": "STENCIL_ONES", "value": 2},
5675 {"name": "STENCIL_REPLACE_TEST", "value": 3},
5676 {"name": "STENCIL_REPLACE_OP", "value": 4},
5677 {"name": "STENCIL_ADD_CLAMP", "value": 5},
5678 {"name": "STENCIL_SUB_CLAMP", "value": 6},
5679 {"name": "STENCIL_INVERT", "value": 7},
5680 {"name": "STENCIL_ADD_WRAP", "value": 8},
5681 {"name": "STENCIL_SUB_WRAP", "value": 9},
5682 {"name": "STENCIL_AND", "value": 10},
5683 {"name": "STENCIL_OR", "value": 11},
5684 {"name": "STENCIL_XOR", "value": 12},
5685 {"name": "STENCIL_NAND", "value": 13},
5686 {"name": "STENCIL_NOR", "value": 14},
5687 {"name": "STENCIL_XNOR", "value": 15}
5688 ]
5689 },
5690 "TA_PERFCOUNT_SEL": {
5691 "entries": [
5692 {"name": "TA_PERF_SEL_NULL", "value": 0},
5693 {"name": "TA_PERF_SEL_RESERVED_1", "value": 1},
5694 {"name": "TA_PERF_SEL_RESERVED_2", "value": 2},
5695 {"name": "TA_PERF_SEL_RESERVED_3", "value": 3},
5696 {"name": "TA_PERF_SEL_RESERVED_4", "value": 4},
5697 {"name": "TA_PERF_SEL_RESERVED_5", "value": 5},
5698 {"name": "TA_PERF_SEL_RESERVED_6", "value": 6},
5699 {"name": "TA_PERF_SEL_gradient_busy", "value": 7},
5700 {"name": "TA_PERF_SEL_gradient_fifo_busy", "value": 8},
5701 {"name": "TA_PERF_SEL_lod_busy", "value": 9},
5702 {"name": "TA_PERF_SEL_lod_fifo_busy", "value": 10},
5703 {"name": "TA_PERF_SEL_addresser_busy", "value": 11},
5704 {"name": "TA_PERF_SEL_addresser_fifo_busy", "value": 12},
5705 {"name": "TA_PERF_SEL_aligner_busy", "value": 13},
5706 {"name": "TA_PERF_SEL_write_path_busy", "value": 14},
5707 {"name": "TA_PERF_SEL_ta_busy", "value": 15},
5708 {"name": "TA_PERF_SEL_RESERVED_16", "value": 16},
5709 {"name": "TA_PERF_SEL_RESERVED_17", "value": 17},
5710 {"name": "TA_PERF_SEL_RESERVED_18", "value": 18},
5711 {"name": "TA_PERF_SEL_RESERVED_19", "value": 19},
5712 {"name": "TA_PERF_SEL_RESERVED_20", "value": 20},
5713 {"name": "TA_PERF_SEL_RESERVED_21", "value": 21},
5714 {"name": "TA_PERF_SEL_RESERVED_22", "value": 22},
5715 {"name": "TA_PERF_SEL_RESERVED_23", "value": 23},
5716 {"name": "TA_PERF_SEL_RESERVED_24", "value": 24},
5717 {"name": "TA_PERF_SEL_RESERVED_25", "value": 25},
5718 {"name": "TA_PERF_SEL_RESERVED_26", "value": 26},
5719 {"name": "TA_PERF_SEL_RESERVED_27", "value": 27},
5720 {"name": "TA_PERF_SEL_RESERVED_28", "value": 28},
5721 {"name": "TA_PERF_SEL_RESERVED_29", "value": 29},
5722 {"name": "TA_PERF_SEL_RESERVED_30", "value": 30},
5723 {"name": "TA_PERF_SEL_RESERVED_31", "value": 31},
5724 {"name": "TA_PERF_SEL_total_wavefronts", "value": 32},
5725 {"name": "TA_PERF_SEL_gradient_cycles", "value": 33},
5726 {"name": "TA_PERF_SEL_walker_cycles", "value": 34},
5727 {"name": "TA_PERF_SEL_aligner_cycles", "value": 35},
5728 {"name": "TA_PERF_SEL_image_wavefronts", "value": 36},
5729 {"name": "TA_PERF_SEL_image_read_wavefronts", "value": 37},
5730 {"name": "TA_PERF_SEL_image_write_wavefronts", "value": 38},
5731 {"name": "TA_PERF_SEL_image_atomic_wavefronts", "value": 39},
5732 {"name": "TA_PERF_SEL_image_sampler_total_cycles", "value": 40},
5733 {"name": "TA_PERF_SEL_image_nosampler_total_cycles", "value": 41},
5734 {"name": "TA_PERF_SEL_flat_total_cycles", "value": 42},
5735 {"name": "TA_PERF_SEL_RESERVED_43", "value": 43},
5736 {"name": "TA_PERF_SEL_buffer_wavefronts", "value": 44},
5737 {"name": "TA_PERF_SEL_buffer_read_wavefronts", "value": 45},
5738 {"name": "TA_PERF_SEL_buffer_write_wavefronts", "value": 46},
5739 {"name": "TA_PERF_SEL_buffer_atomic_wavefronts", "value": 47},
5740 {"name": "TA_PERF_SEL_RESERVED_48", "value": 48},
5741 {"name": "TA_PERF_SEL_buffer_total_cycles", "value": 49},
5742 {"name": "TA_PERF_SEL_RESERVED_50", "value": 50},
5743 {"name": "TA_PERF_SEL_RESERVED_51", "value": 51},
5744 {"name": "TA_PERF_SEL_RESERVED_52", "value": 52},
5745 {"name": "TA_PERF_SEL_RESERVED_53", "value": 53},
5746 {"name": "TA_PERF_SEL_addr_stalled_by_tc_cycles", "value": 54},
5747 {"name": "TA_PERF_SEL_addr_stalled_by_td_cycles", "value": 55},
5748 {"name": "TA_PERF_SEL_RESERVED_56", "value": 56},
5749 {"name": "TA_PERF_SEL_addresser_stalled_by_aligner_only_cycles", "value": 57},
5750 {"name": "TA_PERF_SEL_addresser_stalled_cycles", "value": 58},
5751 {"name": "TA_PERF_SEL_aniso_stalled_by_addresser_only_cycles", "value": 59},
5752 {"name": "TA_PERF_SEL_aniso_stalled_cycles", "value": 60},
5753 {"name": "TA_PERF_SEL_deriv_stalled_by_aniso_only_cycles", "value": 61},
5754 {"name": "TA_PERF_SEL_deriv_stalled_cycles", "value": 62},
5755 {"name": "TA_PERF_SEL_aniso_gt1_cycle_quads", "value": 63},
5756 {"name": "TA_PERF_SEL_color_1_cycle_pixels", "value": 64},
5757 {"name": "TA_PERF_SEL_color_2_cycle_pixels", "value": 65},
5758 {"name": "TA_PERF_SEL_color_3_cycle_pixels", "value": 66},
5759 {"name": "TA_PERF_SEL_RESERVED_67", "value": 67},
5760 {"name": "TA_PERF_SEL_mip_1_cycle_pixels", "value": 68},
5761 {"name": "TA_PERF_SEL_mip_2_cycle_pixels", "value": 69},
5762 {"name": "TA_PERF_SEL_vol_1_cycle_pixels", "value": 70},
5763 {"name": "TA_PERF_SEL_vol_2_cycle_pixels", "value": 71},
5764 {"name": "TA_PERF_SEL_bilin_point_1_cycle_pixels", "value": 72},
5765 {"name": "TA_PERF_SEL_mipmap_lod_0_samples", "value": 73},
5766 {"name": "TA_PERF_SEL_mipmap_lod_1_samples", "value": 74},
5767 {"name": "TA_PERF_SEL_mipmap_lod_2_samples", "value": 75},
5768 {"name": "TA_PERF_SEL_mipmap_lod_3_samples", "value": 76},
5769 {"name": "TA_PERF_SEL_mipmap_lod_4_samples", "value": 77},
5770 {"name": "TA_PERF_SEL_mipmap_lod_5_samples", "value": 78},
5771 {"name": "TA_PERF_SEL_mipmap_lod_6_samples", "value": 79},
5772 {"name": "TA_PERF_SEL_mipmap_lod_7_samples", "value": 80},
5773 {"name": "TA_PERF_SEL_mipmap_lod_8_samples", "value": 81},
5774 {"name": "TA_PERF_SEL_mipmap_lod_9_samples", "value": 82},
5775 {"name": "TA_PERF_SEL_mipmap_lod_10_samples", "value": 83},
5776 {"name": "TA_PERF_SEL_mipmap_lod_11_samples", "value": 84},
5777 {"name": "TA_PERF_SEL_mipmap_lod_12_samples", "value": 85},
5778 {"name": "TA_PERF_SEL_mipmap_lod_13_samples", "value": 86},
5779 {"name": "TA_PERF_SEL_mipmap_lod_14_samples", "value": 87},
5780 {"name": "TA_PERF_SEL_mipmap_invalid_samples", "value": 88},
5781 {"name": "TA_PERF_SEL_aniso_1_cycle_quads", "value": 89},
5782 {"name": "TA_PERF_SEL_aniso_2_cycle_quads", "value": 90},
5783 {"name": "TA_PERF_SEL_aniso_4_cycle_quads", "value": 91},
5784 {"name": "TA_PERF_SEL_aniso_6_cycle_quads", "value": 92},
5785 {"name": "TA_PERF_SEL_aniso_8_cycle_quads", "value": 93},
5786 {"name": "TA_PERF_SEL_aniso_10_cycle_quads", "value": 94},
5787 {"name": "TA_PERF_SEL_aniso_12_cycle_quads", "value": 95},
5788 {"name": "TA_PERF_SEL_aniso_14_cycle_quads", "value": 96},
5789 {"name": "TA_PERF_SEL_aniso_16_cycle_quads", "value": 97},
5790 {"name": "TA_PERF_SEL_write_path_input_cycles", "value": 98},
5791 {"name": "TA_PERF_SEL_write_path_output_cycles", "value": 99},
5792 {"name": "TA_PERF_SEL_flat_wavefronts", "value": 100},
5793 {"name": "TA_PERF_SEL_flat_read_wavefronts", "value": 101},
5794 {"name": "TA_PERF_SEL_flat_write_wavefronts", "value": 102},
5795 {"name": "TA_PERF_SEL_flat_atomic_wavefronts", "value": 103},
5796 {"name": "TA_PERF_SEL_RESERVED_104", "value": 104},
5797 {"name": "TA_PERF_SEL_reg_sclk_vld", "value": 105},
5798 {"name": "TA_PERF_SEL_local_cg_dyn_sclk_grp0_en", "value": 106},
5799 {"name": "TA_PERF_SEL_local_cg_dyn_sclk_grp1_en", "value": 107},
5800 {"name": "TA_PERF_SEL_local_cg_dyn_sclk_grp1_mems_en", "value": 108},
5801 {"name": "TA_PERF_SEL_local_cg_dyn_sclk_grp4_en", "value": 109},
5802 {"name": "TA_PERF_SEL_local_cg_dyn_sclk_grp5_en", "value": 110},
5803 {"name": "TA_PERF_SEL_xnack", "value": 111},
5804 {"name": "TA_PERF_SEL_RESERVED_112", "value": 112},
5805 {"name": "TA_PERF_SEL_RESERVED_113", "value": 113},
5806 {"name": "TA_PERF_SEL_RESERVED_114", "value": 114},
5807 {"name": "TA_PERF_SEL_first_xnack", "value": 115},
5808 {"name": "TA_PERF_SEL_RESERVED_116", "value": 116},
5809 {"name": "TA_PERF_SEL_RESERVED_117", "value": 117},
5810 {"name": "TA_PERF_SEL_RESERVED_118", "value": 118},
5811 {"name": "TA_PERF_SEL_RESERVED_119", "value": 119},
5812 {"name": "TA_PERF_SEL_RESERVED_120", "value": 120},
5813 {"name": "TA_PERF_SEL_RESERVED_121", "value": 121},
5814 {"name": "TA_PERF_SEL_RESERVED_122", "value": 122},
5815 {"name": "TA_PERF_SEL_RESERVED_123", "value": 123},
5816 {"name": "TA_PERF_SEL_RESERVED_124", "value": 124},
5817 {"name": "TA_PERF_SEL_RESERVED_125", "value": 125},
5818 {"name": "TA_PERF_SEL_RESERVED_126", "value": 126},
5819 {"name": "TA_PERF_SEL_RESERVED_127", "value": 127},
5820 {"name": "TA_PERF_SEL_in_busy", "value": 128},
5821 {"name": "TA_PERF_SEL_in_fifos_busy", "value": 129},
5822 {"name": "TA_PERF_SEL_in_cfifo_busy", "value": 130},
5823 {"name": "TA_PERF_SEL_in_qfifo_busy", "value": 131},
5824 {"name": "TA_PERF_SEL_in_wfifo_busy", "value": 132},
5825 {"name": "TA_PERF_SEL_in_rfifo_busy", "value": 133},
5826 {"name": "TA_PERF_SEL_bf_busy", "value": 134},
5827 {"name": "TA_PERF_SEL_ns_busy", "value": 135},
5828 {"name": "TA_PERF_SEL_smp_busy_ns_idle", "value": 136},
5829 {"name": "TA_PERF_SEL_smp_idle_ns_busy", "value": 137},
5830 {"name": "TA_PERF_SEL_RESERVED_138", "value": 138},
5831 {"name": "TA_PERF_SEL_RESERVED_139", "value": 139},
5832 {"name": "TA_PERF_SEL_RESERVED_140", "value": 140},
5833 {"name": "TA_PERF_SEL_RESERVED_141", "value": 141},
5834 {"name": "TA_PERF_SEL_RESERVED_142", "value": 142},
5835 {"name": "TA_PERF_SEL_RESERVED_143", "value": 143},
5836 {"name": "TA_PERF_SEL_vmemcmd_cycles", "value": 144},
5837 {"name": "TA_PERF_SEL_vmemreq_cycles", "value": 145},
5838 {"name": "TA_PERF_SEL_in_waiting_on_req_cycles", "value": 146},
5839 {"name": "TA_PERF_SEL_in_waiting_on_cmd_cycles", "value": 147},
5840 {"name": "TA_PERF_SEL_in_waiting_on_any_cycles", "value": 148},
5841 {"name": "TA_PERF_SEL_RESERVED_149", "value": 149},
5842 {"name": "TA_PERF_SEL_in_addr_cycles", "value": 150},
5843 {"name": "TA_PERF_SEL_in_data_cycles", "value": 151},
5844 {"name": "TA_PERF_SEL_addr_stalled_by_xnack_cycles", "value": 152},
5845 {"name": "TA_PERF_SEL_RESERVED_153", "value": 153},
5846 {"name": "TA_PERF_SEL_RESERVED_154", "value": 154},
5847 {"name": "TA_PERF_SEL_RESERVED_155", "value": 155},
5848 {"name": "TA_PERF_SEL_RESERVED_156", "value": 156},
5849 {"name": "TA_PERF_SEL_RESERVED_157", "value": 157},
5850 {"name": "TA_PERF_SEL_RESERVED_158", "value": 158},
5851 {"name": "TA_PERF_SEL_RESERVED_159", "value": 159},
5852 {"name": "TA_PERF_SEL_point_sampled_quads", "value": 160},
5853 {"name": "TA_PERF_SEL_RESERVED_161", "value": 161},
5854 {"name": "TA_PERF_SEL_RESERVED_162", "value": 162},
5855 {"name": "TA_PERF_SEL_RESERVED_163", "value": 163},
5856 {"name": "TA_PERF_SEL_RESERVED_164", "value": 164},
5857 {"name": "TA_PERF_SEL_RESERVED_165", "value": 165},
5858 {"name": "TA_PERF_SEL_RESERVED_166", "value": 166},
5859 {"name": "TA_PERF_SEL_RESERVED_167", "value": 167},
5860 {"name": "TA_PERF_SEL_RESERVED_168", "value": 168},
5861 {"name": "TA_PERF_SEL_RESERVED_169", "value": 169},
5862 {"name": "TA_PERF_SEL_RESERVED_170", "value": 170},
5863 {"name": "TA_PERF_SEL_RESERVED_171", "value": 171},
5864 {"name": "TA_PERF_SEL_RESERVED_172", "value": 172},
5865 {"name": "TA_PERF_SEL_RESERVED_173", "value": 173},
5866 {"name": "TA_PERF_SEL_RESERVED_174", "value": 174},
5867 {"name": "TA_PERF_SEL_RESERVED_175", "value": 175},
5868 {"name": "TA_PERF_SEL_RESERVED_176", "value": 176},
5869 {"name": "TA_PERF_SEL_RESERVED_177", "value": 177},
5870 {"name": "TA_PERF_SEL_RESERVED_178", "value": 178},
5871 {"name": "TA_PERF_SEL_RESERVED_179", "value": 179},
5872 {"name": "TA_PERF_SEL_RESERVED_180", "value": 180},
5873 {"name": "TA_PERF_SEL_RESERVED_181", "value": 181},
5874 {"name": "TA_PERF_SEL_RESERVED_182", "value": 182},
5875 {"name": "TA_PERF_SEL_RESERVED_183", "value": 183},
5876 {"name": "TA_PERF_SEL_RESERVED_184", "value": 184},
5877 {"name": "TA_PERF_SEL_RESERVED_185", "value": 185},
5878 {"name": "TA_PERF_SEL_RESERVED_186", "value": 186},
5879 {"name": "TA_PERF_SEL_RESERVED_187", "value": 187},
5880 {"name": "TA_PERF_SEL_RESERVED_188", "value": 188},
5881 {"name": "TA_PERF_SEL_RESERVED_189", "value": 189},
5882 {"name": "TA_PERF_SEL_RESERVED_190", "value": 190},
5883 {"name": "TA_PERF_SEL_RESERVED_191", "value": 191},
5884 {"name": "TA_PERF_SEL_RESERVED_192", "value": 192},
5885 {"name": "TA_PERF_SEL_RESERVED_193", "value": 193},
5886 {"name": "TA_PERF_SEL_RESERVED_194", "value": 194},
5887 {"name": "TA_PERF_SEL_RESERVED_195", "value": 195},
5888 {"name": "TA_PERF_SEL_RESERVED_196", "value": 196},
5889 {"name": "TA_PERF_SEL_RESERVED_197", "value": 197},
5890 {"name": "TA_PERF_SEL_RESERVED_198", "value": 198},
5891 {"name": "TA_PERF_SEL_RESERVED_199", "value": 199},
5892 {"name": "TA_PERF_SEL_RESERVED_200", "value": 200},
5893 {"name": "TA_PERF_SEL_RESERVED_201", "value": 201},
5894 {"name": "TA_PERF_SEL_RESERVED_202", "value": 202},
5895 {"name": "TA_PERF_SEL_RESERVED_203", "value": 203},
5896 {"name": "TA_PERF_SEL_RESERVED_204", "value": 204},
5897 {"name": "TA_PERF_SEL_RESERVED_205", "value": 205},
5898 {"name": "TA_PERF_SEL_RESERVED_206", "value": 206},
5899 {"name": "TA_PERF_SEL_RESERVED_207", "value": 207},
5900 {"name": "TA_PERF_SEL_RESERVED_208", "value": 208},
5901 {"name": "TA_PERF_SEL_RESERVED_209", "value": 209},
5902 {"name": "TA_PERF_SEL_RESERVED_210", "value": 210},
5903 {"name": "TA_PERF_SEL_RESERVED_211", "value": 211},
5904 {"name": "TA_PERF_SEL_RESERVED_212", "value": 212},
5905 {"name": "TA_PERF_SEL_RESERVED_213", "value": 213},
5906 {"name": "TA_PERF_SEL_RESERVED_214", "value": 214},
5907 {"name": "TA_PERF_SEL_RESERVED_215", "value": 215},
5908 {"name": "TA_PERF_SEL_RESERVED_216", "value": 216},
5909 {"name": "TA_PERF_SEL_RESERVED_217", "value": 217},
5910 {"name": "TA_PERF_SEL_RESERVED_218", "value": 218},
5911 {"name": "TA_PERF_SEL_RESERVED_219", "value": 219},
5912 {"name": "TA_PERF_SEL_RESERVED_220", "value": 220},
5913 {"name": "TA_PERF_SEL_RESERVED_221", "value": 221},
5914 {"name": "TA_PERF_SEL_RESERVED_222", "value": 222},
5915 {"name": "TA_PERF_SEL_RESERVED_223", "value": 223},
5916 {"name": "TA_PERF_SEL_sample_path_cycles", "value": 224},
5917 {"name": "TA_PERF_SEL_nosample_path_cycles", "value": 225}
5918 ]
5919 },
5920 "TCP_PERFCOUNT_SELECT": {
5921 "entries": [
5922 {"name": "TCP_PERF_SEL_GATE_EN1", "value": 0},
5923 {"name": "TCP_PERF_SEL_GATE_EN2", "value": 1},
5924 {"name": "TCP_PERF_SEL_TA_REQ", "value": 2},
5925 {"name": "TCP_PERF_SEL_TA_REQ_STATE_READ", "value": 3},
5926 {"name": "TCP_PERF_SEL_TA_REQ_READ", "value": 4},
5927 {"name": "TCP_PERF_SEL_TA_REQ_WRITE", "value": 5},
5928 {"name": "TCP_PERF_SEL_TA_REQ_ATOMIC_WITH_RET", "value": 6},
5929 {"name": "TCP_PERF_SEL_TA_REQ_ATOMIC_WITHOUT_RET", "value": 7},
5930 {"name": "TCP_PERF_SEL_TA_REQ_GL0_INV", "value": 8},
5931 {"name": "TCP_PERF_SEL_REQ", "value": 9},
5932 {"name": "TCP_PERF_SEL_REQ_READ", "value": 10},
5933 {"name": "TCP_PERF_SEL_REQ_READ_HIT_EVICT", "value": 11},
5934 {"name": "TCP_PERF_SEL_REQ_READ_HIT_LRU", "value": 12},
5935 {"name": "TCP_PERF_SEL_REQ_READ_MISS_EVICT", "value": 13},
5936 {"name": "TCP_PERF_SEL_REQ_WRITE", "value": 14},
5937 {"name": "TCP_PERF_SEL_REQ_WRITE_MISS_EVICT", "value": 15},
5938 {"name": "TCP_PERF_SEL_REQ_WRITE_MISS_LRU", "value": 16},
5939 {"name": "TCP_PERF_SEL_REQ_NON_READ", "value": 17},
5940 {"name": "TCP_PERF_SEL_REQ_MISS", "value": 18},
5941 {"name": "TCP_PERF_SEL_REQ_TAGBANK0", "value": 19},
5942 {"name": "TCP_PERF_SEL_REQ_TAGBANK1", "value": 20},
5943 {"name": "TCP_PERF_SEL_REQ_TAGBANK2", "value": 21},
5944 {"name": "TCP_PERF_SEL_REQ_TAGBANK3", "value": 22},
5945 {"name": "TCP_PERF_SEL_REQ_MISS_TAGBANK0", "value": 23},
5946 {"name": "TCP_PERF_SEL_REQ_MISS_TAGBANK1", "value": 24},
5947 {"name": "TCP_PERF_SEL_REQ_MISS_TAGBANK2", "value": 25},
5948 {"name": "TCP_PERF_SEL_REQ_MISS_TAGBANK3", "value": 26},
5949 {"name": "TCP_PERF_SEL_GL1_REQ_READ", "value": 27},
5950 {"name": "TCP_PERF_SEL_GL1_REQ_READ_128B", "value": 28},
5951 {"name": "TCP_PERF_SEL_GL1_REQ_READ_64B", "value": 29},
5952 {"name": "TCP_PERF_SEL_GL1_REQ_WRITE", "value": 30},
5953 {"name": "TCP_PERF_SEL_GL1_REQ_ATOMIC_WITH_RET", "value": 31},
5954 {"name": "TCP_PERF_SEL_GL1_REQ_ATOMIC_WITHOUT_RET", "value": 32},
5955 {"name": "TCP_PERF_SEL_GL1_READ_LATENCY", "value": 33},
5956 {"name": "TCP_PERF_SEL_GL1_WRITE_LATENCY", "value": 34},
5957 {"name": "TCP_PERF_SEL_TCP_LATENCY", "value": 35},
5958 {"name": "TCP_PERF_SEL_TCP_TA_REQ_STALL", "value": 36},
5959 {"name": "TCP_PERF_SEL_TA_TCP_REQ_STARVE", "value": 37},
5960 {"name": "TCP_PERF_SEL_TA_TCP_XNACK_STALL", "value": 38},
5961 {"name": "TCP_PERF_SEL_DATA_FIFO_STALL", "value": 39},
5962 {"name": "TCP_PERF_SEL_LOD_STALL", "value": 40},
5963 {"name": "TCP_PERF_SEL_POWER_STALL", "value": 41},
5964 {"name": "TCP_PERF_SEL_ALLOC_STALL", "value": 42},
5965 {"name": "TCP_PERF_SEL_UNORDERED_MTYPE_STALL", "value": 43},
5966 {"name": "TCP_PERF_SEL_READ_TAGCONFLICT_STALL", "value": 44},
5967 {"name": "TCP_PERF_SEL_WRITE_TAGCONFLICT_STALL", "value": 45},
5968 {"name": "TCP_PERF_SEL_ATOMIC_TAGCONFLICT_STALL", "value": 46},
5969 {"name": "TCP_PERF_SEL_LFIFO_STALL", "value": 47},
5970 {"name": "TCP_PERF_SEL_MEM_REQ_FIFO_STALL", "value": 48},
5971 {"name": "TCP_PERF_SEL_GL1_TCP_STALL", "value": 49},
5972 {"name": "TCP_PERF_SEL_TCP_GL1_STARVE", "value": 50},
5973 {"name": "TCP_PERF_SEL_GL1_TCP_RDRET_STALL", "value": 51},
5974 {"name": "TCP_PERF_SEL_GL1_GRANT_READ_STALL", "value": 52},
5975 {"name": "TCP_PERF_SEL_GL1_PENDING_STALL", "value": 53},
5976 {"name": "TCP_PERF_SEL_OFIFO_INCOMPLETE_STALL", "value": 54},
5977 {"name": "TCP_PERF_SEL_OFIFO_AGE_ORDER_STALL", "value": 55},
5978 {"name": "TCP_PERF_SEL_TD_DATA_CYCLE_STALL", "value": 56},
5979 {"name": "TCP_PERF_SEL_COMP_TEX_LOAD_STALL", "value": 57},
5980 {"name": "TCP_PERF_SEL_READ_DATACONFLICT_STALL", "value": 58},
5981 {"name": "TCP_PERF_SEL_WRITE_DATACONFLICT_STALL", "value": 59},
5982 {"name": "TCP_PERF_SEL_TD_TCP_STALL", "value": 60},
5983 {"name": "TCP_PERF_SEL_UTCL0_REQUEST", "value": 61},
5984 {"name": "TCP_PERF_SEL_UTCL0_TRANSLATION_MISS", "value": 62},
5985 {"name": "TCP_PERF_SEL_UTCL0_TRANSLATION_HIT", "value": 63},
5986 {"name": "TCP_PERF_SEL_UTCL0_PERMISSION_MISS", "value": 64},
5987 {"name": "TCP_PERF_SEL_UTCL0_SERIALIZATION_STALL", "value": 65},
5988 {"name": "TCP_PERF_SEL_UTCL0_STALL_INFLIGHT_MAX", "value": 66},
5989 {"name": "TCP_PERF_SEL_UTCL0_STALL_LRU_INFLIGHT", "value": 67},
5990 {"name": "TCP_PERF_SEL_UTCL0_STALL_MULTI_MISS", "value": 68},
5991 {"name": "TCP_PERF_SEL_UTCL0_STALL_LFIFO_FULL", "value": 69},
5992 {"name": "TCP_PERF_SEL_UTCL0_STALL_MISSFIFO_FULL", "value": 70},
5993 {"name": "TCP_PERF_SEL_UTCL0_STALL_LFIFO_NOT_RES", "value": 71},
5994 {"name": "TCP_PERF_SEL_UTCL0_STALL_UTCL1_REQ_OUT_OF_CREDITS", "value": 72},
5995 {"name": "TCP_PERF_SEL_CLIENT_UTCL0_INFLIGHT", "value": 73},
5996 {"name": "TCP_PERF_SEL_UTCL0_UTCL1_INFLIGHT", "value": 74},
5997 {"name": "TCP_PERF_SEL_UTCL0_UTCL1_PERM_FAULT", "value": 75}
5998 ]
5999 },
6000 "TD_PERFCOUNT_SEL": {
6001 "entries": [
6002 {"name": "TD_PERF_SEL_none", "value": 0},
6003 {"name": "TD_PERF_SEL_td_busy", "value": 1},
6004 {"name": "TD_PERF_SEL_input_busy", "value": 2},
6005 {"name": "TD_PERF_SEL_sampler_lerp_busy", "value": 3},
6006 {"name": "TD_PERF_SEL_sampler_out_busy", "value": 4},
6007 {"name": "TD_PERF_SEL_nofilter_busy", "value": 5},
6008 {"name": "TD_PERF_SEL_sampler_sclk_on_nofilter_sclk_off", "value": 6},
6009 {"name": "TD_PERF_SEL_nofilter_sclk_on_sampler_sclk_off", "value": 7},
6010 {"name": "TD_PERF_SEL_core_state_ram_max_cnt", "value": 8},
6011 {"name": "TD_PERF_SEL_core_state_rams_read", "value": 9},
6012 {"name": "TD_PERF_SEL_weight_data_rams_read", "value": 10},
6013 {"name": "TD_PERF_SEL_reference_data_rams_read", "value": 11},
6014 {"name": "TD_PERF_SEL_tc_td_ram_fifo_full", "value": 12},
6015 {"name": "TD_PERF_SEL_tc_td_ram_fifo_max_cnt", "value": 13},
6016 {"name": "TD_PERF_SEL_tc_td_data_fifo_full", "value": 14},
6017 {"name": "TD_PERF_SEL_input_state_fifo_full", "value": 15},
6018 {"name": "TD_PERF_SEL_ta_data_stall", "value": 16},
6019 {"name": "TD_PERF_SEL_tc_data_stall", "value": 17},
6020 {"name": "TD_PERF_SEL_tc_ram_stall", "value": 18},
6021 {"name": "TD_PERF_SEL_lds_stall", "value": 19},
6022 {"name": "TD_PERF_SEL_sampler_pkr_full", "value": 20},
6023 {"name": "TD_PERF_SEL_nofilter_pkr_full", "value": 21},
6024 {"name": "TD_PERF_SEL_gather4_wavefront", "value": 22},
6025 {"name": "TD_PERF_SEL_gather4h_wavefront", "value": 23},
6026 {"name": "TD_PERF_SEL_gather4h_packed_wavefront", "value": 24},
6027 {"name": "TD_PERF_SEL_gather8h_packed_wavefront", "value": 25},
6028 {"name": "TD_PERF_SEL_sample_c_wavefront", "value": 26},
6029 {"name": "TD_PERF_SEL_load_wavefront", "value": 27},
6030 {"name": "TD_PERF_SEL_ldfptr_wavefront", "value": 28},
6031 {"name": "TD_PERF_SEL_RESERVED_29", "value": 29},
6032 {"name": "TD_PERF_SEL_write_ack_wavefront", "value": 30},
6033 {"name": "TD_PERF_SEL_d16_en_wavefront", "value": 31},
6034 {"name": "TD_PERF_SEL_bypassLerp_wavefront", "value": 32},
6035 {"name": "TD_PERF_SEL_min_max_filter_wavefront", "value": 33},
6036 {"name": "TD_PERF_SEL_one_comp_wavefront", "value": 34},
6037 {"name": "TD_PERF_SEL_two_comp_wavefront", "value": 35},
6038 {"name": "TD_PERF_SEL_three_comp_wavefront", "value": 36},
6039 {"name": "TD_PERF_SEL_four_comp_wavefront", "value": 37},
6040 {"name": "TD_PERF_SEL_user_defined_border", "value": 38},
6041 {"name": "TD_PERF_SEL_white_border", "value": 39},
6042 {"name": "TD_PERF_SEL_opaque_black_border", "value": 40},
6043 {"name": "TD_PERF_SEL_lod_warn_from_ta", "value": 41},
6044 {"name": "TD_PERF_SEL_wavefront_dest_is_lds", "value": 42},
6045 {"name": "TD_PERF_SEL_td_cycling_of_nofilter_instr", "value": 43},
6046 {"name": "TD_PERF_SEL_tc_cycling_of_nofilter_instr", "value": 44},
6047 {"name": "TD_PERF_SEL_out_of_order_instr", "value": 45},
6048 {"name": "TD_PERF_SEL_total_num_instr", "value": 46},
6049 {"name": "TD_PERF_SEL_mixmode_instruction", "value": 47},
6050 {"name": "TD_PERF_SEL_mixmode_resource", "value": 48},
6051 {"name": "TD_PERF_SEL_status_packet", "value": 49},
6052 {"name": "TD_PERF_SEL_address_cmd_poison", "value": 50},
6053 {"name": "TD_PERF_SEL_data_poison", "value": 51},
6054 {"name": "TD_PERF_SEL_done_scoreboard_max_stored_cnt", "value": 52},
6055 {"name": "TD_PERF_SEL_done_scoreboard_max_waiting_cnt", "value": 53},
6056 {"name": "TD_PERF_SEL_done_scoreboard_not_empty", "value": 54},
6057 {"name": "TD_PERF_SEL_done_scoreboard_is_full", "value": 55},
6058 {"name": "TD_PERF_SEL_done_scoreboard_bp_due_to_ooo", "value": 56},
6059 {"name": "TD_PERF_SEL_done_scoreboard_bp_due_to_lds", "value": 57},
6060 {"name": "TD_PERF_SEL_nofilter_formatters_turned_on", "value": 58},
6061 {"name": "TD_PERF_SEL_nofilter_popcount_dmask_gt_num_comp_of_fmt", "value": 59},
6062 {"name": "TD_PERF_SEL_nofilter_popcount_dmask_lt_num_comp_of_fmt", "value": 60}
6063 ]
6064 },
6065 "UTCL1PerfSel": {
6066 "entries": [
6067 {"name": "UTCL1_PERF_SEL_NONE", "value": 0},
6068 {"name": "UTCL1_PERF_SEL_REQS", "value": 1},
6069 {"name": "UTCL1_PERF_SEL_HITS", "value": 2},
6070 {"name": "UTCL1_PERF_SEL_MISSES", "value": 3},
6071 {"name": "UTCL1_PERF_SEL_BYPASS_REQS", "value": 4},
6072 {"name": "UTCL1_PERF_SEL_HIT_INV_FILTER_REQS", "value": 5},
6073 {"name": "UTCL1_PERF_SEL_NUM_SMALLK_PAGES", "value": 6},
6074 {"name": "UTCL1_PERF_SEL_NUM_BIGK_PAGES", "value": 7},
6075 {"name": "UTCL1_PERF_SEL_TOTAL_UTCL2_REQS", "value": 8},
6076 {"name": "UTCL1_PERF_SEL_OUTSTANDING_UTCL2_REQS_ACCUM", "value": 9},
6077 {"name": "UTCL1_PERF_SEL_STALL_ON_UTCL2_CREDITS", "value": 10},
6078 {"name": "UTCL1_PERF_SEL_STALL_MH_OFIFO_FULL", "value": 11},
6079 {"name": "UTCL1_PERF_SEL_STALL_MH_CAM_FULL", "value": 12},
6080 {"name": "UTCL1_PERF_SEL_NONRANGE_INV_REQS", "value": 13},
6081 {"name": "UTCL1_PERF_SEL_RANGE_INV_REQS", "value": 14}
6082 ]
6083 },
6084 "VGT_DETECT_ONE": {
6085 "entries": [
6086 {"name": "ENABLE_TF1_OPT", "value": 0},
6087 {"name": "DISABLE_TF1_OPT", "value": 1}
6088 ]
6089 },
6090 "VGT_DETECT_ZERO": {
6091 "entries": [
6092 {"name": "ENABLE_TF0_OPT", "value": 0},
6093 {"name": "DISABLE_TF0_OPT", "value": 1}
6094 ]
6095 },
6096 "VGT_DIST_MODE": {
6097 "entries": [
6098 {"name": "NO_DIST", "value": 0},
6099 {"name": "PATCHES", "value": 1},
6100 {"name": "DONUTS", "value": 2},
6101 {"name": "TRAPEZOIDS", "value": 3}
6102 ]
6103 },
6104 "VGT_DI_INDEX_SIZE": {
6105 "entries": [
6106 {"name": "DI_INDEX_SIZE_16_BIT", "value": 0},
6107 {"name": "DI_INDEX_SIZE_32_BIT", "value": 1},
6108 {"name": "DI_INDEX_SIZE_8_BIT", "value": 2}
6109 ]
6110 },
6111 "VGT_DI_MAJOR_MODE_SELECT": {
6112 "entries": [
6113 {"name": "DI_MAJOR_MODE_0", "value": 0},
6114 {"name": "DI_MAJOR_MODE_1", "value": 1}
6115 ]
6116 },
6117 "VGT_DI_PRIM_TYPE": {
6118 "entries": [
6119 {"name": "DI_PT_NONE", "value": 0},
6120 {"name": "DI_PT_POINTLIST", "value": 1},
6121 {"name": "DI_PT_LINELIST", "value": 2},
6122 {"name": "DI_PT_LINESTRIP", "value": 3},
6123 {"name": "DI_PT_TRILIST", "value": 4},
6124 {"name": "DI_PT_TRIFAN", "value": 5},
6125 {"name": "DI_PT_TRISTRIP", "value": 6},
6126 {"name": "DI_PT_2D_RECTANGLE", "value": 7},
6127 {"name": "DI_PT_UNUSED_1", "value": 8},
6128 {"name": "DI_PT_PATCH", "value": 9},
6129 {"name": "DI_PT_LINELIST_ADJ", "value": 10},
6130 {"name": "DI_PT_LINESTRIP_ADJ", "value": 11},
6131 {"name": "DI_PT_TRILIST_ADJ", "value": 12},
6132 {"name": "DI_PT_TRISTRIP_ADJ", "value": 13},
6133 {"name": "DI_PT_UNUSED_3", "value": 14},
6134 {"name": "DI_PT_UNUSED_4", "value": 15},
6135 {"name": "DI_PT_UNUSED_5", "value": 16},
6136 {"name": "DI_PT_RECTLIST", "value": 17},
6137 {"name": "DI_PT_LINELOOP", "value": 18},
6138 {"name": "DI_PT_QUADLIST", "value": 19},
6139 {"name": "DI_PT_QUADSTRIP", "value": 20},
6140 {"name": "DI_PT_POLYGON", "value": 21}
6141 ]
6142 },
6143 "VGT_DI_SOURCE_SELECT": {
6144 "entries": [
6145 {"name": "DI_SRC_SEL_DMA", "value": 0},
6146 {"name": "DI_SRC_SEL_IMMEDIATE", "value": 1},
6147 {"name": "DI_SRC_SEL_AUTO_INDEX", "value": 2},
6148 {"name": "DI_SRC_SEL_RESERVED", "value": 3}
6149 ]
6150 },
6151 "VGT_DMA_BUF_TYPE": {
6152 "entries": [
6153 {"name": "VGT_DMA_BUF_MEM", "value": 0},
6154 {"name": "VGT_DMA_BUF_RING", "value": 1},
6155 {"name": "VGT_DMA_BUF_SETUP", "value": 2},
6156 {"name": "VGT_DMA_PTR_UPDATE", "value": 3}
6157 ]
6158 },
6159 "VGT_DMA_SWAP_MODE": {
6160 "entries": [
6161 {"name": "VGT_DMA_SWAP_NONE", "value": 0},
6162 {"name": "VGT_DMA_SWAP_16_BIT", "value": 1},
6163 {"name": "VGT_DMA_SWAP_32_BIT", "value": 2},
6164 {"name": "VGT_DMA_SWAP_WORD", "value": 3}
6165 ]
6166 },
6167 "VGT_EVENT_TYPE": {
6168 "entries": [
6169 {"name": "Reserved_0x00", "value": 0},
6170 {"name": "SAMPLE_STREAMOUTSTATS1", "value": 1},
6171 {"name": "SAMPLE_STREAMOUTSTATS2", "value": 2},
6172 {"name": "SAMPLE_STREAMOUTSTATS3", "value": 3},
6173 {"name": "CACHE_FLUSH_TS", "value": 4},
6174 {"name": "CONTEXT_DONE", "value": 5},
6175 {"name": "CACHE_FLUSH", "value": 6},
6176 {"name": "CS_PARTIAL_FLUSH", "value": 7},
6177 {"name": "VGT_STREAMOUT_SYNC", "value": 8},
6178 {"name": "Reserved_0x09", "value": 9},
6179 {"name": "VGT_STREAMOUT_RESET", "value": 10},
6180 {"name": "END_OF_PIPE_INCR_DE", "value": 11},
6181 {"name": "END_OF_PIPE_IB_END", "value": 12},
6182 {"name": "RST_PIX_CNT", "value": 13},
6183 {"name": "BREAK_BATCH", "value": 14},
6184 {"name": "VS_PARTIAL_FLUSH", "value": 15},
6185 {"name": "PS_PARTIAL_FLUSH", "value": 16},
6186 {"name": "FLUSH_HS_OUTPUT", "value": 17},
6187 {"name": "FLUSH_DFSM", "value": 18},
6188 {"name": "RESET_TO_LOWEST_VGT", "value": 19},
6189 {"name": "CACHE_FLUSH_AND_INV_TS_EVENT", "value": 20},
6190 {"name": "ZPASS_DONE", "value": 21},
6191 {"name": "CACHE_FLUSH_AND_INV_EVENT", "value": 22},
6192 {"name": "PERFCOUNTER_START", "value": 23},
6193 {"name": "PERFCOUNTER_STOP", "value": 24},
6194 {"name": "PIPELINESTAT_START", "value": 25},
6195 {"name": "PIPELINESTAT_STOP", "value": 26},
6196 {"name": "PERFCOUNTER_SAMPLE", "value": 27},
6197 {"name": "FLUSH_ES_OUTPUT", "value": 28},
6198 {"name": "BIN_CONF_OVERRIDE_CHECK", "value": 29},
6199 {"name": "SAMPLE_PIPELINESTAT", "value": 30},
6200 {"name": "SO_VGTSTREAMOUT_FLUSH", "value": 31},
6201 {"name": "SAMPLE_STREAMOUTSTATS", "value": 32},
6202 {"name": "RESET_VTX_CNT", "value": 33},
6203 {"name": "BLOCK_CONTEXT_DONE", "value": 34},
6204 {"name": "CS_CONTEXT_DONE", "value": 35},
6205 {"name": "VGT_FLUSH", "value": 36},
6206 {"name": "TGID_ROLLOVER", "value": 37},
6207 {"name": "SQ_NON_EVENT", "value": 38},
6208 {"name": "SC_SEND_DB_VPZ", "value": 39},
6209 {"name": "BOTTOM_OF_PIPE_TS", "value": 40},
6210 {"name": "FLUSH_SX_TS", "value": 41},
6211 {"name": "DB_CACHE_FLUSH_AND_INV", "value": 42},
6212 {"name": "FLUSH_AND_INV_DB_DATA_TS", "value": 43},
6213 {"name": "FLUSH_AND_INV_DB_META", "value": 44},
6214 {"name": "FLUSH_AND_INV_CB_DATA_TS", "value": 45},
6215 {"name": "FLUSH_AND_INV_CB_META", "value": 46},
6216 {"name": "CS_DONE", "value": 47},
6217 {"name": "PS_DONE", "value": 48},
6218 {"name": "FLUSH_AND_INV_CB_PIXEL_DATA", "value": 49},
6219 {"name": "SX_CB_RAT_ACK_REQUEST", "value": 50},
6220 {"name": "THREAD_TRACE_START", "value": 51},
6221 {"name": "THREAD_TRACE_STOP", "value": 52},
6222 {"name": "THREAD_TRACE_MARKER", "value": 53},
6223 {"name": "THREAD_TRACE_DRAW", "value": 54},
6224 {"name": "THREAD_TRACE_FINISH", "value": 55},
6225 {"name": "PIXEL_PIPE_STAT_CONTROL", "value": 56},
6226 {"name": "PIXEL_PIPE_STAT_DUMP", "value": 57},
6227 {"name": "PIXEL_PIPE_STAT_RESET", "value": 58},
6228 {"name": "CONTEXT_SUSPEND", "value": 59},
6229 {"name": "OFFCHIP_HS_DEALLOC", "value": 60},
6230 {"name": "ENABLE_NGG_PIPELINE", "value": 61},
6231 {"name": "ENABLE_LEGACY_PIPELINE", "value": 62},
6232 {"name": "DRAW_DONE", "value": 63}
6233 ]
6234 },
6235 "VGT_GS_CUT_MODE": {
6236 "entries": [
6237 {"name": "GS_CUT_1024", "value": 0},
6238 {"name": "GS_CUT_512", "value": 1},
6239 {"name": "GS_CUT_256", "value": 2},
6240 {"name": "GS_CUT_128", "value": 3}
6241 ]
6242 },
6243 "VGT_GS_MODE_TYPE": {
6244 "entries": [
6245 {"name": "GS_OFF", "value": 0},
6246 {"name": "GS_SCENARIO_A", "value": 1},
6247 {"name": "GS_SCENARIO_B", "value": 2},
6248 {"name": "GS_SCENARIO_G", "value": 3},
6249 {"name": "GS_SCENARIO_C", "value": 4},
6250 {"name": "SPRITE_EN", "value": 5}
6251 ]
6252 },
6253 "VGT_GS_OUT_PRIM_TYPE__OUTPRIM_TYPE": {
6254 "entries": [
6255 {"name": "OUTPRIM_TYPE_POINTLIST", "value": 0},
6256 {"name": "OUTPRIM_TYPE_LINESTRIP", "value": 1},
6257 {"name": "OUTPRIM_TYPE_TRISTRIP", "value": 2},
6258 {"name": "VGT_OUT_RECT_V0", "value": 3}
6259 ]
6260 },
6261 "VGT_INDEX_TYPE_MODE": {
6262 "entries": [
6263 {"name": "VGT_INDEX_16", "value": 0},
6264 {"name": "VGT_INDEX_32", "value": 1},
6265 {"name": "VGT_INDEX_8", "value": 2}
6266 ]
6267 },
6268 "VGT_RDREQ_POLICY": {
6269 "entries": [
6270 {"name": "VGT_POLICY_LRU", "value": 0},
6271 {"name": "VGT_POLICY_STREAM", "value": 1},
6272 {"name": "VGT_POLICY_BYPASS", "value": 2}
6273 ]
6274 },
6275 "VGT_STAGES_ES_EN": {
6276 "entries": [
6277 {"name": "ES_STAGE_OFF", "value": 0},
6278 {"name": "ES_STAGE_DS", "value": 1},
6279 {"name": "ES_STAGE_REAL", "value": 2},
6280 {"name": "RESERVED_ES", "value": 3}
6281 ]
6282 },
6283 "VGT_STAGES_GS_EN": {
6284 "entries": [
6285 {"name": "GS_STAGE_OFF", "value": 0},
6286 {"name": "GS_STAGE_ON", "value": 1}
6287 ]
6288 },
6289 "VGT_STAGES_HS_EN": {
6290 "entries": [
6291 {"name": "HS_STAGE_OFF", "value": 0},
6292 {"name": "HS_STAGE_ON", "value": 1}
6293 ]
6294 },
6295 "VGT_STAGES_LS_EN": {
6296 "entries": [
6297 {"name": "LS_STAGE_OFF", "value": 0},
6298 {"name": "LS_STAGE_ON", "value": 1},
6299 {"name": "CS_STAGE_ON", "value": 2},
6300 {"name": "RESERVED_LS", "value": 3}
6301 ]
6302 },
6303 "VGT_STAGES_VS_EN": {
6304 "entries": [
6305 {"name": "VS_STAGE_REAL", "value": 0},
6306 {"name": "VS_STAGE_DS", "value": 1},
6307 {"name": "VS_STAGE_COPY_SHADER", "value": 2},
6308 {"name": "RESERVED_VS", "value": 3}
6309 ]
6310 },
6311 "VGT_TESS_PARTITION": {
6312 "entries": [
6313 {"name": "PART_INTEGER", "value": 0},
6314 {"name": "PART_POW2", "value": 1},
6315 {"name": "PART_FRAC_ODD", "value": 2},
6316 {"name": "PART_FRAC_EVEN", "value": 3}
6317 ]
6318 },
6319 "VGT_TESS_TOPOLOGY": {
6320 "entries": [
6321 {"name": "OUTPUT_POINT", "value": 0},
6322 {"name": "OUTPUT_LINE", "value": 1},
6323 {"name": "OUTPUT_TRIANGLE_CW", "value": 2},
6324 {"name": "OUTPUT_TRIANGLE_CCW", "value": 3}
6325 ]
6326 },
6327 "VGT_TESS_TYPE": {
6328 "entries": [
6329 {"name": "TESS_ISOLINE", "value": 0},
6330 {"name": "TESS_TRIANGLE", "value": 1},
6331 {"name": "TESS_QUAD", "value": 2}
6332 ]
6333 },
6334 "ZLimitSumm": {
6335 "entries": [
6336 {"name": "FORCE_SUMM_OFF", "value": 0},
6337 {"name": "FORCE_SUMM_MINZ", "value": 1},
6338 {"name": "FORCE_SUMM_MAXZ", "value": 2},
6339 {"name": "FORCE_SUMM_BOTH", "value": 3}
6340 ]
6341 },
6342 "ZOrder": {
6343 "entries": [
6344 {"name": "LATE_Z", "value": 0},
6345 {"name": "EARLY_Z_THEN_LATE_Z", "value": 1},
6346 {"name": "RE_Z", "value": 2},
6347 {"name": "EARLY_Z_THEN_RE_Z", "value": 3}
6348 ]
6349 },
6350 "ThreadTraceRegInclude": {
6351 "entries": [
6352 {"name": "REG_INCLUDE_SQDEC", "value": 1},
6353 {"name": "REG_INCLUDE_SHDEC", "value": 2},
6354 {"name": "REG_INCLUDE_GFXUDEC", "value": 4},
6355 {"name": "REG_INCLUDE_COMP", "value": 8},
6356 {"name": "REG_INCLUDE_CONTEXT", "value": 16},
6357 {"name": "REG_INCLUDE_CONFIG", "value": 32},
6358 {"name": "REG_INCLUDE_OTHER", "value": 64},
6359 {"name": "REG_INCLUDE_READS", "value": 128}
6360 ]
6361 },
6362 "ThreadTraceTokenExclude": {
6363 "entries": [
6364 {"name": "TOKEN_EXCLUDE_VMEMEXEC", "value": 1},
6365 {"name": "TOKEN_EXCLUDE_ALUEXEC", "value": 2},
6366 {"name": "TOKEN_EXCLUDE_VALUINST", "value": 4},
6367 {"name": "TOKEN_EXCLUDE_WAVERDY", "value": 8},
6368 {"name": "TOKEN_EXCLUDE_IMMED1", "value": 16},
6369 {"name": "TOKEN_EXCLUDE_IMMEDIATE", "value": 32},
6370 {"name": "TOKEN_EXCLUDE_REG", "value": 64},
6371 {"name": "TOKEN_EXCLUDE_EVENT", "value": 128},
6372 {"name": "TOKEN_EXCLUDE_INST", "value": 256},
6373 {"name": "TOKEN_EXCLUDE_UTILCTR", "value": 512},
6374 {"name": "TOKEN_EXCLUDE_WAVEALLOC", "value": 1024},
6375 {"name": "TOKEN_EXCLUDE_PERF", "value": 2048}
6376 ]
6377 }
6378 },
6379 "register_mappings": [
6380 {
6381 "chips": ["gfx10"],
6382 "map": {"at": 36096, "to": "mm"},
6383 "name": "SQ_THREAD_TRACE_BUF0_BASE",
6384 "type_ref": "SQ_THREAD_TRACE_BUF0_BASE"
6385 },
6386 {
6387 "chips": ["gfx10"],
6388 "map": {"at": 36100, "to": "mm"},
6389 "name": "SQ_THREAD_TRACE_BUF0_SIZE",
6390 "type_ref": "SQ_THREAD_TRACE_BUF0_SIZE"
6391 },
6392 {
6393 "chips": ["gfx10"],
6394 "map": {"at": 36112, "to": "mm"},
6395 "name": "SQ_THREAD_TRACE_WPTR",
6396 "type_ref": "SQ_THREAD_TRACE_WPTR"
6397 },
6398 {
6399 "chips": ["gfx10"],
6400 "map": {"at": 36116, "to": "mm"},
6401 "name": "SQ_THREAD_TRACE_MASK",
6402 "type_ref": "SQ_THREAD_TRACE_MASK"
6403 },
6404 {
6405 "chips": ["gfx10"],
6406 "map": {"at": 36120, "to": "mm"},
6407 "name": "SQ_THREAD_TRACE_TOKEN_MASK",
6408 "type_ref": "SQ_THREAD_TRACE_TOKEN_MASK"
6409 },
6410 {
6411 "chips": ["gfx10"],
6412 "map": {"at": 36124, "to": "mm"},
6413 "name": "SQ_THREAD_TRACE_CTRL",
6414 "type_ref": "SQ_THREAD_TRACE_CTRL"
6415 },
6416 {
6417 "chips": ["gfx10"],
6418 "map": {"at": 36128, "to": "mm"},
6419 "name": "SQ_THREAD_TRACE_STATUS",
6420 "type_ref": "SQ_THREAD_TRACE_STATUS"
6421 },
6422 {
6423 "chips": ["gfx10"],
6424 "map": {"at": 36132, "to": "mm"},
6425 "name": "SQ_THREAD_TRACE_DROPPED_CNTR",
6426 "type_ref": "SQ_THREAD_TRACE_DROPPED_CNTR"
6427 },
6428 {
6429 "chips": ["gfx10"],
6430 "map": {"at": 36152, "to": "mm"},
6431 "name": "SQ_THREAD_TRACE_HP3D_MARKER_CNTR"
6432 },
6433 {
6434 "chips": ["gfx10"],
6435 "map": {"at": 36156, "to": "mm"},
6436 "name": "SQ_THREAD_TRACE_STATUS2_GFX103"
6437 },
6438 {
6439 "chips": ["gfx10"],
6440 "map": {"at": 37804, "to": "mm"},
6441 "name": "BCI_DEBUG_READ",
6442 "type_ref": "BCI_DEBUG_READ"
6443 },
6444 {
6445 "chips": ["gfx10"],
6446 "map": {"at": 165760, "to": "mm"},
6447 "name": "CB_BLEND0_CONTROL",
6448 "type_ref": "CB_BLEND0_CONTROL"
6449 },
6450 {
6451 "chips": ["gfx10"],
6452 "map": {"at": 165764, "to": "mm"},
6453 "name": "CB_BLEND1_CONTROL",
6454 "type_ref": "CB_BLEND0_CONTROL"
6455 },
6456 {
6457 "chips": ["gfx10"],
6458 "map": {"at": 165768, "to": "mm"},
6459 "name": "CB_BLEND2_CONTROL",
6460 "type_ref": "CB_BLEND0_CONTROL"
6461 },
6462 {
6463 "chips": ["gfx10"],
6464 "map": {"at": 165772, "to": "mm"},
6465 "name": "CB_BLEND3_CONTROL",
6466 "type_ref": "CB_BLEND0_CONTROL"
6467 },
6468 {
6469 "chips": ["gfx10"],
6470 "map": {"at": 165776, "to": "mm"},
6471 "name": "CB_BLEND4_CONTROL",
6472 "type_ref": "CB_BLEND0_CONTROL"
6473 },
6474 {
6475 "chips": ["gfx10"],
6476 "map": {"at": 165780, "to": "mm"},
6477 "name": "CB_BLEND5_CONTROL",
6478 "type_ref": "CB_BLEND0_CONTROL"
6479 },
6480 {
6481 "chips": ["gfx10"],
6482 "map": {"at": 165784, "to": "mm"},
6483 "name": "CB_BLEND6_CONTROL",
6484 "type_ref": "CB_BLEND0_CONTROL"
6485 },
6486 {
6487 "chips": ["gfx10"],
6488 "map": {"at": 165788, "to": "mm"},
6489 "name": "CB_BLEND7_CONTROL",
6490 "type_ref": "CB_BLEND0_CONTROL"
6491 },
6492 {
6493 "chips": ["gfx10"],
6494 "map": {"at": 164896, "to": "mm"},
6495 "name": "CB_BLEND_ALPHA",
6496 "type_ref": "CB_BLEND_ALPHA"
6497 },
6498 {
6499 "chips": ["gfx10"],
6500 "map": {"at": 164892, "to": "mm"},
6501 "name": "CB_BLEND_BLUE",
6502 "type_ref": "CB_BLEND_BLUE"
6503 },
6504 {
6505 "chips": ["gfx10"],
6506 "map": {"at": 164888, "to": "mm"},
6507 "name": "CB_BLEND_GREEN",
6508 "type_ref": "CB_BLEND_GREEN"
6509 },
6510 {
6511 "chips": ["gfx10"],
6512 "map": {"at": 164884, "to": "mm"},
6513 "name": "CB_BLEND_RED",
6514 "type_ref": "CB_BLEND_RED"
6515 },
6516 {
6517 "chips": ["gfx10"],
6518 "map": {"at": 167028, "to": "mm"},
6519 "name": "CB_COLOR0_ATTRIB",
6520 "type_ref": "CB_COLOR0_ATTRIB"
6521 },
6522 {
6523 "chips": ["gfx10"],
6524 "map": {"at": 167616, "to": "mm"},
6525 "name": "CB_COLOR0_ATTRIB2",
6526 "type_ref": "CB_COLOR0_ATTRIB2"
6527 },
6528 {
6529 "chips": ["gfx10"],
6530 "map": {"at": 167648, "to": "mm"},
6531 "name": "CB_COLOR0_ATTRIB3",
6532 "type_ref": "CB_COLOR0_ATTRIB3"
6533 },
6534 {
6535 "chips": ["gfx10"],
6536 "map": {"at": 167008, "to": "mm"},
6537 "name": "CB_COLOR0_BASE",
6538 "type_ref": "CB_COLOR0_BASE"
6539 },
6540 {
6541 "chips": ["gfx10"],
6542 "map": {"at": 167488, "to": "mm"},
6543 "name": "CB_COLOR0_BASE_EXT",
6544 "type_ref": "CB_COLOR0_BASE_EXT"
6545 },
6546 {
6547 "chips": ["gfx10"],
6548 "map": {"at": 167052, "to": "mm"},
6549 "name": "CB_COLOR0_CLEAR_WORD0",
6550 "type_ref": "CB_COLOR0_CLEAR_WORD0"
6551 },
6552 {
6553 "chips": ["gfx10"],
6554 "map": {"at": 167056, "to": "mm"},
6555 "name": "CB_COLOR0_CLEAR_WORD1",
6556 "type_ref": "CB_COLOR0_CLEAR_WORD1"
6557 },
6558 {
6559 "chips": ["gfx10"],
6560 "map": {"at": 167036, "to": "mm"},
6561 "name": "CB_COLOR0_CMASK",
6562 "type_ref": "CB_COLOR0_BASE"
6563 },
6564 {
6565 "chips": ["gfx10"],
6566 "map": {"at": 167520, "to": "mm"},
6567 "name": "CB_COLOR0_CMASK_BASE_EXT",
6568 "type_ref": "CB_COLOR0_BASE_EXT"
6569 },
6570 {
6571 "chips": ["gfx10"],
6572 "map": {"at": 167060, "to": "mm"},
6573 "name": "CB_COLOR0_DCC_BASE",
6574 "type_ref": "CB_COLOR0_BASE"
6575 },
6576 {
6577 "chips": ["gfx10"],
6578 "map": {"at": 167584, "to": "mm"},
6579 "name": "CB_COLOR0_DCC_BASE_EXT",
6580 "type_ref": "CB_COLOR0_BASE_EXT"
6581 },
6582 {
6583 "chips": ["gfx10"],
6584 "map": {"at": 167032, "to": "mm"},
6585 "name": "CB_COLOR0_DCC_CONTROL",
6586 "type_ref": "CB_COLOR0_DCC_CONTROL"
6587 },
6588 {
6589 "chips": ["gfx10"],
6590 "map": {"at": 167044, "to": "mm"},
6591 "name": "CB_COLOR0_FMASK",
6592 "type_ref": "CB_COLOR0_BASE"
6593 },
6594 {
6595 "chips": ["gfx10"],
6596 "map": {"at": 167552, "to": "mm"},
6597 "name": "CB_COLOR0_FMASK_BASE_EXT",
6598 "type_ref": "CB_COLOR0_BASE_EXT"
6599 },
6600 {
6601 "chips": ["gfx10"],
6602 "map": {"at": 167024, "to": "mm"},
6603 "name": "CB_COLOR0_INFO",
6604 "type_ref": "CB_COLOR0_INFO"
6605 },
6606 {
6607 "chips": ["gfx10"],
6608 "map": {"at": 167020, "to": "mm"},
6609 "name": "CB_COLOR0_VIEW",
6610 "type_ref": "CB_COLOR0_VIEW"
6611 },
6612 {
6613 "chips": ["gfx10"],
6614 "map": {"at": 167088, "to": "mm"},
6615 "name": "CB_COLOR1_ATTRIB",
6616 "type_ref": "CB_COLOR0_ATTRIB"
6617 },
6618 {
6619 "chips": ["gfx10"],
6620 "map": {"at": 167620, "to": "mm"},
6621 "name": "CB_COLOR1_ATTRIB2",
6622 "type_ref": "CB_COLOR0_ATTRIB2"
6623 },
6624 {
6625 "chips": ["gfx10"],
6626 "map": {"at": 167652, "to": "mm"},
6627 "name": "CB_COLOR1_ATTRIB3",
6628 "type_ref": "CB_COLOR0_ATTRIB3"
6629 },
6630 {
6631 "chips": ["gfx10"],
6632 "map": {"at": 167068, "to": "mm"},
6633 "name": "CB_COLOR1_BASE",
6634 "type_ref": "CB_COLOR0_BASE"
6635 },
6636 {
6637 "chips": ["gfx10"],
6638 "map": {"at": 167492, "to": "mm"},
6639 "name": "CB_COLOR1_BASE_EXT",
6640 "type_ref": "CB_COLOR0_BASE_EXT"
6641 },
6642 {
6643 "chips": ["gfx10"],
6644 "map": {"at": 167112, "to": "mm"},
6645 "name": "CB_COLOR1_CLEAR_WORD0",
6646 "type_ref": "CB_COLOR0_CLEAR_WORD0"
6647 },
6648 {
6649 "chips": ["gfx10"],
6650 "map": {"at": 167116, "to": "mm"},
6651 "name": "CB_COLOR1_CLEAR_WORD1",
6652 "type_ref": "CB_COLOR0_CLEAR_WORD1"
6653 },
6654 {
6655 "chips": ["gfx10"],
6656 "map": {"at": 167096, "to": "mm"},
6657 "name": "CB_COLOR1_CMASK",
6658 "type_ref": "CB_COLOR0_BASE"
6659 },
6660 {
6661 "chips": ["gfx10"],
6662 "map": {"at": 167524, "to": "mm"},
6663 "name": "CB_COLOR1_CMASK_BASE_EXT",
6664 "type_ref": "CB_COLOR0_BASE_EXT"
6665 },
6666 {
6667 "chips": ["gfx10"],
6668 "map": {"at": 167120, "to": "mm"},
6669 "name": "CB_COLOR1_DCC_BASE",
6670 "type_ref": "CB_COLOR0_BASE"
6671 },
6672 {
6673 "chips": ["gfx10"],
6674 "map": {"at": 167588, "to": "mm"},
6675 "name": "CB_COLOR1_DCC_BASE_EXT",
6676 "type_ref": "CB_COLOR0_BASE_EXT"
6677 },
6678 {
6679 "chips": ["gfx10"],
6680 "map": {"at": 167092, "to": "mm"},
6681 "name": "CB_COLOR1_DCC_CONTROL",
6682 "type_ref": "CB_COLOR0_DCC_CONTROL"
6683 },
6684 {
6685 "chips": ["gfx10"],
6686 "map": {"at": 167104, "to": "mm"},
6687 "name": "CB_COLOR1_FMASK",
6688 "type_ref": "CB_COLOR0_BASE"
6689 },
6690 {
6691 "chips": ["gfx10"],
6692 "map": {"at": 167556, "to": "mm"},
6693 "name": "CB_COLOR1_FMASK_BASE_EXT",
6694 "type_ref": "CB_COLOR0_BASE_EXT"
6695 },
6696 {
6697 "chips": ["gfx10"],
6698 "map": {"at": 167084, "to": "mm"},
6699 "name": "CB_COLOR1_INFO",
6700 "type_ref": "CB_COLOR0_INFO"
6701 },
6702 {
6703 "chips": ["gfx10"],
6704 "map": {"at": 167080, "to": "mm"},
6705 "name": "CB_COLOR1_VIEW",
6706 "type_ref": "CB_COLOR0_VIEW"
6707 },
6708 {
6709 "chips": ["gfx10"],
6710 "map": {"at": 167148, "to": "mm"},
6711 "name": "CB_COLOR2_ATTRIB",
6712 "type_ref": "CB_COLOR0_ATTRIB"
6713 },
6714 {
6715 "chips": ["gfx10"],
6716 "map": {"at": 167624, "to": "mm"},
6717 "name": "CB_COLOR2_ATTRIB2",
6718 "type_ref": "CB_COLOR0_ATTRIB2"
6719 },
6720 {
6721 "chips": ["gfx10"],
6722 "map": {"at": 167656, "to": "mm"},
6723 "name": "CB_COLOR2_ATTRIB3",
6724 "type_ref": "CB_COLOR0_ATTRIB3"
6725 },
6726 {
6727 "chips": ["gfx10"],
6728 "map": {"at": 167128, "to": "mm"},
6729 "name": "CB_COLOR2_BASE",
6730 "type_ref": "CB_COLOR0_BASE"
6731 },
6732 {
6733 "chips": ["gfx10"],
6734 "map": {"at": 167496, "to": "mm"},
6735 "name": "CB_COLOR2_BASE_EXT",
6736 "type_ref": "CB_COLOR0_BASE_EXT"
6737 },
6738 {
6739 "chips": ["gfx10"],
6740 "map": {"at": 167172, "to": "mm"},
6741 "name": "CB_COLOR2_CLEAR_WORD0",
6742 "type_ref": "CB_COLOR0_CLEAR_WORD0"
6743 },
6744 {
6745 "chips": ["gfx10"],
6746 "map": {"at": 167176, "to": "mm"},
6747 "name": "CB_COLOR2_CLEAR_WORD1",
6748 "type_ref": "CB_COLOR0_CLEAR_WORD1"
6749 },
6750 {
6751 "chips": ["gfx10"],
6752 "map": {"at": 167156, "to": "mm"},
6753 "name": "CB_COLOR2_CMASK",
6754 "type_ref": "CB_COLOR0_BASE"
6755 },
6756 {
6757 "chips": ["gfx10"],
6758 "map": {"at": 167528, "to": "mm"},
6759 "name": "CB_COLOR2_CMASK_BASE_EXT",
6760 "type_ref": "CB_COLOR0_BASE_EXT"
6761 },
6762 {
6763 "chips": ["gfx10"],
6764 "map": {"at": 167180, "to": "mm"},
6765 "name": "CB_COLOR2_DCC_BASE",
6766 "type_ref": "CB_COLOR0_BASE"
6767 },
6768 {
6769 "chips": ["gfx10"],
6770 "map": {"at": 167592, "to": "mm"},
6771 "name": "CB_COLOR2_DCC_BASE_EXT",
6772 "type_ref": "CB_COLOR0_BASE_EXT"
6773 },
6774 {
6775 "chips": ["gfx10"],
6776 "map": {"at": 167152, "to": "mm"},
6777 "name": "CB_COLOR2_DCC_CONTROL",
6778 "type_ref": "CB_COLOR0_DCC_CONTROL"
6779 },
6780 {
6781 "chips": ["gfx10"],
6782 "map": {"at": 167164, "to": "mm"},
6783 "name": "CB_COLOR2_FMASK",
6784 "type_ref": "CB_COLOR0_BASE"
6785 },
6786 {
6787 "chips": ["gfx10"],
6788 "map": {"at": 167560, "to": "mm"},
6789 "name": "CB_COLOR2_FMASK_BASE_EXT",
6790 "type_ref": "CB_COLOR0_BASE_EXT"
6791 },
6792 {
6793 "chips": ["gfx10"],
6794 "map": {"at": 167144, "to": "mm"},
6795 "name": "CB_COLOR2_INFO",
6796 "type_ref": "CB_COLOR0_INFO"
6797 },
6798 {
6799 "chips": ["gfx10"],
6800 "map": {"at": 167140, "to": "mm"},
6801 "name": "CB_COLOR2_VIEW",
6802 "type_ref": "CB_COLOR0_VIEW"
6803 },
6804 {
6805 "chips": ["gfx10"],
6806 "map": {"at": 167208, "to": "mm"},
6807 "name": "CB_COLOR3_ATTRIB",
6808 "type_ref": "CB_COLOR0_ATTRIB"
6809 },
6810 {
6811 "chips": ["gfx10"],
6812 "map": {"at": 167628, "to": "mm"},
6813 "name": "CB_COLOR3_ATTRIB2",
6814 "type_ref": "CB_COLOR0_ATTRIB2"
6815 },
6816 {
6817 "chips": ["gfx10"],
6818 "map": {"at": 167660, "to": "mm"},
6819 "name": "CB_COLOR3_ATTRIB3",
6820 "type_ref": "CB_COLOR0_ATTRIB3"
6821 },
6822 {
6823 "chips": ["gfx10"],
6824 "map": {"at": 167188, "to": "mm"},
6825 "name": "CB_COLOR3_BASE",
6826 "type_ref": "CB_COLOR0_BASE"
6827 },
6828 {
6829 "chips": ["gfx10"],
6830 "map": {"at": 167500, "to": "mm"},
6831 "name": "CB_COLOR3_BASE_EXT",
6832 "type_ref": "CB_COLOR0_BASE_EXT"
6833 },
6834 {
6835 "chips": ["gfx10"],
6836 "map": {"at": 167232, "to": "mm"},
6837 "name": "CB_COLOR3_CLEAR_WORD0",
6838 "type_ref": "CB_COLOR0_CLEAR_WORD0"
6839 },
6840 {
6841 "chips": ["gfx10"],
6842 "map": {"at": 167236, "to": "mm"},
6843 "name": "CB_COLOR3_CLEAR_WORD1",
6844 "type_ref": "CB_COLOR0_CLEAR_WORD1"
6845 },
6846 {
6847 "chips": ["gfx10"],
6848 "map": {"at": 167216, "to": "mm"},
6849 "name": "CB_COLOR3_CMASK",
6850 "type_ref": "CB_COLOR0_BASE"
6851 },
6852 {
6853 "chips": ["gfx10"],
6854 "map": {"at": 167532, "to": "mm"},
6855 "name": "CB_COLOR3_CMASK_BASE_EXT",
6856 "type_ref": "CB_COLOR0_BASE_EXT"
6857 },
6858 {
6859 "chips": ["gfx10"],
6860 "map": {"at": 167240, "to": "mm"},
6861 "name": "CB_COLOR3_DCC_BASE",
6862 "type_ref": "CB_COLOR0_BASE"
6863 },
6864 {
6865 "chips": ["gfx10"],
6866 "map": {"at": 167596, "to": "mm"},
6867 "name": "CB_COLOR3_DCC_BASE_EXT",
6868 "type_ref": "CB_COLOR0_BASE_EXT"
6869 },
6870 {
6871 "chips": ["gfx10"],
6872 "map": {"at": 167212, "to": "mm"},
6873 "name": "CB_COLOR3_DCC_CONTROL",
6874 "type_ref": "CB_COLOR0_DCC_CONTROL"
6875 },
6876 {
6877 "chips": ["gfx10"],
6878 "map": {"at": 167224, "to": "mm"},
6879 "name": "CB_COLOR3_FMASK",
6880 "type_ref": "CB_COLOR0_BASE"
6881 },
6882 {
6883 "chips": ["gfx10"],
6884 "map": {"at": 167564, "to": "mm"},
6885 "name": "CB_COLOR3_FMASK_BASE_EXT",
6886 "type_ref": "CB_COLOR0_BASE_EXT"
6887 },
6888 {
6889 "chips": ["gfx10"],
6890 "map": {"at": 167204, "to": "mm"},
6891 "name": "CB_COLOR3_INFO",
6892 "type_ref": "CB_COLOR0_INFO"
6893 },
6894 {
6895 "chips": ["gfx10"],
6896 "map": {"at": 167200, "to": "mm"},
6897 "name": "CB_COLOR3_VIEW",
6898 "type_ref": "CB_COLOR0_VIEW"
6899 },
6900 {
6901 "chips": ["gfx10"],
6902 "map": {"at": 167268, "to": "mm"},
6903 "name": "CB_COLOR4_ATTRIB",
6904 "type_ref": "CB_COLOR0_ATTRIB"
6905 },
6906 {
6907 "chips": ["gfx10"],
6908 "map": {"at": 167632, "to": "mm"},
6909 "name": "CB_COLOR4_ATTRIB2",
6910 "type_ref": "CB_COLOR0_ATTRIB2"
6911 },
6912 {
6913 "chips": ["gfx10"],
6914 "map": {"at": 167664, "to": "mm"},
6915 "name": "CB_COLOR4_ATTRIB3",
6916 "type_ref": "CB_COLOR0_ATTRIB3"
6917 },
6918 {
6919 "chips": ["gfx10"],
6920 "map": {"at": 167248, "to": "mm"},
6921 "name": "CB_COLOR4_BASE",
6922 "type_ref": "CB_COLOR0_BASE"
6923 },
6924 {
6925 "chips": ["gfx10"],
6926 "map": {"at": 167504, "to": "mm"},
6927 "name": "CB_COLOR4_BASE_EXT",
6928 "type_ref": "CB_COLOR0_BASE_EXT"
6929 },
6930 {
6931 "chips": ["gfx10"],
6932 "map": {"at": 167292, "to": "mm"},
6933 "name": "CB_COLOR4_CLEAR_WORD0",
6934 "type_ref": "CB_COLOR0_CLEAR_WORD0"
6935 },
6936 {
6937 "chips": ["gfx10"],
6938 "map": {"at": 167296, "to": "mm"},
6939 "name": "CB_COLOR4_CLEAR_WORD1",
6940 "type_ref": "CB_COLOR0_CLEAR_WORD1"
6941 },
6942 {
6943 "chips": ["gfx10"],
6944 "map": {"at": 167276, "to": "mm"},
6945 "name": "CB_COLOR4_CMASK",
6946 "type_ref": "CB_COLOR0_BASE"
6947 },
6948 {
6949 "chips": ["gfx10"],
6950 "map": {"at": 167536, "to": "mm"},
6951 "name": "CB_COLOR4_CMASK_BASE_EXT",
6952 "type_ref": "CB_COLOR0_BASE_EXT"
6953 },
6954 {
6955 "chips": ["gfx10"],
6956 "map": {"at": 167300, "to": "mm"},
6957 "name": "CB_COLOR4_DCC_BASE",
6958 "type_ref": "CB_COLOR0_BASE"
6959 },
6960 {
6961 "chips": ["gfx10"],
6962 "map": {"at": 167600, "to": "mm"},
6963 "name": "CB_COLOR4_DCC_BASE_EXT",
6964 "type_ref": "CB_COLOR0_BASE_EXT"
6965 },
6966 {
6967 "chips": ["gfx10"],
6968 "map": {"at": 167272, "to": "mm"},
6969 "name": "CB_COLOR4_DCC_CONTROL",
6970 "type_ref": "CB_COLOR0_DCC_CONTROL"
6971 },
6972 {
6973 "chips": ["gfx10"],
6974 "map": {"at": 167284, "to": "mm"},
6975 "name": "CB_COLOR4_FMASK",
6976 "type_ref": "CB_COLOR0_BASE"
6977 },
6978 {
6979 "chips": ["gfx10"],
6980 "map": {"at": 167568, "to": "mm"},
6981 "name": "CB_COLOR4_FMASK_BASE_EXT",
6982 "type_ref": "CB_COLOR0_BASE_EXT"
6983 },
6984 {
6985 "chips": ["gfx10"],
6986 "map": {"at": 167264, "to": "mm"},
6987 "name": "CB_COLOR4_INFO",
6988 "type_ref": "CB_COLOR0_INFO"
6989 },
6990 {
6991 "chips": ["gfx10"],
6992 "map": {"at": 167260, "to": "mm"},
6993 "name": "CB_COLOR4_VIEW",
6994 "type_ref": "CB_COLOR0_VIEW"
6995 },
6996 {
6997 "chips": ["gfx10"],
6998 "map": {"at": 167328, "to": "mm"},
6999 "name": "CB_COLOR5_ATTRIB",
7000 "type_ref": "CB_COLOR0_ATTRIB"
7001 },
7002 {
7003 "chips": ["gfx10"],
7004 "map": {"at": 167636, "to": "mm"},
7005 "name": "CB_COLOR5_ATTRIB2",
7006 "type_ref": "CB_COLOR0_ATTRIB2"
7007 },
7008 {
7009 "chips": ["gfx10"],
7010 "map": {"at": 167668, "to": "mm"},
7011 "name": "CB_COLOR5_ATTRIB3",
7012 "type_ref": "CB_COLOR0_ATTRIB3"
7013 },
7014 {
7015 "chips": ["gfx10"],
7016 "map": {"at": 167308, "to": "mm"},
7017 "name": "CB_COLOR5_BASE",
7018 "type_ref": "CB_COLOR0_BASE"
7019 },
7020 {
7021 "chips": ["gfx10"],
7022 "map": {"at": 167508, "to": "mm"},
7023 "name": "CB_COLOR5_BASE_EXT",
7024 "type_ref": "CB_COLOR0_BASE_EXT"
7025 },
7026 {
7027 "chips": ["gfx10"],
7028 "map": {"at": 167352, "to": "mm"},
7029 "name": "CB_COLOR5_CLEAR_WORD0",
7030 "type_ref": "CB_COLOR0_CLEAR_WORD0"
7031 },
7032 {
7033 "chips": ["gfx10"],
7034 "map": {"at": 167356, "to": "mm"},
7035 "name": "CB_COLOR5_CLEAR_WORD1",
7036 "type_ref": "CB_COLOR0_CLEAR_WORD1"
7037 },
7038 {
7039 "chips": ["gfx10"],
7040 "map": {"at": 167336, "to": "mm"},
7041 "name": "CB_COLOR5_CMASK",
7042 "type_ref": "CB_COLOR0_BASE"
7043 },
7044 {
7045 "chips": ["gfx10"],
7046 "map": {"at": 167540, "to": "mm"},
7047 "name": "CB_COLOR5_CMASK_BASE_EXT",
7048 "type_ref": "CB_COLOR0_BASE_EXT"
7049 },
7050 {
7051 "chips": ["gfx10"],
7052 "map": {"at": 167360, "to": "mm"},
7053 "name": "CB_COLOR5_DCC_BASE",
7054 "type_ref": "CB_COLOR0_BASE"
7055 },
7056 {
7057 "chips": ["gfx10"],
7058 "map": {"at": 167604, "to": "mm"},
7059 "name": "CB_COLOR5_DCC_BASE_EXT",
7060 "type_ref": "CB_COLOR0_BASE_EXT"
7061 },
7062 {
7063 "chips": ["gfx10"],
7064 "map": {"at": 167332, "to": "mm"},
7065 "name": "CB_COLOR5_DCC_CONTROL",
7066 "type_ref": "CB_COLOR0_DCC_CONTROL"
7067 },
7068 {
7069 "chips": ["gfx10"],
7070 "map": {"at": 167344, "to": "mm"},
7071 "name": "CB_COLOR5_FMASK",
7072 "type_ref": "CB_COLOR0_BASE"
7073 },
7074 {
7075 "chips": ["gfx10"],
7076 "map": {"at": 167572, "to": "mm"},
7077 "name": "CB_COLOR5_FMASK_BASE_EXT",
7078 "type_ref": "CB_COLOR0_BASE_EXT"
7079 },
7080 {
7081 "chips": ["gfx10"],
7082 "map": {"at": 167324, "to": "mm"},
7083 "name": "CB_COLOR5_INFO",
7084 "type_ref": "CB_COLOR0_INFO"
7085 },
7086 {
7087 "chips": ["gfx10"],
7088 "map": {"at": 167320, "to": "mm"},
7089 "name": "CB_COLOR5_VIEW",
7090 "type_ref": "CB_COLOR0_VIEW"
7091 },
7092 {
7093 "chips": ["gfx10"],
7094 "map": {"at": 167388, "to": "mm"},
7095 "name": "CB_COLOR6_ATTRIB",
7096 "type_ref": "CB_COLOR0_ATTRIB"
7097 },
7098 {
7099 "chips": ["gfx10"],
7100 "map": {"at": 167640, "to": "mm"},
7101 "name": "CB_COLOR6_ATTRIB2",
7102 "type_ref": "CB_COLOR0_ATTRIB2"
7103 },
7104 {
7105 "chips": ["gfx10"],
7106 "map": {"at": 167672, "to": "mm"},
7107 "name": "CB_COLOR6_ATTRIB3",
7108 "type_ref": "CB_COLOR0_ATTRIB3"
7109 },
7110 {
7111 "chips": ["gfx10"],
7112 "map": {"at": 167368, "to": "mm"},
7113 "name": "CB_COLOR6_BASE",
7114 "type_ref": "CB_COLOR0_BASE"
7115 },
7116 {
7117 "chips": ["gfx10"],
7118 "map": {"at": 167512, "to": "mm"},
7119 "name": "CB_COLOR6_BASE_EXT",
7120 "type_ref": "CB_COLOR0_BASE_EXT"
7121 },
7122 {
7123 "chips": ["gfx10"],
7124 "map": {"at": 167412, "to": "mm"},
7125 "name": "CB_COLOR6_CLEAR_WORD0",
7126 "type_ref": "CB_COLOR0_CLEAR_WORD0"
7127 },
7128 {
7129 "chips": ["gfx10"],
7130 "map": {"at": 167416, "to": "mm"},
7131 "name": "CB_COLOR6_CLEAR_WORD1",
7132 "type_ref": "CB_COLOR0_CLEAR_WORD1"
7133 },
7134 {
7135 "chips": ["gfx10"],
7136 "map": {"at": 167396, "to": "mm"},
7137 "name": "CB_COLOR6_CMASK",
7138 "type_ref": "CB_COLOR0_BASE"
7139 },
7140 {
7141 "chips": ["gfx10"],
7142 "map": {"at": 167544, "to": "mm"},
7143 "name": "CB_COLOR6_CMASK_BASE_EXT",
7144 "type_ref": "CB_COLOR0_BASE_EXT"
7145 },
7146 {
7147 "chips": ["gfx10"],
7148 "map": {"at": 167420, "to": "mm"},
7149 "name": "CB_COLOR6_DCC_BASE",
7150 "type_ref": "CB_COLOR0_BASE"
7151 },
7152 {
7153 "chips": ["gfx10"],
7154 "map": {"at": 167608, "to": "mm"},
7155 "name": "CB_COLOR6_DCC_BASE_EXT",
7156 "type_ref": "CB_COLOR0_BASE_EXT"
7157 },
7158 {
7159 "chips": ["gfx10"],
7160 "map": {"at": 167392, "to": "mm"},
7161 "name": "CB_COLOR6_DCC_CONTROL",
7162 "type_ref": "CB_COLOR0_DCC_CONTROL"
7163 },
7164 {
7165 "chips": ["gfx10"],
7166 "map": {"at": 167404, "to": "mm"},
7167 "name": "CB_COLOR6_FMASK",
7168 "type_ref": "CB_COLOR0_BASE"
7169 },
7170 {
7171 "chips": ["gfx10"],
7172 "map": {"at": 167576, "to": "mm"},
7173 "name": "CB_COLOR6_FMASK_BASE_EXT",
7174 "type_ref": "CB_COLOR0_BASE_EXT"
7175 },
7176 {
7177 "chips": ["gfx10"],
7178 "map": {"at": 167384, "to": "mm"},
7179 "name": "CB_COLOR6_INFO",
7180 "type_ref": "CB_COLOR0_INFO"
7181 },
7182 {
7183 "chips": ["gfx10"],
7184 "map": {"at": 167380, "to": "mm"},
7185 "name": "CB_COLOR6_VIEW",
7186 "type_ref": "CB_COLOR0_VIEW"
7187 },
7188 {
7189 "chips": ["gfx10"],
7190 "map": {"at": 167448, "to": "mm"},
7191 "name": "CB_COLOR7_ATTRIB",
7192 "type_ref": "CB_COLOR0_ATTRIB"
7193 },
7194 {
7195 "chips": ["gfx10"],
7196 "map": {"at": 167644, "to": "mm"},
7197 "name": "CB_COLOR7_ATTRIB2",
7198 "type_ref": "CB_COLOR0_ATTRIB2"
7199 },
7200 {
7201 "chips": ["gfx10"],
7202 "map": {"at": 167676, "to": "mm"},
7203 "name": "CB_COLOR7_ATTRIB3",
7204 "type_ref": "CB_COLOR0_ATTRIB3"
7205 },
7206 {
7207 "chips": ["gfx10"],
7208 "map": {"at": 167428, "to": "mm"},
7209 "name": "CB_COLOR7_BASE",
7210 "type_ref": "CB_COLOR0_BASE"
7211 },
7212 {
7213 "chips": ["gfx10"],
7214 "map": {"at": 167516, "to": "mm"},
7215 "name": "CB_COLOR7_BASE_EXT",
7216 "type_ref": "CB_COLOR0_BASE_EXT"
7217 },
7218 {
7219 "chips": ["gfx10"],
7220 "map": {"at": 167472, "to": "mm"},
7221 "name": "CB_COLOR7_CLEAR_WORD0",
7222 "type_ref": "CB_COLOR0_CLEAR_WORD0"
7223 },
7224 {
7225 "chips": ["gfx10"],
7226 "map": {"at": 167476, "to": "mm"},
7227 "name": "CB_COLOR7_CLEAR_WORD1",
7228 "type_ref": "CB_COLOR0_CLEAR_WORD1"
7229 },
7230 {
7231 "chips": ["gfx10"],
7232 "map": {"at": 167456, "to": "mm"},
7233 "name": "CB_COLOR7_CMASK",
7234 "type_ref": "CB_COLOR0_BASE"
7235 },
7236 {
7237 "chips": ["gfx10"],
7238 "map": {"at": 167548, "to": "mm"},
7239 "name": "CB_COLOR7_CMASK_BASE_EXT",
7240 "type_ref": "CB_COLOR0_BASE_EXT"
7241 },
7242 {
7243 "chips": ["gfx10"],
7244 "map": {"at": 167480, "to": "mm"},
7245 "name": "CB_COLOR7_DCC_BASE",
7246 "type_ref": "CB_COLOR0_BASE"
7247 },
7248 {
7249 "chips": ["gfx10"],
7250 "map": {"at": 167612, "to": "mm"},
7251 "name": "CB_COLOR7_DCC_BASE_EXT",
7252 "type_ref": "CB_COLOR0_BASE_EXT"
7253 },
7254 {
7255 "chips": ["gfx10"],
7256 "map": {"at": 167452, "to": "mm"},
7257 "name": "CB_COLOR7_DCC_CONTROL",
7258 "type_ref": "CB_COLOR0_DCC_CONTROL"
7259 },
7260 {
7261 "chips": ["gfx10"],
7262 "map": {"at": 167464, "to": "mm"},
7263 "name": "CB_COLOR7_FMASK",
7264 "type_ref": "CB_COLOR0_BASE"
7265 },
7266 {
7267 "chips": ["gfx10"],
7268 "map": {"at": 167580, "to": "mm"},
7269 "name": "CB_COLOR7_FMASK_BASE_EXT",
7270 "type_ref": "CB_COLOR0_BASE_EXT"
7271 },
7272 {
7273 "chips": ["gfx10"],
7274 "map": {"at": 167444, "to": "mm"},
7275 "name": "CB_COLOR7_INFO",
7276 "type_ref": "CB_COLOR0_INFO"
7277 },
7278 {
7279 "chips": ["gfx10"],
7280 "map": {"at": 167440, "to": "mm"},
7281 "name": "CB_COLOR7_VIEW",
7282 "type_ref": "CB_COLOR0_VIEW"
7283 },
7284 {
7285 "chips": ["gfx10"],
7286 "map": {"at": 165896, "to": "mm"},
7287 "name": "CB_COLOR_CONTROL",
7288 "type_ref": "CB_COLOR_CONTROL"
7289 },
7290 {
7291 "chips": ["gfx10"],
7292 "map": {"at": 164904, "to": "mm"},
7293 "name": "CB_COVERAGE_OUT_CONTROL",
7294 "type_ref": "CB_COVERAGE_OUT_CONTROL"
7295 },
7296 {
7297 "chips": ["gfx10"],
7298 "map": {"at": 164900, "to": "mm"},
7299 "name": "CB_DCC_CONTROL",
7300 "type_ref": "CB_DCC_CONTROL"
7301 },
7302 {
7303 "chips": ["gfx10"],
7304 "map": {"at": 217116, "to": "mm"},
7305 "name": "CB_PERFCOUNTER0_HI",
7306 "type_ref": "CB_PERFCOUNTER0_HI"
7307 },
7308 {
7309 "chips": ["gfx10"],
7310 "map": {"at": 217112, "to": "mm"},
7311 "name": "CB_PERFCOUNTER0_LO",
7312 "type_ref": "CB_PERFCOUNTER0_LO"
7313 },
7314 {
7315 "chips": ["gfx10"],
7316 "map": {"at": 225284, "to": "mm"},
7317 "name": "CB_PERFCOUNTER0_SELECT",
7318 "type_ref": "CB_PERFCOUNTER0_SELECT"
7319 },
7320 {
7321 "chips": ["gfx10"],
7322 "map": {"at": 225288, "to": "mm"},
7323 "name": "CB_PERFCOUNTER0_SELECT1",
7324 "type_ref": "CB_PERFCOUNTER0_SELECT1"
7325 },
7326 {
7327 "chips": ["gfx10"],
7328 "map": {"at": 217124, "to": "mm"},
7329 "name": "CB_PERFCOUNTER1_HI",
7330 "type_ref": "CB_PERFCOUNTER0_HI"
7331 },
7332 {
7333 "chips": ["gfx10"],
7334 "map": {"at": 217120, "to": "mm"},
7335 "name": "CB_PERFCOUNTER1_LO",
7336 "type_ref": "CB_PERFCOUNTER0_LO"
7337 },
7338 {
7339 "chips": ["gfx10"],
7340 "map": {"at": 225292, "to": "mm"},
7341 "name": "CB_PERFCOUNTER1_SELECT",
7342 "type_ref": "CB_PERFCOUNTER1_SELECT"
7343 },
7344 {
7345 "chips": ["gfx10"],
7346 "map": {"at": 217132, "to": "mm"},
7347 "name": "CB_PERFCOUNTER2_HI",
7348 "type_ref": "CB_PERFCOUNTER0_HI"
7349 },
7350 {
7351 "chips": ["gfx10"],
7352 "map": {"at": 217128, "to": "mm"},
7353 "name": "CB_PERFCOUNTER2_LO",
7354 "type_ref": "CB_PERFCOUNTER0_LO"
7355 },
7356 {
7357 "chips": ["gfx10"],
7358 "map": {"at": 225296, "to": "mm"},
7359 "name": "CB_PERFCOUNTER2_SELECT",
7360 "type_ref": "CB_PERFCOUNTER1_SELECT"
7361 },
7362 {
7363 "chips": ["gfx10"],
7364 "map": {"at": 217140, "to": "mm"},
7365 "name": "CB_PERFCOUNTER3_HI",
7366 "type_ref": "CB_PERFCOUNTER0_HI"
7367 },
7368 {
7369 "chips": ["gfx10"],
7370 "map": {"at": 217136, "to": "mm"},
7371 "name": "CB_PERFCOUNTER3_LO",
7372 "type_ref": "CB_PERFCOUNTER0_LO"
7373 },
7374 {
7375 "chips": ["gfx10"],
7376 "map": {"at": 225300, "to": "mm"},
7377 "name": "CB_PERFCOUNTER3_SELECT",
7378 "type_ref": "CB_PERFCOUNTER1_SELECT"
7379 },
7380 {
7381 "chips": ["gfx10"],
7382 "map": {"at": 225280, "to": "mm"},
7383 "name": "CB_PERFCOUNTER_FILTER",
7384 "type_ref": "CB_PERFCOUNTER_FILTER"
7385 },
7386 {
7387 "chips": ["gfx10"],
7388 "map": {"at": 164880, "to": "mm"},
7389 "name": "CB_RMI_GL2_CACHE_CONTROL",
7390 "type_ref": "CB_RMI_GL2_CACHE_CONTROL"
7391 },
7392 {
7393 "chips": ["gfx10"],
7394 "map": {"at": 164412, "to": "mm"},
7395 "name": "CB_SHADER_MASK",
7396 "type_ref": "CB_SHADER_MASK"
7397 },
7398 {
7399 "chips": ["gfx10"],
7400 "map": {"at": 164408, "to": "mm"},
7401 "name": "CB_TARGET_MASK",
7402 "type_ref": "CB_TARGET_MASK"
7403 },
7404 {
7405 "chips": ["gfx10"],
7406 "map": {"at": 219140, "to": "mm"},
7407 "name": "CHA_PERFCOUNTER0_HI",
7408 "type_ref": "CB_PERFCOUNTER0_HI"
7409 },
7410 {
7411 "chips": ["gfx10"],
7412 "map": {"at": 219136, "to": "mm"},
7413 "name": "CHA_PERFCOUNTER0_LO",
7414 "type_ref": "CB_PERFCOUNTER0_LO"
7415 },
7416 {
7417 "chips": ["gfx10"],
7418 "map": {"at": 227200, "to": "mm"},
7419 "name": "CHA_PERFCOUNTER0_SELECT",
7420 "type_ref": "CHA_PERFCOUNTER0_SELECT"
7421 },
7422 {
7423 "chips": ["gfx10"],
7424 "map": {"at": 227204, "to": "mm"},
7425 "name": "CHA_PERFCOUNTER0_SELECT1",
7426 "type_ref": "CHA_PERFCOUNTER0_SELECT1"
7427 },
7428 {
7429 "chips": ["gfx10"],
7430 "map": {"at": 219148, "to": "mm"},
7431 "name": "CHA_PERFCOUNTER1_HI",
7432 "type_ref": "CB_PERFCOUNTER0_HI"
7433 },
7434 {
7435 "chips": ["gfx10"],
7436 "map": {"at": 219144, "to": "mm"},
7437 "name": "CHA_PERFCOUNTER1_LO",
7438 "type_ref": "CB_PERFCOUNTER0_LO"
7439 },
7440 {
7441 "chips": ["gfx10"],
7442 "map": {"at": 227208, "to": "mm"},
7443 "name": "CHA_PERFCOUNTER1_SELECT",
7444 "type_ref": "CHA_PERFCOUNTER1_SELECT"
7445 },
7446 {
7447 "chips": ["gfx10"],
7448 "map": {"at": 219156, "to": "mm"},
7449 "name": "CHA_PERFCOUNTER2_HI",
7450 "type_ref": "CB_PERFCOUNTER0_HI"
7451 },
7452 {
7453 "chips": ["gfx10"],
7454 "map": {"at": 219152, "to": "mm"},
7455 "name": "CHA_PERFCOUNTER2_LO",
7456 "type_ref": "CB_PERFCOUNTER0_LO"
7457 },
7458 {
7459 "chips": ["gfx10"],
7460 "map": {"at": 227212, "to": "mm"},
7461 "name": "CHA_PERFCOUNTER2_SELECT",
7462 "type_ref": "CHA_PERFCOUNTER1_SELECT"
7463 },
7464 {
7465 "chips": ["gfx10"],
7466 "map": {"at": 219164, "to": "mm"},
7467 "name": "CHA_PERFCOUNTER3_HI",
7468 "type_ref": "CB_PERFCOUNTER0_HI"
7469 },
7470 {
7471 "chips": ["gfx10"],
7472 "map": {"at": 219160, "to": "mm"},
7473 "name": "CHA_PERFCOUNTER3_LO",
7474 "type_ref": "CB_PERFCOUNTER0_LO"
7475 },
7476 {
7477 "chips": ["gfx10"],
7478 "map": {"at": 227216, "to": "mm"},
7479 "name": "CHA_PERFCOUNTER3_SELECT",
7480 "type_ref": "CHA_PERFCOUNTER1_SELECT"
7481 },
7482 {
7483 "chips": ["gfx10"],
7484 "map": {"at": 216868, "to": "mm"},
7485 "name": "CHCG_PERFCOUNTER0_HI",
7486 "type_ref": "CB_PERFCOUNTER0_HI"
7487 },
7488 {
7489 "chips": ["gfx10"],
7490 "map": {"at": 216864, "to": "mm"},
7491 "name": "CHCG_PERFCOUNTER0_LO",
7492 "type_ref": "CB_PERFCOUNTER0_LO"
7493 },
7494 {
7495 "chips": ["gfx10"],
7496 "map": {"at": 225048, "to": "mm"},
7497 "name": "CHCG_PERFCOUNTER0_SELECT",
7498 "type_ref": "CHCG_PERFCOUNTER0_SELECT"
7499 },
7500 {
7501 "chips": ["gfx10"],
7502 "map": {"at": 225052, "to": "mm"},
7503 "name": "CHCG_PERFCOUNTER0_SELECT1",
7504 "type_ref": "CHCG_PERFCOUNTER0_SELECT1"
7505 },
7506 {
7507 "chips": ["gfx10"],
7508 "map": {"at": 216876, "to": "mm"},
7509 "name": "CHCG_PERFCOUNTER1_HI",
7510 "type_ref": "CB_PERFCOUNTER0_HI"
7511 },
7512 {
7513 "chips": ["gfx10"],
7514 "map": {"at": 216872, "to": "mm"},
7515 "name": "CHCG_PERFCOUNTER1_LO",
7516 "type_ref": "CB_PERFCOUNTER0_LO"
7517 },
7518 {
7519 "chips": ["gfx10"],
7520 "map": {"at": 225056, "to": "mm"},
7521 "name": "CHCG_PERFCOUNTER1_SELECT",
7522 "type_ref": "CHCG_PERFCOUNTER1_SELECT"
7523 },
7524 {
7525 "chips": ["gfx10"],
7526 "map": {"at": 216884, "to": "mm"},
7527 "name": "CHCG_PERFCOUNTER2_HI",
7528 "type_ref": "CB_PERFCOUNTER0_HI"
7529 },
7530 {
7531 "chips": ["gfx10"],
7532 "map": {"at": 216880, "to": "mm"},
7533 "name": "CHCG_PERFCOUNTER2_LO",
7534 "type_ref": "CB_PERFCOUNTER0_LO"
7535 },
7536 {
7537 "chips": ["gfx10"],
7538 "map": {"at": 225060, "to": "mm"},
7539 "name": "CHCG_PERFCOUNTER2_SELECT",
7540 "type_ref": "CHCG_PERFCOUNTER1_SELECT"
7541 },
7542 {
7543 "chips": ["gfx10"],
7544 "map": {"at": 216892, "to": "mm"},
7545 "name": "CHCG_PERFCOUNTER3_HI",
7546 "type_ref": "CB_PERFCOUNTER0_HI"
7547 },
7548 {
7549 "chips": ["gfx10"],
7550 "map": {"at": 216888, "to": "mm"},
7551 "name": "CHCG_PERFCOUNTER3_LO",
7552 "type_ref": "CB_PERFCOUNTER0_LO"
7553 },
7554 {
7555 "chips": ["gfx10"],
7556 "map": {"at": 225064, "to": "mm"},
7557 "name": "CHCG_PERFCOUNTER3_SELECT",
7558 "type_ref": "CHCG_PERFCOUNTER1_SELECT"
7559 },
7560 {
7561 "chips": ["gfx10"],
7562 "map": {"at": 216836, "to": "mm"},
7563 "name": "CHC_PERFCOUNTER0_HI",
7564 "type_ref": "CB_PERFCOUNTER0_HI"
7565 },
7566 {
7567 "chips": ["gfx10"],
7568 "map": {"at": 216832, "to": "mm"},
7569 "name": "CHC_PERFCOUNTER0_LO",
7570 "type_ref": "CB_PERFCOUNTER0_LO"
7571 },
7572 {
7573 "chips": ["gfx10"],
7574 "map": {"at": 225024, "to": "mm"},
7575 "name": "CHC_PERFCOUNTER0_SELECT",
7576 "type_ref": "CHC_PERFCOUNTER0_SELECT"
7577 },
7578 {
7579 "chips": ["gfx10"],
7580 "map": {"at": 225028, "to": "mm"},
7581 "name": "CHC_PERFCOUNTER0_SELECT1",
7582 "type_ref": "CHC_PERFCOUNTER0_SELECT1"
7583 },
7584 {
7585 "chips": ["gfx10"],
7586 "map": {"at": 216844, "to": "mm"},
7587 "name": "CHC_PERFCOUNTER1_HI",
7588 "type_ref": "CB_PERFCOUNTER0_HI"
7589 },
7590 {
7591 "chips": ["gfx10"],
7592 "map": {"at": 216840, "to": "mm"},
7593 "name": "CHC_PERFCOUNTER1_LO",
7594 "type_ref": "CB_PERFCOUNTER0_LO"
7595 },
7596 {
7597 "chips": ["gfx10"],
7598 "map": {"at": 225032, "to": "mm"},
7599 "name": "CHC_PERFCOUNTER1_SELECT",
7600 "type_ref": "CHC_PERFCOUNTER1_SELECT"
7601 },
7602 {
7603 "chips": ["gfx10"],
7604 "map": {"at": 216852, "to": "mm"},
7605 "name": "CHC_PERFCOUNTER2_HI",
7606 "type_ref": "CB_PERFCOUNTER0_HI"
7607 },
7608 {
7609 "chips": ["gfx10"],
7610 "map": {"at": 216848, "to": "mm"},
7611 "name": "CHC_PERFCOUNTER2_LO",
7612 "type_ref": "CB_PERFCOUNTER0_LO"
7613 },
7614 {
7615 "chips": ["gfx10"],
7616 "map": {"at": 225036, "to": "mm"},
7617 "name": "CHC_PERFCOUNTER2_SELECT",
7618 "type_ref": "CHC_PERFCOUNTER1_SELECT"
7619 },
7620 {
7621 "chips": ["gfx10"],
7622 "map": {"at": 216860, "to": "mm"},
7623 "name": "CHC_PERFCOUNTER3_HI",
7624 "type_ref": "CB_PERFCOUNTER0_HI"
7625 },
7626 {
7627 "chips": ["gfx10"],
7628 "map": {"at": 216856, "to": "mm"},
7629 "name": "CHC_PERFCOUNTER3_LO",
7630 "type_ref": "CB_PERFCOUNTER0_LO"
7631 },
7632 {
7633 "chips": ["gfx10"],
7634 "map": {"at": 225040, "to": "mm"},
7635 "name": "CHC_PERFCOUNTER3_SELECT",
7636 "type_ref": "CHC_PERFCOUNTER1_SELECT"
7637 },
7638 {
7639 "chips": ["gfx10"],
7640 "map": {"at": 164424, "to": "mm"},
7641 "name": "COHER_DEST_BASE_0",
7642 "type_ref": "COHER_DEST_BASE_0"
7643 },
7644 {
7645 "chips": ["gfx10"],
7646 "map": {"at": 164428, "to": "mm"},
7647 "name": "COHER_DEST_BASE_1",
7648 "type_ref": "COHER_DEST_BASE_0"
7649 },
7650 {
7651 "chips": ["gfx10"],
7652 "map": {"at": 164344, "to": "mm"},
7653 "name": "COHER_DEST_BASE_2",
7654 "type_ref": "COHER_DEST_BASE_0"
7655 },
7656 {
7657 "chips": ["gfx10"],
7658 "map": {"at": 164348, "to": "mm"},
7659 "name": "COHER_DEST_BASE_3",
7660 "type_ref": "COHER_DEST_BASE_0"
7661 },
7662 {
7663 "chips": ["gfx10"],
7664 "map": {"at": 164328, "to": "mm"},
7665 "name": "COHER_DEST_BASE_HI_0",
7666 "type_ref": "COHER_DEST_BASE_HI_0"
7667 },
7668 {
7669 "chips": ["gfx10"],
7670 "map": {"at": 164332, "to": "mm"},
7671 "name": "COHER_DEST_BASE_HI_1",
7672 "type_ref": "COHER_DEST_BASE_HI_0"
7673 },
7674 {
7675 "chips": ["gfx10"],
7676 "map": {"at": 164336, "to": "mm"},
7677 "name": "COHER_DEST_BASE_HI_2",
7678 "type_ref": "COHER_DEST_BASE_HI_0"
7679 },
7680 {
7681 "chips": ["gfx10"],
7682 "map": {"at": 164340, "to": "mm"},
7683 "name": "COHER_DEST_BASE_HI_3",
7684 "type_ref": "COHER_DEST_BASE_HI_0"
7685 },
7686 {
7687 "chips": ["gfx10"],
7688 "map": {"at": 47268, "to": "mm"},
7689 "name": "COMPUTE_DDID_INDEX",
7690 "type_ref": "COMPUTE_DDID_INDEX"
7691 },
7692 {
7693 "chips": ["gfx10"],
7694 "map": {"at": 47192, "overlap": true, "to": "mm"},
7695 "name": "COMPUTE_DESTINATION_EN_SE0",
7696 "type_ref": "COMPUTE_DESTINATION_EN_SE0"
7697 },
7698 {
7699 "chips": ["gfx10"],
7700 "map": {"at": 47196, "overlap": true, "to": "mm"},
7701 "name": "COMPUTE_DESTINATION_EN_SE1",
7702 "type_ref": "COMPUTE_DESTINATION_EN_SE0"
7703 },
7704 {
7705 "chips": ["gfx10"],
7706 "map": {"at": 47204, "overlap": true, "to": "mm"},
7707 "name": "COMPUTE_DESTINATION_EN_SE2",
7708 "type_ref": "COMPUTE_DESTINATION_EN_SE0"
7709 },
7710 {
7711 "chips": ["gfx10"],
7712 "map": {"at": 47208, "overlap": true, "to": "mm"},
7713 "name": "COMPUTE_DESTINATION_EN_SE3",
7714 "type_ref": "COMPUTE_DESTINATION_EN_SE0"
7715 },
7716 {
7717 "chips": ["gfx10"],
7718 "map": {"at": 47108, "to": "mm"},
7719 "name": "COMPUTE_DIM_X",
7720 "type_ref": "COMPUTE_DIM_X"
7721 },
7722 {
7723 "chips": ["gfx10"],
7724 "map": {"at": 47112, "to": "mm"},
7725 "name": "COMPUTE_DIM_Y",
7726 "type_ref": "COMPUTE_DIM_X"
7727 },
7728 {
7729 "chips": ["gfx10"],
7730 "map": {"at": 47116, "to": "mm"},
7731 "name": "COMPUTE_DIM_Z",
7732 "type_ref": "COMPUTE_DIM_X"
7733 },
7734 {
7735 "chips": ["gfx10"],
7736 "map": {"at": 47608, "to": "mm"},
7737 "name": "COMPUTE_DISPATCH_END",
7738 "type_ref": "COMPUTE_PGM_LO"
7739 },
7740 {
7741 "chips": ["gfx10"],
7742 "map": {"at": 47232, "to": "mm"},
7743 "name": "COMPUTE_DISPATCH_ID",
7744 "type_ref": "COMPUTE_DISPATCH_ID"
7745 },
7746 {
7747 "chips": ["gfx10"],
7748 "map": {"at": 47104, "to": "mm"},
7749 "name": "COMPUTE_DISPATCH_INITIATOR",
7750 "type_ref": "COMPUTE_DISPATCH_INITIATOR"
7751 },
7752 {
7753 "chips": ["gfx10"],
7754 "map": {"at": 47164, "to": "mm"},
7755 "name": "COMPUTE_DISPATCH_PKT_ADDR_HI",
7756 "type_ref": "COMPUTE_PGM_HI"
7757 },
7758 {
7759 "chips": ["gfx10"],
7760 "map": {"at": 47160, "to": "mm"},
7761 "name": "COMPUTE_DISPATCH_PKT_ADDR_LO",
7762 "type_ref": "COMPUTE_PGM_LO"
7763 },
7764 {
7765 "chips": ["gfx10"],
7766 "map": {"at": 47172, "to": "mm"},
7767 "name": "COMPUTE_DISPATCH_SCRATCH_BASE_HI",
7768 "type_ref": "COMPUTE_PGM_HI"
7769 },
7770 {
7771 "chips": ["gfx10"],
7772 "map": {"at": 47168, "to": "mm"},
7773 "name": "COMPUTE_DISPATCH_SCRATCH_BASE_LO",
7774 "type_ref": "COMPUTE_PGM_LO"
7775 },
7776 {
7777 "chips": ["gfx10"],
7778 "map": {"at": 47604, "to": "mm"},
7779 "name": "COMPUTE_DISPATCH_TUNNEL",
7780 "type_ref": "COMPUTE_DISPATCH_TUNNEL"
7781 },
7782 {
7783 "chips": ["gfx10"],
7784 "map": {"at": 47228, "to": "mm"},
7785 "name": "COMPUTE_MISC_RESERVED",
7786 "type_ref": "COMPUTE_MISC_RESERVED"
7787 },
7788 {
7789 "chips": ["gfx10"],
7790 "map": {"at": 47612, "to": "mm"},
7791 "name": "COMPUTE_NOWHERE",
7792 "type_ref": "COMPUTE_PGM_LO"
7793 },
7794 {
7795 "chips": ["gfx10"],
7796 "map": {"at": 47132, "to": "mm"},
7797 "name": "COMPUTE_NUM_THREAD_X",
7798 "type_ref": "COMPUTE_NUM_THREAD_X"
7799 },
7800 {
7801 "chips": ["gfx10"],
7802 "map": {"at": 47136, "to": "mm"},
7803 "name": "COMPUTE_NUM_THREAD_Y",
7804 "type_ref": "COMPUTE_NUM_THREAD_X"
7805 },
7806 {
7807 "chips": ["gfx10"],
7808 "map": {"at": 47140, "to": "mm"},
7809 "name": "COMPUTE_NUM_THREAD_Z",
7810 "type_ref": "COMPUTE_NUM_THREAD_X"
7811 },
7812 {
7813 "chips": ["gfx10"],
7814 "map": {"at": 47148, "to": "mm"},
7815 "name": "COMPUTE_PERFCOUNT_ENABLE",
7816 "type_ref": "COMPUTE_PERFCOUNT_ENABLE"
7817 },
7818 {
7819 "chips": ["gfx10"],
7820 "map": {"at": 47156, "to": "mm"},
7821 "name": "COMPUTE_PGM_HI",
7822 "type_ref": "COMPUTE_PGM_HI"
7823 },
7824 {
7825 "chips": ["gfx10"],
7826 "map": {"at": 47152, "to": "mm"},
7827 "name": "COMPUTE_PGM_LO",
7828 "type_ref": "COMPUTE_PGM_LO"
7829 },
7830 {
7831 "chips": ["gfx10"],
7832 "map": {"at": 47176, "to": "mm"},
7833 "name": "COMPUTE_PGM_RSRC1",
7834 "type_ref": "COMPUTE_PGM_RSRC1"
7835 },
7836 {
7837 "chips": ["gfx10"],
7838 "map": {"at": 47180, "to": "mm"},
7839 "name": "COMPUTE_PGM_RSRC2",
7840 "type_ref": "COMPUTE_PGM_RSRC2"
7841 },
7842 {
7843 "chips": ["gfx10"],
7844 "map": {"at": 47264, "to": "mm"},
7845 "name": "COMPUTE_PGM_RSRC3",
7846 "type_ref": "COMPUTE_PGM_RSRC3"
7847 },
7848 {
7849 "chips": ["gfx10"],
7850 "map": {"at": 47144, "to": "mm"},
7851 "name": "COMPUTE_PIPELINESTAT_ENABLE",
7852 "type_ref": "COMPUTE_PIPELINESTAT_ENABLE"
7853 },
7854 {
7855 "chips": ["gfx10"],
7856 "map": {"at": 47248, "to": "mm"},
7857 "name": "COMPUTE_USER_ACCUM_0",
7858 "type_ref": "COMPUTE_USER_ACCUM_0"
7859 },
7860 {
7861 "chips": ["gfx10"],
7862 "map": {"at": 47252, "to": "mm"},
7863 "name": "COMPUTE_USER_ACCUM_1",
7864 "type_ref": "COMPUTE_USER_ACCUM_0"
7865 },
7866 {
7867 "chips": ["gfx10"],
7868 "map": {"at": 47256, "to": "mm"},
7869 "name": "COMPUTE_USER_ACCUM_2",
7870 "type_ref": "COMPUTE_USER_ACCUM_0"
7871 },
7872 {
7873 "chips": ["gfx10"],
7874 "map": {"at": 47260, "to": "mm"},
7875 "name": "COMPUTE_USER_ACCUM_3",
7876 "type_ref": "COMPUTE_USER_ACCUM_0"
7877 },
7878 {
7879 "chips": ["gfx10"],
7880 "map": {"at": 47244, "to": "mm"},
7881 "name": "COMPUTE_PREF_PRI_CNTR_CTRL",
7882 "type_ref": "COMPUTE_PREF_PRI_CNTR_CTRL"
7883 },
7884 {
7885 "chips": ["gfx10"],
7886 "map": {"at": 47276, "to": "mm"},
7887 "name": "COMPUTE_RELAUNCH",
7888 "type_ref": "COMPUTE_RELAUNCH"
7889 },
7890 {
7891 "chips": ["gfx10"],
7892 "map": {"at": 47240, "to": "mm"},
7893 "name": "COMPUTE_REQ_CTRL",
7894 "type_ref": "COMPUTE_REQ_CTRL"
7895 },
7896 {
7897 "chips": ["gfx10"],
7898 "map": {"at": 47188, "to": "mm"},
7899 "name": "COMPUTE_RESOURCE_LIMITS",
7900 "type_ref": "COMPUTE_RESOURCE_LIMITS"
7901 },
7902 {
7903 "chips": ["gfx10"],
7904 "map": {"at": 47212, "to": "mm"},
7905 "name": "COMPUTE_RESTART_X",
7906 "type_ref": "COMPUTE_RESTART_X"
7907 },
7908 {
7909 "chips": ["gfx10"],
7910 "map": {"at": 47216, "to": "mm"},
7911 "name": "COMPUTE_RESTART_Y",
7912 "type_ref": "COMPUTE_RESTART_X"
7913 },
7914 {
7915 "chips": ["gfx10"],
7916 "map": {"at": 47220, "to": "mm"},
7917 "name": "COMPUTE_RESTART_Z",
7918 "type_ref": "COMPUTE_RESTART_X"
7919 },
7920 {
7921 "chips": ["gfx10"],
7922 "map": {"at": 47272, "to": "mm"},
7923 "name": "COMPUTE_SHADER_CHKSUM",
7924 "type_ref": "COMPUTE_SHADER_CHKSUM"
7925 },
7926 {
7927 "chips": ["gfx10"],
7928 "map": {"at": 47120, "to": "mm"},
7929 "name": "COMPUTE_START_X",
7930 "type_ref": "COMPUTE_START_X"
7931 },
7932 {
7933 "chips": ["gfx10"],
7934 "map": {"at": 47124, "to": "mm"},
7935 "name": "COMPUTE_START_Y",
7936 "type_ref": "COMPUTE_START_X"
7937 },
7938 {
7939 "chips": ["gfx10"],
7940 "map": {"at": 47128, "to": "mm"},
7941 "name": "COMPUTE_START_Z",
7942 "type_ref": "COMPUTE_START_X"
7943 },
7944 {
7945 "chips": ["gfx10"],
7946 "map": {"at": 47236, "to": "mm"},
7947 "name": "COMPUTE_THREADGROUP_ID",
7948 "type_ref": "COMPUTE_THREADGROUP_ID"
7949 },
7950 {
7951 "chips": ["gfx10"],
7952 "map": {"at": 47224, "to": "mm"},
7953 "name": "COMPUTE_THREAD_TRACE_ENABLE",
7954 "type_ref": "COMPUTE_THREAD_TRACE_ENABLE"
7955 },
7956 {
7957 "chips": ["gfx10"],
7958 "map": {"at": 47200, "to": "mm"},
7959 "name": "COMPUTE_TMPRING_SIZE",
7960 "type_ref": "COMPUTE_TMPRING_SIZE"
7961 },
7962 {
7963 "chips": ["gfx10"],
7964 "map": {"at": 47360, "to": "mm"},
7965 "name": "COMPUTE_USER_DATA_0",
7966 "type_ref": "COMPUTE_PGM_LO"
7967 },
7968 {
7969 "chips": ["gfx10"],
7970 "map": {"at": 47364, "to": "mm"},
7971 "name": "COMPUTE_USER_DATA_1",
7972 "type_ref": "COMPUTE_PGM_LO"
7973 },
7974 {
7975 "chips": ["gfx10"],
7976 "map": {"at": 47400, "to": "mm"},
7977 "name": "COMPUTE_USER_DATA_10",
7978 "type_ref": "COMPUTE_PGM_LO"
7979 },
7980 {
7981 "chips": ["gfx10"],
7982 "map": {"at": 47404, "to": "mm"},
7983 "name": "COMPUTE_USER_DATA_11",
7984 "type_ref": "COMPUTE_PGM_LO"
7985 },
7986 {
7987 "chips": ["gfx10"],
7988 "map": {"at": 47408, "to": "mm"},
7989 "name": "COMPUTE_USER_DATA_12",
7990 "type_ref": "COMPUTE_PGM_LO"
7991 },
7992 {
7993 "chips": ["gfx10"],
7994 "map": {"at": 47412, "to": "mm"},
7995 "name": "COMPUTE_USER_DATA_13",
7996 "type_ref": "COMPUTE_PGM_LO"
7997 },
7998 {
7999 "chips": ["gfx10"],
8000 "map": {"at": 47416, "to": "mm"},
8001 "name": "COMPUTE_USER_DATA_14",
8002 "type_ref": "COMPUTE_PGM_LO"
8003 },
8004 {
8005 "chips": ["gfx10"],
8006 "map": {"at": 47420, "to": "mm"},
8007 "name": "COMPUTE_USER_DATA_15",
8008 "type_ref": "COMPUTE_PGM_LO"
8009 },
8010 {
8011 "chips": ["gfx10"],
8012 "map": {"at": 47368, "to": "mm"},
8013 "name": "COMPUTE_USER_DATA_2",
8014 "type_ref": "COMPUTE_PGM_LO"
8015 },
8016 {
8017 "chips": ["gfx10"],
8018 "map": {"at": 47372, "to": "mm"},
8019 "name": "COMPUTE_USER_DATA_3",
8020 "type_ref": "COMPUTE_PGM_LO"
8021 },
8022 {
8023 "chips": ["gfx10"],
8024 "map": {"at": 47376, "to": "mm"},
8025 "name": "COMPUTE_USER_DATA_4",
8026 "type_ref": "COMPUTE_PGM_LO"
8027 },
8028 {
8029 "chips": ["gfx10"],
8030 "map": {"at": 47380, "to": "mm"},
8031 "name": "COMPUTE_USER_DATA_5",
8032 "type_ref": "COMPUTE_PGM_LO"
8033 },
8034 {
8035 "chips": ["gfx10"],
8036 "map": {"at": 47384, "to": "mm"},
8037 "name": "COMPUTE_USER_DATA_6",
8038 "type_ref": "COMPUTE_PGM_LO"
8039 },
8040 {
8041 "chips": ["gfx10"],
8042 "map": {"at": 47388, "to": "mm"},
8043 "name": "COMPUTE_USER_DATA_7",
8044 "type_ref": "COMPUTE_PGM_LO"
8045 },
8046 {
8047 "chips": ["gfx10"],
8048 "map": {"at": 47392, "to": "mm"},
8049 "name": "COMPUTE_USER_DATA_8",
8050 "type_ref": "COMPUTE_PGM_LO"
8051 },
8052 {
8053 "chips": ["gfx10"],
8054 "map": {"at": 47396, "to": "mm"},
8055 "name": "COMPUTE_USER_DATA_9",
8056 "type_ref": "COMPUTE_PGM_LO"
8057 },
8058 {
8059 "chips": ["gfx10"],
8060 "map": {"at": 47184, "to": "mm"},
8061 "name": "COMPUTE_VMID",
8062 "type_ref": "COMPUTE_VMID"
8063 },
8064 {
8065 "chips": ["gfx10"],
8066 "map": {"at": 47284, "to": "mm"},
8067 "name": "COMPUTE_WAVE_RESTORE_ADDR_HI",
8068 "type_ref": "COMPUTE_WAVE_RESTORE_ADDR_HI"
8069 },
8070 {
8071 "chips": ["gfx10"],
8072 "map": {"at": 47280, "to": "mm"},
8073 "name": "COMPUTE_WAVE_RESTORE_ADDR_LO",
8074 "type_ref": "COMPUTE_WAVE_RESTORE_ADDR_LO"
8075 },
8076 {
8077 "chips": ["gfx10"],
8078 "map": {"at": 213048, "to": "mm"},
8079 "name": "CPC_LATENCY_STATS_DATA",
8080 "type_ref": "COMPUTE_PGM_LO"
8081 },
8082 {
8083 "chips": ["gfx10"],
8084 "map": {"at": 221240, "to": "mm"},
8085 "name": "CPC_LATENCY_STATS_SELECT",
8086 "type_ref": "CPC_LATENCY_STATS_SELECT"
8087 },
8088 {
8089 "chips": ["gfx10"],
8090 "map": {"at": 213020, "to": "mm"},
8091 "name": "CPC_PERFCOUNTER0_HI",
8092 "type_ref": "CB_PERFCOUNTER0_HI"
8093 },
8094 {
8095 "chips": ["gfx10"],
8096 "map": {"at": 213016, "to": "mm"},
8097 "name": "CPC_PERFCOUNTER0_LO",
8098 "type_ref": "CB_PERFCOUNTER0_LO"
8099 },
8100 {
8101 "chips": ["gfx10"],
8102 "map": {"at": 221220, "to": "mm"},
8103 "name": "CPC_PERFCOUNTER0_SELECT",
8104 "type_ref": "CPC_PERFCOUNTER1_SELECT"
8105 },
8106 {
8107 "chips": ["gfx10"],
8108 "map": {"at": 221200, "to": "mm"},
8109 "name": "CPC_PERFCOUNTER0_SELECT1",
8110 "type_ref": "CPC_PERFCOUNTER0_SELECT1"
8111 },
8112 {
8113 "chips": ["gfx10"],
8114 "map": {"at": 213012, "to": "mm"},
8115 "name": "CPC_PERFCOUNTER1_HI",
8116 "type_ref": "CB_PERFCOUNTER0_HI"
8117 },
8118 {
8119 "chips": ["gfx10"],
8120 "map": {"at": 213008, "to": "mm"},
8121 "name": "CPC_PERFCOUNTER1_LO",
8122 "type_ref": "CB_PERFCOUNTER0_LO"
8123 },
8124 {
8125 "chips": ["gfx10"],
8126 "map": {"at": 221196, "to": "mm"},
8127 "name": "CPC_PERFCOUNTER1_SELECT",
8128 "type_ref": "CPC_PERFCOUNTER1_SELECT"
8129 },
8130 {
8131 "chips": ["gfx10"],
8132 "map": {"at": 213040, "to": "mm"},
8133 "name": "CPF_LATENCY_STATS_DATA",
8134 "type_ref": "COMPUTE_PGM_LO"
8135 },
8136 {
8137 "chips": ["gfx10"],
8138 "map": {"at": 221232, "to": "mm"},
8139 "name": "CPF_LATENCY_STATS_SELECT",
8140 "type_ref": "CPF_LATENCY_STATS_SELECT"
8141 },
8142 {
8143 "chips": ["gfx10"],
8144 "map": {"at": 213036, "to": "mm"},
8145 "name": "CPF_PERFCOUNTER0_HI",
8146 "type_ref": "CB_PERFCOUNTER0_HI"
8147 },
8148 {
8149 "chips": ["gfx10"],
8150 "map": {"at": 213032, "to": "mm"},
8151 "name": "CPF_PERFCOUNTER0_LO",
8152 "type_ref": "CB_PERFCOUNTER0_LO"
8153 },
8154 {
8155 "chips": ["gfx10"],
8156 "map": {"at": 221212, "to": "mm"},
8157 "name": "CPF_PERFCOUNTER0_SELECT",
8158 "type_ref": "CPF_PERFCOUNTER1_SELECT"
8159 },
8160 {
8161 "chips": ["gfx10"],
8162 "map": {"at": 221208, "to": "mm"},
8163 "name": "CPF_PERFCOUNTER0_SELECT1",
8164 "type_ref": "CPF_PERFCOUNTER0_SELECT1"
8165 },
8166 {
8167 "chips": ["gfx10"],
8168 "map": {"at": 213028, "to": "mm"},
8169 "name": "CPF_PERFCOUNTER1_HI",
8170 "type_ref": "CB_PERFCOUNTER0_HI"
8171 },
8172 {
8173 "chips": ["gfx10"],
8174 "map": {"at": 213024, "to": "mm"},
8175 "name": "CPF_PERFCOUNTER1_LO",
8176 "type_ref": "CB_PERFCOUNTER0_LO"
8177 },
8178 {
8179 "chips": ["gfx10"],
8180 "map": {"at": 221204, "to": "mm"},
8181 "name": "CPF_PERFCOUNTER1_SELECT",
8182 "type_ref": "CPF_PERFCOUNTER1_SELECT"
8183 },
8184 {
8185 "chips": ["gfx10"],
8186 "map": {"at": 221224, "to": "mm"},
8187 "name": "CPF_TC_PERF_COUNTER_WINDOW_SELECT",
8188 "type_ref": "CPF_TC_PERF_COUNTER_WINDOW_SELECT"
8189 },
8190 {
8191 "chips": ["gfx10"],
8192 "map": {"at": 213044, "to": "mm"},
8193 "name": "CPG_LATENCY_STATS_DATA",
8194 "type_ref": "COMPUTE_PGM_LO"
8195 },
8196 {
8197 "chips": ["gfx10"],
8198 "map": {"at": 221236, "to": "mm"},
8199 "name": "CPG_LATENCY_STATS_SELECT",
8200 "type_ref": "CPG_LATENCY_STATS_SELECT"
8201 },
8202 {
8203 "chips": ["gfx10"],
8204 "map": {"at": 213004, "to": "mm"},
8205 "name": "CPG_PERFCOUNTER0_HI",
8206 "type_ref": "CB_PERFCOUNTER0_HI"
8207 },
8208 {
8209 "chips": ["gfx10"],
8210 "map": {"at": 213000, "to": "mm"},
8211 "name": "CPG_PERFCOUNTER0_LO",
8212 "type_ref": "CB_PERFCOUNTER0_LO"
8213 },
8214 {
8215 "chips": ["gfx10"],
8216 "map": {"at": 221192, "to": "mm"},
8217 "name": "CPG_PERFCOUNTER0_SELECT",
8218 "type_ref": "CPG_PERFCOUNTER1_SELECT"
8219 },
8220 {
8221 "chips": ["gfx10"],
8222 "map": {"at": 221188, "to": "mm"},
8223 "name": "CPG_PERFCOUNTER0_SELECT1",
8224 "type_ref": "CPG_PERFCOUNTER0_SELECT1"
8225 },
8226 {
8227 "chips": ["gfx10"],
8228 "map": {"at": 212996, "to": "mm"},
8229 "name": "CPG_PERFCOUNTER1_HI",
8230 "type_ref": "CB_PERFCOUNTER0_HI"
8231 },
8232 {
8233 "chips": ["gfx10"],
8234 "map": {"at": 212992, "to": "mm"},
8235 "name": "CPG_PERFCOUNTER1_LO",
8236 "type_ref": "CB_PERFCOUNTER0_LO"
8237 },
8238 {
8239 "chips": ["gfx10"],
8240 "map": {"at": 221184, "to": "mm"},
8241 "name": "CPG_PERFCOUNTER1_SELECT",
8242 "type_ref": "CPG_PERFCOUNTER1_SELECT"
8243 },
8244 {
8245 "chips": ["gfx10"],
8246 "map": {"at": 221228, "to": "mm"},
8247 "name": "CPG_TC_PERF_COUNTER_WINDOW_SELECT",
8248 "type_ref": "CPG_TC_PERF_COUNTER_WINDOW_SELECT"
8249 },
8250 {
8251 "chips": ["gfx10"],
8252 "map": {"at": 196964, "to": "mm"},
8253 "name": "CP_APPEND_ADDR_HI",
8254 "type_ref": "CP_APPEND_ADDR_HI"
8255 },
8256 {
8257 "chips": ["gfx10"],
8258 "map": {"at": 196960, "to": "mm"},
8259 "name": "CP_APPEND_ADDR_LO",
8260 "type_ref": "CP_APPEND_ADDR_LO"
8261 },
8262 {
8263 "chips": ["gfx10"],
8264 "map": {"at": 197252, "to": "mm"},
8265 "name": "CP_APPEND_CMD_ADDR_HI",
8266 "type_ref": "CP_GFX_HPD_OSPRE_FENCE_ADDR_HI"
8267 },
8268 {
8269 "chips": ["gfx10"],
8270 "map": {"at": 197248, "to": "mm"},
8271 "name": "CP_APPEND_CMD_ADDR_LO",
8272 "type_ref": "CP_DMA_ME_CMD_ADDR_LO"
8273 },
8274 {
8275 "chips": ["gfx10"],
8276 "map": {"at": 196912, "to": "mm"},
8277 "name": "CP_APPEND_DATA_HI",
8278 "type_ref": "COMPUTE_PGM_LO"
8279 },
8280 {
8281 "chips": ["gfx10"],
8282 "map": {"at": 196908, "to": "mm"},
8283 "name": "CP_APPEND_DDID_CNT",
8284 "type_ref": "COMPUTE_PGM_HI"
8285 },
8286 {
8287 "chips": ["gfx10"],
8288 "map": {"at": 196916, "to": "mm"},
8289 "name": "CP_APPEND_LAST_CS_FENCE_HI",
8290 "type_ref": "CP_APPEND_LAST_CS_FENCE_HI"
8291 },
8292 {
8293 "chips": ["gfx10"],
8294 "map": {"at": 196920, "to": "mm"},
8295 "name": "CP_APPEND_LAST_PS_FENCE_HI",
8296 "type_ref": "CP_APPEND_LAST_CS_FENCE_HI"
8297 },
8298 {
8299 "chips": ["gfx10"],
8300 "map": {"at": 197556, "to": "mm"},
8301 "name": "CP_CE_COMPLETION_STATUS",
8302 "type_ref": "CP_PFP_COMPLETION_STATUS"
8303 },
8304 {
8305 "chips": ["gfx10"],
8306 "map": {"at": 197224, "to": "mm"},
8307 "name": "CP_CE_COUNTER",
8308 "type_ref": "CP_DE_CE_COUNT"
8309 },
8310 {
8311 "chips": ["gfx10"],
8312 "map": {"at": 197492, "to": "mm"},
8313 "name": "CP_CE_DB_BASE_HI",
8314 "type_ref": "CP_DB_BASE_HI"
8315 },
8316 {
8317 "chips": ["gfx10"],
8318 "map": {"at": 197488, "to": "mm"},
8319 "name": "CP_CE_DB_BASE_LO",
8320 "type_ref": "CP_DB_BASE_LO"
8321 },
8322 {
8323 "chips": ["gfx10"],
8324 "map": {"at": 197496, "to": "mm"},
8325 "name": "CP_CE_DB_BUFSZ",
8326 "type_ref": "CP_DB_BUFSZ"
8327 },
8328 {
8329 "chips": ["gfx10"],
8330 "map": {"at": 197500, "to": "mm"},
8331 "name": "CP_CE_DB_CMD_BUFSZ",
8332 "type_ref": "CP_DB_CMD_BUFSZ"
8333 },
8334 {
8335 "chips": ["gfx10"],
8336 "map": {"at": 197404, "to": "mm"},
8337 "name": "CP_CE_IB1_BASE_HI",
8338 "type_ref": "CP_CE_IB1_BASE_HI"
8339 },
8340 {
8341 "chips": ["gfx10"],
8342 "map": {"at": 197400, "to": "mm"},
8343 "name": "CP_CE_IB1_BASE_LO",
8344 "type_ref": "CP_CE_IB1_BASE_LO"
8345 },
8346 {
8347 "chips": ["gfx10"],
8348 "map": {"at": 197408, "to": "mm"},
8349 "name": "CP_CE_IB1_BUFSZ",
8350 "type_ref": "CP_CE_IB1_BUFSZ"
8351 },
8352 {
8353 "chips": ["gfx10"],
8354 "map": {"at": 197368, "to": "mm"},
8355 "name": "CP_CE_IB1_CMD_BUFSZ",
8356 "type_ref": "CP_CE_IB1_CMD_BUFSZ"
8357 },
8358 {
8359 "chips": ["gfx10"],
8360 "map": {"at": 197216, "to": "mm"},
8361 "name": "CP_CE_IB1_OFFSET",
8362 "type_ref": "CP_IB1_OFFSET"
8363 },
8364 {
8365 "chips": ["gfx10"],
8366 "map": {"at": 197416, "to": "mm"},
8367 "name": "CP_CE_IB2_BASE_HI",
8368 "type_ref": "CP_CE_IB2_BASE_HI"
8369 },
8370 {
8371 "chips": ["gfx10"],
8372 "map": {"at": 197412, "to": "mm"},
8373 "name": "CP_CE_IB2_BASE_LO",
8374 "type_ref": "CP_CE_IB2_BASE_LO"
8375 },
8376 {
8377 "chips": ["gfx10"],
8378 "map": {"at": 197420, "to": "mm"},
8379 "name": "CP_CE_IB2_BUFSZ",
8380 "type_ref": "CP_CE_IB2_BUFSZ"
8381 },
8382 {
8383 "chips": ["gfx10"],
8384 "map": {"at": 197372, "to": "mm"},
8385 "name": "CP_CE_IB2_CMD_BUFSZ",
8386 "type_ref": "CP_CE_IB2_CMD_BUFSZ"
8387 },
8388 {
8389 "chips": ["gfx10"],
8390 "map": {"at": 197220, "to": "mm"},
8391 "name": "CP_CE_IB2_OFFSET",
8392 "type_ref": "CP_IB2_OFFSET"
8393 },
8394 {
8395 "chips": ["gfx10"],
8396 "map": {"at": 197392, "to": "mm"},
8397 "name": "CP_CE_INIT_BASE_HI",
8398 "type_ref": "CP_CE_INIT_BASE_HI"
8399 },
8400 {
8401 "chips": ["gfx10"],
8402 "map": {"at": 197388, "to": "mm"},
8403 "name": "CP_CE_INIT_BASE_LO",
8404 "type_ref": "CP_CE_INIT_BASE_LO"
8405 },
8406 {
8407 "chips": ["gfx10"],
8408 "map": {"at": 197396, "to": "mm"},
8409 "name": "CP_CE_INIT_BUFSZ",
8410 "type_ref": "CP_CE_INIT_BUFSZ"
8411 },
8412 {
8413 "chips": ["gfx10"],
8414 "map": {"at": 197364, "to": "mm"},
8415 "name": "CP_CE_INIT_CMD_BUFSZ",
8416 "type_ref": "CP_CE_INIT_CMD_BUFSZ"
8417 },
8418 {
8419 "chips": ["gfx10"],
8420 "map": {"at": 197576, "to": "mm"},
8421 "name": "CP_CE_METADATA_BASE_ADDR",
8422 "type_ref": "CP_MES_MTVEC_HI"
8423 },
8424 {
8425 "chips": ["gfx10"],
8426 "map": {"at": 197580, "to": "mm"},
8427 "name": "CP_CE_METADATA_BASE_ADDR_HI",
8428 "type_ref": "CPC_SUSPEND_CTX_SAVE_BASE_ADDR_HI"
8429 },
8430 {
8431 "chips": ["gfx10"],
8432 "map": {"at": 197112, "to": "mm"},
8433 "name": "CP_COHER_BASE",
8434 "type_ref": "CP_COHER_BASE"
8435 },
8436 {
8437 "chips": ["gfx10"],
8438 "map": {"at": 197092, "to": "mm"},
8439 "name": "CP_COHER_BASE_HI",
8440 "type_ref": "CP_COHER_BASE_HI"
8441 },
8442 {
8443 "chips": ["gfx10"],
8444 "map": {"at": 197104, "to": "mm"},
8445 "name": "CP_COHER_CNTL",
8446 "type_ref": "CP_COHER_CNTL"
8447 },
8448 {
8449 "chips": ["gfx10"],
8450 "map": {"at": 197108, "to": "mm"},
8451 "name": "CP_COHER_SIZE",
8452 "type_ref": "CP_COHER_SIZE"
8453 },
8454 {
8455 "chips": ["gfx10"],
8456 "map": {"at": 197168, "to": "mm"},
8457 "name": "CP_COHER_SIZE_HI",
8458 "type_ref": "CP_COHER_SIZE_HI"
8459 },
8460 {
8461 "chips": ["gfx10"],
8462 "map": {"at": 197100, "to": "mm"},
8463 "name": "CP_COHER_START_DELAY",
8464 "type_ref": "CP_COHER_START_DELAY"
8465 },
8466 {
8467 "chips": ["gfx10"],
8468 "map": {"at": 197116, "to": "mm"},
8469 "name": "CP_COHER_STATUS",
8470 "type_ref": "CP_COHER_STATUS"
8471 },
8472 {
8473 "chips": ["gfx10"],
8474 "map": {"at": 197476, "to": "mm"},
8475 "name": "CP_DB_BASE_HI",
8476 "type_ref": "CP_DB_BASE_HI"
8477 },
8478 {
8479 "chips": ["gfx10"],
8480 "map": {"at": 197472, "to": "mm"},
8481 "name": "CP_DB_BASE_LO",
8482 "type_ref": "CP_DB_BASE_LO"
8483 },
8484 {
8485 "chips": ["gfx10"],
8486 "map": {"at": 197480, "to": "mm"},
8487 "name": "CP_DB_BUFSZ",
8488 "type_ref": "CP_DB_BUFSZ"
8489 },
8490 {
8491 "chips": ["gfx10"],
8492 "map": {"at": 197484, "to": "mm"},
8493 "name": "CP_DB_CMD_BUFSZ",
8494 "type_ref": "CP_DB_CMD_BUFSZ"
8495 },
8496 {
8497 "chips": ["gfx10"],
8498 "map": {"at": 197592, "to": "mm"},
8499 "name": "CP_DISPATCH_INDR_ADDR",
8500 "type_ref": "CP_MES_MTVEC_HI"
8501 },
8502 {
8503 "chips": ["gfx10"],
8504 "map": {"at": 197596, "to": "mm"},
8505 "name": "CP_DISPATCH_INDR_ADDR_HI",
8506 "type_ref": "CPC_SUSPEND_CTX_SAVE_BASE_ADDR_HI"
8507 },
8508 {
8509 "chips": ["gfx10"],
8510 "map": {"at": 197160, "to": "mm"},
8511 "name": "CP_DMA_CNTL",
8512 "type_ref": "CP_DMA_CNTL"
8513 },
8514 {
8515 "chips": ["gfx10"],
8516 "map": {"at": 197236, "to": "mm"},
8517 "name": "CP_DMA_ME_CMD_ADDR_HI",
8518 "type_ref": "CP_GFX_HPD_OSPRE_FENCE_ADDR_HI"
8519 },
8520 {
8521 "chips": ["gfx10"],
8522 "map": {"at": 197232, "to": "mm"},
8523 "name": "CP_DMA_ME_CMD_ADDR_LO",
8524 "type_ref": "CP_DMA_ME_CMD_ADDR_LO"
8525 },
8526 {
8527 "chips": ["gfx10"],
8528 "map": {"at": 197244, "to": "mm"},
8529 "name": "CP_DMA_PFP_CMD_ADDR_HI",
8530 "type_ref": "CP_GFX_HPD_OSPRE_FENCE_ADDR_HI"
8531 },
8532 {
8533 "chips": ["gfx10"],
8534 "map": {"at": 197240, "to": "mm"},
8535 "name": "CP_DMA_PFP_CMD_ADDR_LO",
8536 "type_ref": "CP_DMA_ME_CMD_ADDR_LO"
8537 },
8538 {
8539 "chips": ["gfx10"],
8540 "map": {"at": 197164, "to": "mm"},
8541 "name": "CP_DMA_READ_TAGS",
8542 "type_ref": "CP_DMA_READ_TAGS"
8543 },
8544 {
8545 "chips": ["gfx10"],
8546 "map": {"at": 197584, "to": "mm"},
8547 "name": "CP_DRAW_INDX_INDR_ADDR",
8548 "type_ref": "CP_MES_MTVEC_HI"
8549 },
8550 {
8551 "chips": ["gfx10"],
8552 "map": {"at": 197588, "to": "mm"},
8553 "name": "CP_DRAW_INDX_INDR_ADDR_HI",
8554 "type_ref": "CPC_SUSPEND_CTX_SAVE_BASE_ADDR_HI"
8555 },
8556 {
8557 "chips": ["gfx10"],
8558 "map": {"at": 221248, "to": "mm"},
8559 "name": "CP_DRAW_OBJECT",
8560 "type_ref": "CP_DRAW_OBJECT"
8561 },
8562 {
8563 "chips": ["gfx10"],
8564 "map": {"at": 221252, "to": "mm"},
8565 "name": "CP_DRAW_OBJECT_COUNTER",
8566 "type_ref": "CP_GFX_DDID_INFLIGHT_COUNT"
8567 },
8568 {
8569 "chips": ["gfx10"],
8570 "map": {"at": 221268, "to": "mm"},
8571 "name": "CP_DRAW_WINDOW_CNTL",
8572 "type_ref": "CP_DRAW_WINDOW_CNTL"
8573 },
8574 {
8575 "chips": ["gfx10"],
8576 "map": {"at": 221260, "to": "mm"},
8577 "name": "CP_DRAW_WINDOW_HI",
8578 "type_ref": "CP_DRAW_WINDOW_HI"
8579 },
8580 {
8581 "chips": ["gfx10"],
8582 "map": {"at": 221264, "to": "mm"},
8583 "name": "CP_DRAW_WINDOW_LO",
8584 "type_ref": "CP_DRAW_WINDOW_LO"
8585 },
8586 {
8587 "chips": ["gfx10"],
8588 "map": {"at": 221256, "to": "mm"},
8589 "name": "CP_DRAW_WINDOW_MASK_HI",
8590 "type_ref": "CP_DRAW_WINDOW_MASK_HI"
8591 },
8592 {
8593 "chips": ["gfx10"],
8594 "map": {"at": 196612, "to": "mm"},
8595 "name": "CP_EOP_DONE_ADDR_HI",
8596 "type_ref": "CPC_SUSPEND_CTX_SAVE_BASE_ADDR_HI"
8597 },
8598 {
8599 "chips": ["gfx10"],
8600 "map": {"at": 196608, "to": "mm"},
8601 "name": "CP_EOP_DONE_ADDR_LO",
8602 "type_ref": "CP_GFX_HPD_OSPRE_FENCE_ADDR_LO"
8603 },
8604 {
8605 "chips": ["gfx10"],
8606 "map": {"at": 197468, "to": "mm"},
8607 "name": "CP_EOP_DONE_CNTX_ID",
8608 "type_ref": "CPC_INT_CNTX_ID"
8609 },
8610 {
8611 "chips": ["gfx10"],
8612 "map": {"at": 197464, "to": "mm"},
8613 "name": "CP_EOP_DONE_DATA_CNTL",
8614 "type_ref": "CP_EOP_DONE_DATA_CNTL"
8615 },
8616 {
8617 "chips": ["gfx10"],
8618 "map": {"at": 196620, "to": "mm"},
8619 "name": "CP_EOP_DONE_DATA_HI",
8620 "type_ref": "CP_GFX_HPD_OSPRE_FENCE_DATA_HI"
8621 },
8622 {
8623 "chips": ["gfx10"],
8624 "map": {"at": 196616, "to": "mm"},
8625 "name": "CP_EOP_DONE_DATA_LO",
8626 "type_ref": "CP_GFX_HPD_OSPRE_FENCE_DATA_LO"
8627 },
8628 {
8629 "chips": ["gfx10"],
8630 "map": {"at": 196808, "to": "mm"},
8631 "name": "CP_EOP_DONE_DOORBELL",
8632 "type_ref": "CP_EOP_DONE_DOORBELL"
8633 },
8634 {
8635 "chips": ["gfx10"],
8636 "map": {"at": 197460, "to": "mm"},
8637 "name": "CP_EOP_DONE_EVENT_CNTL",
8638 "type_ref": "CP_EOP_DONE_EVENT_CNTL"
8639 },
8640 {
8641 "chips": ["gfx10"],
8642 "map": {"at": 196628, "to": "mm"},
8643 "name": "CP_EOP_LAST_FENCE_HI",
8644 "type_ref": "CP_EOP_LAST_FENCE_HI"
8645 },
8646 {
8647 "chips": ["gfx10"],
8648 "map": {"at": 196624, "to": "mm"},
8649 "name": "CP_EOP_LAST_FENCE_LO",
8650 "type_ref": "CP_EOP_LAST_FENCE_LO"
8651 },
8652 {
8653 "chips": ["gfx10"],
8654 "map": {"at": 197612, "to": "mm"},
8655 "name": "CP_GDS_BKUP_ADDR",
8656 "type_ref": "CP_MES_MTVEC_HI"
8657 },
8658 {
8659 "chips": ["gfx10"],
8660 "map": {"at": 197616, "to": "mm"},
8661 "name": "CP_GDS_BKUP_ADDR_HI",
8662 "type_ref": "CPC_SUSPEND_CTX_SAVE_BASE_ADDR_HI"
8663 },
8664 {
8665 "chips": ["gfx10"],
8666 "map": {"at": 197428, "to": "mm"},
8667 "name": "CP_IB1_BASE_HI",
8668 "type_ref": "CP_CE_IB1_BASE_HI"
8669 },
8670 {
8671 "chips": ["gfx10"],
8672 "map": {"at": 197424, "to": "mm"},
8673 "name": "CP_IB1_BASE_LO",
8674 "type_ref": "CP_CE_IB1_BASE_LO"
8675 },
8676 {
8677 "chips": ["gfx10"],
8678 "map": {"at": 197432, "to": "mm"},
8679 "name": "CP_IB1_BUFSZ",
8680 "type_ref": "CP_CE_IB1_BUFSZ"
8681 },
8682 {
8683 "chips": ["gfx10"],
8684 "map": {"at": 197376, "to": "mm"},
8685 "name": "CP_IB1_CMD_BUFSZ",
8686 "type_ref": "CP_CE_IB1_CMD_BUFSZ"
8687 },
8688 {
8689 "chips": ["gfx10"],
8690 "map": {"at": 197192, "to": "mm"},
8691 "name": "CP_IB1_OFFSET",
8692 "type_ref": "CP_IB1_OFFSET"
8693 },
8694 {
8695 "chips": ["gfx10"],
8696 "map": {"at": 197200, "to": "mm"},
8697 "name": "CP_IB1_PREAMBLE_BEGIN",
8698 "type_ref": "CP_IB1_PREAMBLE_BEGIN"
8699 },
8700 {
8701 "chips": ["gfx10"],
8702 "map": {"at": 197204, "to": "mm"},
8703 "name": "CP_IB1_PREAMBLE_END",
8704 "type_ref": "CP_IB1_PREAMBLE_END"
8705 },
8706 {
8707 "chips": ["gfx10"],
8708 "map": {"at": 197440, "to": "mm"},
8709 "name": "CP_IB2_BASE_HI",
8710 "type_ref": "CP_CE_IB2_BASE_HI"
8711 },
8712 {
8713 "chips": ["gfx10"],
8714 "map": {"at": 197436, "to": "mm"},
8715 "name": "CP_IB2_BASE_LO",
8716 "type_ref": "CP_CE_IB2_BASE_LO"
8717 },
8718 {
8719 "chips": ["gfx10"],
8720 "map": {"at": 197444, "to": "mm"},
8721 "name": "CP_IB2_BUFSZ",
8722 "type_ref": "CP_CE_IB2_BUFSZ"
8723 },
8724 {
8725 "chips": ["gfx10"],
8726 "map": {"at": 197380, "to": "mm"},
8727 "name": "CP_IB2_CMD_BUFSZ",
8728 "type_ref": "CP_CE_IB2_CMD_BUFSZ"
8729 },
8730 {
8731 "chips": ["gfx10"],
8732 "map": {"at": 197196, "to": "mm"},
8733 "name": "CP_IB2_OFFSET",
8734 "type_ref": "CP_IB2_OFFSET"
8735 },
8736 {
8737 "chips": ["gfx10"],
8738 "map": {"at": 197208, "to": "mm"},
8739 "name": "CP_IB2_PREAMBLE_BEGIN",
8740 "type_ref": "CP_IB2_PREAMBLE_BEGIN"
8741 },
8742 {
8743 "chips": ["gfx10"],
8744 "map": {"at": 197212, "to": "mm"},
8745 "name": "CP_IB2_PREAMBLE_END",
8746 "type_ref": "CP_IB2_PREAMBLE_END"
8747 },
8748 {
8749 "chips": ["gfx10"],
8750 "map": {"at": 197600, "to": "mm"},
8751 "name": "CP_INDEX_BASE_ADDR",
8752 "type_ref": "CP_MES_MTVEC_HI"
8753 },
8754 {
8755 "chips": ["gfx10"],
8756 "map": {"at": 197604, "to": "mm"},
8757 "name": "CP_INDEX_BASE_ADDR_HI",
8758 "type_ref": "CPC_SUSPEND_CTX_SAVE_BASE_ADDR_HI"
8759 },
8760 {
8761 "chips": ["gfx10"],
8762 "map": {"at": 197608, "to": "mm"},
8763 "name": "CP_INDEX_TYPE",
8764 "type_ref": "CP_INDEX_TYPE"
8765 },
8766 {
8767 "chips": ["gfx10"],
8768 "map": {"at": 197636, "to": "mm"},
8769 "name": "CP_ME_COHER_BASE",
8770 "type_ref": "CP_COHER_BASE"
8771 },
8772 {
8773 "chips": ["gfx10"],
8774 "map": {"at": 197640, "to": "mm"},
8775 "name": "CP_ME_COHER_BASE_HI",
8776 "type_ref": "CP_COHER_BASE_HI"
8777 },
8778 {
8779 "chips": ["gfx10"],
8780 "map": {"at": 197624, "to": "mm"},
8781 "name": "CP_ME_COHER_CNTL",
8782 "type_ref": "CP_ME_COHER_CNTL"
8783 },
8784 {
8785 "chips": ["gfx10"],
8786 "map": {"at": 197628, "to": "mm"},
8787 "name": "CP_ME_COHER_SIZE",
8788 "type_ref": "CP_COHER_SIZE"
8789 },
8790 {
8791 "chips": ["gfx10"],
8792 "map": {"at": 197632, "to": "mm"},
8793 "name": "CP_ME_COHER_SIZE_HI",
8794 "type_ref": "CP_COHER_SIZE_HI"
8795 },
8796 {
8797 "chips": ["gfx10"],
8798 "map": {"at": 197644, "to": "mm"},
8799 "name": "CP_ME_COHER_STATUS",
8800 "type_ref": "CP_ME_COHER_STATUS"
8801 },
8802 {
8803 "chips": ["gfx10"],
8804 "map": {"at": 197048, "to": "mm"},
8805 "name": "CP_ME_MC_RADDR_HI",
8806 "type_ref": "CP_ME_MC_RADDR_HI"
8807 },
8808 {
8809 "chips": ["gfx10"],
8810 "map": {"at": 197044, "to": "mm"},
8811 "name": "CP_ME_MC_RADDR_LO",
8812 "type_ref": "CP_ME_MC_RADDR_LO"
8813 },
8814 {
8815 "chips": ["gfx10"],
8816 "map": {"at": 197032, "to": "mm"},
8817 "name": "CP_ME_MC_WADDR_HI",
8818 "type_ref": "CP_ME_MC_WADDR_HI"
8819 },
8820 {
8821 "chips": ["gfx10"],
8822 "map": {"at": 197028, "to": "mm"},
8823 "name": "CP_ME_MC_WADDR_LO",
8824 "type_ref": "CP_ME_MC_WADDR_LO"
8825 },
8826 {
8827 "chips": ["gfx10"],
8828 "map": {"at": 197040, "to": "mm"},
8829 "name": "CP_ME_MC_WDATA_HI",
8830 "type_ref": "CP_ME_MC_WDATA_HI"
8831 },
8832 {
8833 "chips": ["gfx10"],
8834 "map": {"at": 197036, "to": "mm"},
8835 "name": "CP_ME_MC_WDATA_LO",
8836 "type_ref": "CP_ME_MC_WDATA_LO"
8837 },
8838 {
8839 "chips": ["gfx10"],
8840 "map": {"at": 196652, "to": "mm"},
8841 "name": "CP_NUM_PRIM_NEEDED_COUNT0_HI",
8842 "type_ref": "CP_NUM_PRIM_NEEDED_COUNT0_HI"
8843 },
8844 {
8845 "chips": ["gfx10"],
8846 "map": {"at": 196648, "to": "mm"},
8847 "name": "CP_NUM_PRIM_NEEDED_COUNT0_LO",
8848 "type_ref": "CP_NUM_PRIM_NEEDED_COUNT0_LO"
8849 },
8850 {
8851 "chips": ["gfx10"],
8852 "map": {"at": 196668, "to": "mm"},
8853 "name": "CP_NUM_PRIM_NEEDED_COUNT1_HI",
8854 "type_ref": "CP_NUM_PRIM_NEEDED_COUNT1_HI"
8855 },
8856 {
8857 "chips": ["gfx10"],
8858 "map": {"at": 196664, "to": "mm"},
8859 "name": "CP_NUM_PRIM_NEEDED_COUNT1_LO",
8860 "type_ref": "CP_NUM_PRIM_NEEDED_COUNT1_LO"
8861 },
8862 {
8863 "chips": ["gfx10"],
8864 "map": {"at": 196684, "to": "mm"},
8865 "name": "CP_NUM_PRIM_NEEDED_COUNT2_HI",
8866 "type_ref": "CP_NUM_PRIM_NEEDED_COUNT2_HI"
8867 },
8868 {
8869 "chips": ["gfx10"],
8870 "map": {"at": 196680, "to": "mm"},
8871 "name": "CP_NUM_PRIM_NEEDED_COUNT2_LO",
8872 "type_ref": "CP_NUM_PRIM_NEEDED_COUNT2_LO"
8873 },
8874 {
8875 "chips": ["gfx10"],
8876 "map": {"at": 196700, "to": "mm"},
8877 "name": "CP_NUM_PRIM_NEEDED_COUNT3_HI",
8878 "type_ref": "CP_NUM_PRIM_NEEDED_COUNT3_HI"
8879 },
8880 {
8881 "chips": ["gfx10"],
8882 "map": {"at": 196696, "to": "mm"},
8883 "name": "CP_NUM_PRIM_NEEDED_COUNT3_LO",
8884 "type_ref": "CP_NUM_PRIM_NEEDED_COUNT3_LO"
8885 },
8886 {
8887 "chips": ["gfx10"],
8888 "map": {"at": 196644, "to": "mm"},
8889 "name": "CP_NUM_PRIM_WRITTEN_COUNT0_HI",
8890 "type_ref": "CP_NUM_PRIM_WRITTEN_COUNT0_HI"
8891 },
8892 {
8893 "chips": ["gfx10"],
8894 "map": {"at": 196640, "to": "mm"},
8895 "name": "CP_NUM_PRIM_WRITTEN_COUNT0_LO",
8896 "type_ref": "CP_NUM_PRIM_WRITTEN_COUNT0_LO"
8897 },
8898 {
8899 "chips": ["gfx10"],
8900 "map": {"at": 196660, "to": "mm"},
8901 "name": "CP_NUM_PRIM_WRITTEN_COUNT1_HI",
8902 "type_ref": "CP_NUM_PRIM_WRITTEN_COUNT1_HI"
8903 },
8904 {
8905 "chips": ["gfx10"],
8906 "map": {"at": 196656, "to": "mm"},
8907 "name": "CP_NUM_PRIM_WRITTEN_COUNT1_LO",
8908 "type_ref": "CP_NUM_PRIM_WRITTEN_COUNT1_LO"
8909 },
8910 {
8911 "chips": ["gfx10"],
8912 "map": {"at": 196676, "to": "mm"},
8913 "name": "CP_NUM_PRIM_WRITTEN_COUNT2_HI",
8914 "type_ref": "CP_NUM_PRIM_WRITTEN_COUNT2_HI"
8915 },
8916 {
8917 "chips": ["gfx10"],
8918 "map": {"at": 196672, "to": "mm"},
8919 "name": "CP_NUM_PRIM_WRITTEN_COUNT2_LO",
8920 "type_ref": "CP_NUM_PRIM_WRITTEN_COUNT2_LO"
8921 },
8922 {
8923 "chips": ["gfx10"],
8924 "map": {"at": 196692, "to": "mm"},
8925 "name": "CP_NUM_PRIM_WRITTEN_COUNT3_HI",
8926 "type_ref": "CP_NUM_PRIM_WRITTEN_COUNT3_HI"
8927 },
8928 {
8929 "chips": ["gfx10"],
8930 "map": {"at": 196688, "to": "mm"},
8931 "name": "CP_NUM_PRIM_WRITTEN_COUNT3_LO",
8932 "type_ref": "CP_NUM_PRIM_WRITTEN_COUNT3_LO"
8933 },
8934 {
8935 "chips": ["gfx10"],
8936 "map": {"at": 196772, "to": "mm"},
8937 "name": "CP_PA_CINVOC_COUNT_HI",
8938 "type_ref": "CP_PA_CINVOC_COUNT_HI"
8939 },
8940 {
8941 "chips": ["gfx10"],
8942 "map": {"at": 196768, "to": "mm"},
8943 "name": "CP_PA_CINVOC_COUNT_LO",
8944 "type_ref": "CP_PA_CINVOC_COUNT_LO"
8945 },
8946 {
8947 "chips": ["gfx10"],
8948 "map": {"at": 196780, "to": "mm"},
8949 "name": "CP_PA_CPRIM_COUNT_HI",
8950 "type_ref": "CP_PA_CPRIM_COUNT_HI"
8951 },
8952 {
8953 "chips": ["gfx10"],
8954 "map": {"at": 196776, "to": "mm"},
8955 "name": "CP_PA_CPRIM_COUNT_LO",
8956 "type_ref": "CP_PA_CPRIM_COUNT_LO"
8957 },
8958 {
8959 "chips": ["gfx10"],
8960 "map": {"at": 221216, "to": "mm"},
8961 "name": "CP_PERFMON_CNTL",
8962 "type_ref": "CP_PERFMON_CNTL"
8963 },
8964 {
8965 "chips": ["gfx10"],
8966 "map": {"at": 164704, "to": "mm"},
8967 "name": "CP_PERFMON_CNTX_CNTL",
8968 "type_ref": "CP_PERFMON_CNTX_CNTL"
8969 },
8970 {
8971 "chips": ["gfx10"],
8972 "map": {"at": 196940, "to": "mm"},
8973 "name": "CP_PFP_ATOMIC_PREOP_HI",
8974 "type_ref": "CP_PFP_ATOMIC_PREOP_HI"
8975 },
8976 {
8977 "chips": ["gfx10"],
8978 "map": {"at": 196936, "to": "mm"},
8979 "name": "CP_PFP_ATOMIC_PREOP_LO",
8980 "type_ref": "CP_PFP_ATOMIC_PREOP_LO"
8981 },
8982 {
8983 "chips": ["gfx10"],
8984 "map": {"at": 197552, "to": "mm"},
8985 "name": "CP_PFP_COMPLETION_STATUS",
8986 "type_ref": "CP_PFP_COMPLETION_STATUS"
8987 },
8988 {
8989 "chips": ["gfx10"],
8990 "map": {"at": 196948, "to": "mm"},
8991 "name": "CP_PFP_GDS_ATOMIC0_PREOP_HI",
8992 "type_ref": "CP_PFP_GDS_ATOMIC0_PREOP_HI"
8993 },
8994 {
8995 "chips": ["gfx10"],
8996 "map": {"at": 196944, "to": "mm"},
8997 "name": "CP_PFP_GDS_ATOMIC0_PREOP_LO",
8998 "type_ref": "CP_PFP_GDS_ATOMIC0_PREOP_LO"
8999 },
9000 {
9001 "chips": ["gfx10"],
9002 "map": {"at": 196956, "to": "mm"},
9003 "name": "CP_PFP_GDS_ATOMIC1_PREOP_HI",
9004 "type_ref": "CP_PFP_GDS_ATOMIC1_PREOP_HI"
9005 },
9006 {
9007 "chips": ["gfx10"],
9008 "map": {"at": 196952, "to": "mm"},
9009 "name": "CP_PFP_GDS_ATOMIC1_PREOP_LO",
9010 "type_ref": "CP_PFP_GDS_ATOMIC1_PREOP_LO"
9011 },
9012 {
9013 "chips": ["gfx10"],
9014 "map": {"at": 197172, "to": "mm"},
9015 "name": "CP_PFP_IB_CONTROL",
9016 "type_ref": "CP_PFP_IB_CONTROL"
9017 },
9018 {
9019 "chips": ["gfx10"],
9020 "map": {"at": 197176, "to": "mm"},
9021 "name": "CP_PFP_LOAD_CONTROL",
9022 "type_ref": "CP_PFP_LOAD_CONTROL"
9023 },
9024 {
9025 "chips": ["gfx10"],
9026 "map": {"at": 197568, "to": "mm"},
9027 "name": "CP_PFP_METADATA_BASE_ADDR",
9028 "type_ref": "CP_MES_MTVEC_HI"
9029 },
9030 {
9031 "chips": ["gfx10"],
9032 "map": {"at": 197572, "to": "mm"},
9033 "name": "CP_PFP_METADATA_BASE_ADDR_HI",
9034 "type_ref": "CPC_SUSPEND_CTX_SAVE_BASE_ADDR_HI"
9035 },
9036 {
9037 "chips": ["gfx10"],
9038 "map": {"at": 196708, "to": "mm"},
9039 "name": "CP_PIPE_STATS_ADDR_HI",
9040 "type_ref": "CP_PIPE_STATS_ADDR_HI"
9041 },
9042 {
9043 "chips": ["gfx10"],
9044 "map": {"at": 196704, "to": "mm"},
9045 "name": "CP_PIPE_STATS_ADDR_LO",
9046 "type_ref": "CP_PIPE_STATS_ADDR_LO"
9047 },
9048 {
9049 "chips": ["gfx10"],
9050 "map": {"at": 196852, "to": "mm"},
9051 "name": "CP_PIPE_STATS_CONTROL",
9052 "type_ref": "CP_PIPE_STATS_CONTROL"
9053 },
9054 {
9055 "chips": ["gfx10"],
9056 "map": {"at": 196848, "to": "mm"},
9057 "name": "CP_PIPE_STATS_DOORBELL",
9058 "type_ref": "CP_EOP_DONE_DOORBELL"
9059 },
9060 {
9061 "chips": ["gfx10"],
9062 "map": {"at": 197560, "to": "mm"},
9063 "name": "CP_PRED_NOT_VISIBLE",
9064 "type_ref": "CP_PRED_NOT_VISIBLE"
9065 },
9066 {
9067 "chips": ["gfx10"],
9068 "map": {"at": 197620, "to": "mm"},
9069 "name": "CP_SAMPLE_STATUS",
9070 "type_ref": "CP_SAMPLE_STATUS"
9071 },
9072 {
9073 "chips": ["gfx10"],
9074 "map": {"at": 197184, "to": "mm"},
9075 "name": "CP_SCRATCH_DATA",
9076 "type_ref": "CP_MES_SCRATCH_DATA"
9077 },
9078 {
9079 "chips": ["gfx10"],
9080 "map": {"at": 197180, "to": "mm"},
9081 "name": "CP_SCRATCH_INDEX",
9082 "type_ref": "CP_SCRATCH_INDEX"
9083 },
9084 {
9085 "chips": ["gfx10"],
9086 "map": {"at": 196788, "to": "mm"},
9087 "name": "CP_SC_PSINVOC_COUNT0_HI",
9088 "type_ref": "CP_SC_PSINVOC_COUNT0_HI"
9089 },
9090 {
9091 "chips": ["gfx10"],
9092 "map": {"at": 196784, "to": "mm"},
9093 "name": "CP_SC_PSINVOC_COUNT0_LO",
9094 "type_ref": "CP_SC_PSINVOC_COUNT0_LO"
9095 },
9096 {
9097 "chips": ["gfx10"],
9098 "map": {"at": 196796, "to": "mm"},
9099 "name": "CP_SC_PSINVOC_COUNT1_HI",
9100 "type_ref": "CP_ECC_FIRSTOCCURRENCE_RING0"
9101 },
9102 {
9103 "chips": ["gfx10"],
9104 "map": {"at": 196792, "to": "mm"},
9105 "name": "CP_SC_PSINVOC_COUNT1_LO",
9106 "type_ref": "CP_ECC_FIRSTOCCURRENCE_RING0"
9107 },
9108 {
9109 "chips": ["gfx10"],
9110 "map": {"at": 197052, "to": "mm"},
9111 "name": "CP_SEM_WAIT_TIMER",
9112 "type_ref": "CP_SEM_WAIT_TIMER"
9113 },
9114 {
9115 "chips": ["gfx10"],
9116 "map": {"at": 197060, "to": "mm"},
9117 "name": "CP_SIG_SEM_ADDR_HI",
9118 "type_ref": "CP_SIG_SEM_ADDR_HI"
9119 },
9120 {
9121 "chips": ["gfx10"],
9122 "map": {"at": 197056, "to": "mm"},
9123 "name": "CP_SIG_SEM_ADDR_LO",
9124 "type_ref": "CP_SIG_SEM_ADDR_LO"
9125 },
9126 {
9127 "chips": ["gfx10"],
9128 "map": {"at": 196636, "to": "mm"},
9129 "name": "CP_STREAM_OUT_ADDR_HI",
9130 "type_ref": "CP_STREAM_OUT_ADDR_HI"
9131 },
9132 {
9133 "chips": ["gfx10"],
9134 "map": {"at": 196632, "to": "mm"},
9135 "name": "CP_STREAM_OUT_ADDR_LO",
9136 "type_ref": "CP_STREAM_OUT_ADDR_LO"
9137 },
9138 {
9139 "chips": ["gfx10"],
9140 "map": {"at": 196856, "to": "mm"},
9141 "name": "CP_STREAM_OUT_CONTROL",
9142 "type_ref": "CP_PIPE_STATS_CONTROL"
9143 },
9144 {
9145 "chips": ["gfx10"],
9146 "map": {"at": 196812, "to": "mm"},
9147 "name": "CP_STREAM_OUT_DOORBELL",
9148 "type_ref": "CP_EOP_DONE_DOORBELL"
9149 },
9150 {
9151 "chips": ["gfx10"],
9152 "map": {"at": 196860, "to": "mm"},
9153 "name": "CP_STRMOUT_CNTL",
9154 "type_ref": "CP_STRMOUT_CNTL"
9155 },
9156 {
9157 "chips": ["gfx10"],
9158 "map": {"at": 197452, "to": "mm"},
9159 "name": "CP_ST_BASE_HI",
9160 "type_ref": "CP_ST_BASE_HI"
9161 },
9162 {
9163 "chips": ["gfx10"],
9164 "map": {"at": 197448, "to": "mm"},
9165 "name": "CP_ST_BASE_LO",
9166 "type_ref": "CP_ST_BASE_LO"
9167 },
9168 {
9169 "chips": ["gfx10"],
9170 "map": {"at": 197456, "to": "mm"},
9171 "name": "CP_ST_BUFSZ",
9172 "type_ref": "CP_ST_BUFSZ"
9173 },
9174 {
9175 "chips": ["gfx10"],
9176 "map": {"at": 197384, "to": "mm"},
9177 "name": "CP_ST_CMD_BUFSZ",
9178 "type_ref": "CP_ST_CMD_BUFSZ"
9179 },
9180 {
9181 "chips": ["gfx10"],
9182 "map": {"at": 196804, "to": "mm"},
9183 "name": "CP_VGT_CSINVOC_COUNT_HI",
9184 "type_ref": "CP_VGT_CSINVOC_COUNT_HI"
9185 },
9186 {
9187 "chips": ["gfx10"],
9188 "map": {"at": 196800, "to": "mm"},
9189 "name": "CP_VGT_CSINVOC_COUNT_LO",
9190 "type_ref": "CP_VGT_CSINVOC_COUNT_LO"
9191 },
9192 {
9193 "chips": ["gfx10"],
9194 "map": {"at": 196764, "to": "mm"},
9195 "name": "CP_VGT_DSINVOC_COUNT_HI",
9196 "type_ref": "CP_VGT_DSINVOC_COUNT_HI"
9197 },
9198 {
9199 "chips": ["gfx10"],
9200 "map": {"at": 196760, "to": "mm"},
9201 "name": "CP_VGT_DSINVOC_COUNT_LO",
9202 "type_ref": "CP_VGT_DSINVOC_COUNT_LO"
9203 },
9204 {
9205 "chips": ["gfx10"],
9206 "map": {"at": 196748, "to": "mm"},
9207 "name": "CP_VGT_GSINVOC_COUNT_HI",
9208 "type_ref": "CP_VGT_GSINVOC_COUNT_HI"
9209 },
9210 {
9211 "chips": ["gfx10"],
9212 "map": {"at": 196744, "to": "mm"},
9213 "name": "CP_VGT_GSINVOC_COUNT_LO",
9214 "type_ref": "CP_VGT_GSINVOC_COUNT_LO"
9215 },
9216 {
9217 "chips": ["gfx10"],
9218 "map": {"at": 196732, "to": "mm"},
9219 "name": "CP_VGT_GSPRIM_COUNT_HI",
9220 "type_ref": "CP_VGT_GSPRIM_COUNT_HI"
9221 },
9222 {
9223 "chips": ["gfx10"],
9224 "map": {"at": 196728, "to": "mm"},
9225 "name": "CP_VGT_GSPRIM_COUNT_LO",
9226 "type_ref": "CP_VGT_GSPRIM_COUNT_LO"
9227 },
9228 {
9229 "chips": ["gfx10"],
9230 "map": {"at": 196756, "to": "mm"},
9231 "name": "CP_VGT_HSINVOC_COUNT_HI",
9232 "type_ref": "CP_VGT_HSINVOC_COUNT_HI"
9233 },
9234 {
9235 "chips": ["gfx10"],
9236 "map": {"at": 196752, "to": "mm"},
9237 "name": "CP_VGT_HSINVOC_COUNT_LO",
9238 "type_ref": "CP_VGT_HSINVOC_COUNT_LO"
9239 },
9240 {
9241 "chips": ["gfx10"],
9242 "map": {"at": 196724, "to": "mm"},
9243 "name": "CP_VGT_IAPRIM_COUNT_HI",
9244 "type_ref": "CP_VGT_IAPRIM_COUNT_HI"
9245 },
9246 {
9247 "chips": ["gfx10"],
9248 "map": {"at": 196720, "to": "mm"},
9249 "name": "CP_VGT_IAPRIM_COUNT_LO",
9250 "type_ref": "CP_VGT_IAPRIM_COUNT_LO"
9251 },
9252 {
9253 "chips": ["gfx10"],
9254 "map": {"at": 196716, "to": "mm"},
9255 "name": "CP_VGT_IAVERT_COUNT_HI",
9256 "type_ref": "CP_VGT_IAVERT_COUNT_HI"
9257 },
9258 {
9259 "chips": ["gfx10"],
9260 "map": {"at": 196712, "to": "mm"},
9261 "name": "CP_VGT_IAVERT_COUNT_LO",
9262 "type_ref": "CP_VGT_IAVERT_COUNT_LO"
9263 },
9264 {
9265 "chips": ["gfx10"],
9266 "map": {"at": 196740, "to": "mm"},
9267 "name": "CP_VGT_VSINVOC_COUNT_HI",
9268 "type_ref": "CP_VGT_VSINVOC_COUNT_HI"
9269 },
9270 {
9271 "chips": ["gfx10"],
9272 "map": {"at": 196736, "to": "mm"},
9273 "name": "CP_VGT_VSINVOC_COUNT_LO",
9274 "type_ref": "CP_VGT_VSINVOC_COUNT_LO"
9275 },
9276 {
9277 "chips": ["gfx10"],
9278 "map": {"at": 164712, "to": "mm"},
9279 "name": "CP_VMID",
9280 "type_ref": "CP_ME0_PIPE0_VMID"
9281 },
9282 {
9283 "chips": ["gfx10"],
9284 "map": {"at": 197072, "to": "mm"},
9285 "name": "CP_WAIT_REG_MEM_TIMEOUT",
9286 "type_ref": "CP_WAIT_REG_MEM_TIMEOUT"
9287 },
9288 {
9289 "chips": ["gfx10"],
9290 "map": {"at": 197080, "to": "mm"},
9291 "name": "CP_WAIT_SEM_ADDR_HI",
9292 "type_ref": "CP_SIG_SEM_ADDR_HI"
9293 },
9294 {
9295 "chips": ["gfx10"],
9296 "map": {"at": 197076, "to": "mm"},
9297 "name": "CP_WAIT_SEM_ADDR_LO",
9298 "type_ref": "CP_SIG_SEM_ADDR_LO"
9299 },
9300 {
9301 "chips": ["gfx10"],
9302 "map": {"at": 165836, "to": "mm"},
9303 "name": "CS_COPY_STATE",
9304 "type_ref": "CS_COPY_STATE"
9305 },
9306 {
9307 "chips": ["gfx10"],
9308 "map": {"at": 166768, "to": "mm"},
9309 "name": "DB_ALPHA_TO_MASK",
9310 "type_ref": "DB_ALPHA_TO_MASK"
9311 },
9312 {
9313 "chips": ["gfx10"],
9314 "map": {"at": 163844, "to": "mm"},
9315 "name": "DB_COUNT_CONTROL",
9316 "type_ref": "DB_COUNT_CONTROL"
9317 },
9318 {
9319 "chips": ["gfx10"],
9320 "map": {"at": 163876, "to": "mm"},
9321 "name": "DB_DEPTH_BOUNDS_MAX",
9322 "type_ref": "DB_DEPTH_BOUNDS_MAX"
9323 },
9324 {
9325 "chips": ["gfx10"],
9326 "map": {"at": 163872, "to": "mm"},
9327 "name": "DB_DEPTH_BOUNDS_MIN",
9328 "type_ref": "DB_DEPTH_BOUNDS_MIN"
9329 },
9330 {
9331 "chips": ["gfx10"],
9332 "map": {"at": 163884, "to": "mm"},
9333 "name": "DB_DEPTH_CLEAR",
9334 "type_ref": "DB_DEPTH_CLEAR"
9335 },
9336 {
9337 "chips": ["gfx10"],
9338 "map": {"at": 165888, "to": "mm"},
9339 "name": "DB_DEPTH_CONTROL",
9340 "type_ref": "DB_DEPTH_CONTROL"
9341 },
9342 {
9343 "chips": ["gfx10"],
9344 "map": {"at": 163900, "to": "mm"},
9345 "name": "DB_DEPTH_INFO",
9346 "type_ref": "DB_DEPTH_INFO"
9347 },
9348 {
9349 "chips": ["gfx10"],
9350 "map": {"at": 163868, "to": "mm"},
9351 "name": "DB_DEPTH_SIZE_XY",
9352 "type_ref": "DB_DEPTH_SIZE_XY"
9353 },
9354 {
9355 "chips": ["gfx10"],
9356 "map": {"at": 163848, "to": "mm"},
9357 "name": "DB_DEPTH_VIEW",
9358 "type_ref": "DB_DEPTH_VIEW"
9359 },
9360 {
9361 "chips": ["gfx10"],
9362 "map": {"at": 163896, "to": "mm"},
9363 "name": "DB_DFSM_CONTROL",
9364 "type_ref": "DB_DFSM_CONTROL"
9365 },
9366 {
9367 "chips": ["gfx10"],
9368 "map": {"at": 165892, "to": "mm"},
9369 "name": "DB_EQAA",
9370 "type_ref": "DB_EQAA"
9371 },
9372 {
9373 "chips": ["gfx10"],
9374 "map": {"at": 163860, "to": "mm"},
9375 "name": "DB_HTILE_DATA_BASE",
9376 "type_ref": "CB_COLOR0_BASE"
9377 },
9378 {
9379 "chips": ["gfx10"],
9380 "map": {"at": 163960, "to": "mm"},
9381 "name": "DB_HTILE_DATA_BASE_HI",
9382 "type_ref": "DB_Z_READ_BASE_HI"
9383 },
9384 {
9385 "chips": ["gfx10"],
9386 "map": {"at": 166588, "to": "mm"},
9387 "name": "DB_HTILE_SURFACE",
9388 "type_ref": "DB_HTILE_SURFACE"
9389 },
9390 {
9391 "chips": ["gfx10"],
9392 "map": {"at": 200452, "to": "mm"},
9393 "name": "DB_OCCLUSION_COUNT0_HI",
9394 "type_ref": "DB_ZPASS_COUNT_HI"
9395 },
9396 {
9397 "chips": ["gfx10"],
9398 "map": {"at": 200448, "to": "mm"},
9399 "name": "DB_OCCLUSION_COUNT0_LOW",
9400 "type_ref": "DB_ZPASS_COUNT_LOW"
9401 },
9402 {
9403 "chips": ["gfx10"],
9404 "map": {"at": 200460, "to": "mm"},
9405 "name": "DB_OCCLUSION_COUNT1_HI",
9406 "type_ref": "DB_ZPASS_COUNT_HI"
9407 },
9408 {
9409 "chips": ["gfx10"],
9410 "map": {"at": 200456, "to": "mm"},
9411 "name": "DB_OCCLUSION_COUNT1_LOW",
9412 "type_ref": "DB_ZPASS_COUNT_LOW"
9413 },
9414 {
9415 "chips": ["gfx10"],
9416 "map": {"at": 200468, "to": "mm"},
9417 "name": "DB_OCCLUSION_COUNT2_HI",
9418 "type_ref": "DB_ZPASS_COUNT_HI"
9419 },
9420 {
9421 "chips": ["gfx10"],
9422 "map": {"at": 200464, "to": "mm"},
9423 "name": "DB_OCCLUSION_COUNT2_LOW",
9424 "type_ref": "DB_ZPASS_COUNT_LOW"
9425 },
9426 {
9427 "chips": ["gfx10"],
9428 "map": {"at": 200476, "to": "mm"},
9429 "name": "DB_OCCLUSION_COUNT3_HI",
9430 "type_ref": "DB_ZPASS_COUNT_HI"
9431 },
9432 {
9433 "chips": ["gfx10"],
9434 "map": {"at": 200472, "to": "mm"},
9435 "name": "DB_OCCLUSION_COUNT3_LOW",
9436 "type_ref": "DB_ZPASS_COUNT_LOW"
9437 },
9438 {
9439 "chips": ["gfx10"],
9440 "map": {"at": 217348, "to": "mm"},
9441 "name": "DB_PERFCOUNTER0_HI",
9442 "type_ref": "CB_PERFCOUNTER0_HI"
9443 },
9444 {
9445 "chips": ["gfx10"],
9446 "map": {"at": 217344, "to": "mm"},
9447 "name": "DB_PERFCOUNTER0_LO",
9448 "type_ref": "CB_PERFCOUNTER0_LO"
9449 },
9450 {
9451 "chips": ["gfx10"],
9452 "map": {"at": 225536, "to": "mm"},
9453 "name": "DB_PERFCOUNTER0_SELECT",
9454 "type_ref": "DB_PERFCOUNTER0_SELECT"
9455 },
9456 {
9457 "chips": ["gfx10"],
9458 "map": {"at": 225540, "to": "mm"},
9459 "name": "DB_PERFCOUNTER0_SELECT1",
9460 "type_ref": "DB_PERFCOUNTER0_SELECT1"
9461 },
9462 {
9463 "chips": ["gfx10"],
9464 "map": {"at": 217356, "to": "mm"},
9465 "name": "DB_PERFCOUNTER1_HI",
9466 "type_ref": "CB_PERFCOUNTER0_HI"
9467 },
9468 {
9469 "chips": ["gfx10"],
9470 "map": {"at": 217352, "to": "mm"},
9471 "name": "DB_PERFCOUNTER1_LO",
9472 "type_ref": "CB_PERFCOUNTER0_LO"
9473 },
9474 {
9475 "chips": ["gfx10"],
9476 "map": {"at": 225544, "to": "mm"},
9477 "name": "DB_PERFCOUNTER1_SELECT",
9478 "type_ref": "DB_PERFCOUNTER0_SELECT"
9479 },
9480 {
9481 "chips": ["gfx10"],
9482 "map": {"at": 225548, "to": "mm"},
9483 "name": "DB_PERFCOUNTER1_SELECT1",
9484 "type_ref": "DB_PERFCOUNTER0_SELECT1"
9485 },
9486 {
9487 "chips": ["gfx10"],
9488 "map": {"at": 217364, "to": "mm"},
9489 "name": "DB_PERFCOUNTER2_HI",
9490 "type_ref": "CB_PERFCOUNTER0_HI"
9491 },
9492 {
9493 "chips": ["gfx10"],
9494 "map": {"at": 217360, "to": "mm"},
9495 "name": "DB_PERFCOUNTER2_LO",
9496 "type_ref": "CB_PERFCOUNTER0_LO"
9497 },
9498 {
9499 "chips": ["gfx10"],
9500 "map": {"at": 225552, "to": "mm"},
9501 "name": "DB_PERFCOUNTER2_SELECT",
9502 "type_ref": "DB_PERFCOUNTER0_SELECT"
9503 },
9504 {
9505 "chips": ["gfx10"],
9506 "map": {"at": 217372, "to": "mm"},
9507 "name": "DB_PERFCOUNTER3_HI",
9508 "type_ref": "CB_PERFCOUNTER0_HI"
9509 },
9510 {
9511 "chips": ["gfx10"],
9512 "map": {"at": 217368, "to": "mm"},
9513 "name": "DB_PERFCOUNTER3_LO",
9514 "type_ref": "CB_PERFCOUNTER0_LO"
9515 },
9516 {
9517 "chips": ["gfx10"],
9518 "map": {"at": 225560, "to": "mm"},
9519 "name": "DB_PERFCOUNTER3_SELECT",
9520 "type_ref": "DB_PERFCOUNTER0_SELECT"
9521 },
9522 {
9523 "chips": ["gfx10"],
9524 "map": {"at": 166600, "to": "mm"},
9525 "name": "DB_PRELOAD_CONTROL",
9526 "type_ref": "DB_PRELOAD_CONTROL"
9527 },
9528 {
9529 "chips": ["gfx10"],
9530 "map": {"at": 163840, "to": "mm"},
9531 "name": "DB_RENDER_CONTROL",
9532 "type_ref": "DB_RENDER_CONTROL"
9533 },
9534 {
9535 "chips": ["gfx10"],
9536 "map": {"at": 163852, "to": "mm"},
9537 "name": "DB_RENDER_OVERRIDE",
9538 "type_ref": "DB_RENDER_OVERRIDE"
9539 },
9540 {
9541 "chips": ["gfx10"],
9542 "map": {"at": 163856, "to": "mm"},
9543 "name": "DB_RENDER_OVERRIDE2",
9544 "type_ref": "DB_RENDER_OVERRIDE2"
9545 },
9546 {
9547 "chips": ["gfx10"],
9548 "map": {"at": 163964, "to": "mm"},
9549 "name": "DB_RMI_L2_CACHE_CONTROL",
9550 "type_ref": "DB_RMI_L2_CACHE_CONTROL"
9551 },
9552 {
9553 "chips": ["gfx10"],
9554 "map": {"at": 165900, "to": "mm"},
9555 "name": "DB_SHADER_CONTROL",
9556 "type_ref": "DB_SHADER_CONTROL"
9557 },
9558 {
9559 "chips": ["gfx10"],
9560 "map": {"at": 166592, "to": "mm"},
9561 "name": "DB_SRESULTS_COMPARE_STATE0",
9562 "type_ref": "DB_SRESULTS_COMPARE_STATE0"
9563 },
9564 {
9565 "chips": ["gfx10"],
9566 "map": {"at": 166596, "to": "mm"},
9567 "name": "DB_SRESULTS_COMPARE_STATE1",
9568 "type_ref": "DB_SRESULTS_COMPARE_STATE1"
9569 },
9570 {
9571 "chips": ["gfx10"],
9572 "map": {"at": 164912, "to": "mm"},
9573 "name": "DB_STENCILREFMASK",
9574 "type_ref": "DB_STENCILREFMASK"
9575 },
9576 {
9577 "chips": ["gfx10"],
9578 "map": {"at": 164916, "to": "mm"},
9579 "name": "DB_STENCILREFMASK_BF",
9580 "type_ref": "DB_STENCILREFMASK_BF"
9581 },
9582 {
9583 "chips": ["gfx10"],
9584 "map": {"at": 163880, "to": "mm"},
9585 "name": "DB_STENCIL_CLEAR",
9586 "type_ref": "DB_STENCIL_CLEAR"
9587 },
9588 {
9589 "chips": ["gfx10"],
9590 "map": {"at": 164908, "to": "mm"},
9591 "name": "DB_STENCIL_CONTROL",
9592 "type_ref": "DB_STENCIL_CONTROL"
9593 },
9594 {
9595 "chips": ["gfx10"],
9596 "map": {"at": 163908, "to": "mm"},
9597 "name": "DB_STENCIL_INFO",
9598 "type_ref": "DB_STENCIL_INFO"
9599 },
9600 {
9601 "chips": ["gfx10"],
9602 "map": {"at": 163916, "to": "mm"},
9603 "name": "DB_STENCIL_READ_BASE",
9604 "type_ref": "CB_COLOR0_BASE"
9605 },
9606 {
9607 "chips": ["gfx10"],
9608 "map": {"at": 163948, "to": "mm"},
9609 "name": "DB_STENCIL_READ_BASE_HI",
9610 "type_ref": "DB_Z_READ_BASE_HI"
9611 },
9612 {
9613 "chips": ["gfx10"],
9614 "map": {"at": 163924, "to": "mm"},
9615 "name": "DB_STENCIL_WRITE_BASE",
9616 "type_ref": "CB_COLOR0_BASE"
9617 },
9618 {
9619 "chips": ["gfx10"],
9620 "map": {"at": 163956, "to": "mm"},
9621 "name": "DB_STENCIL_WRITE_BASE_HI",
9622 "type_ref": "DB_Z_READ_BASE_HI"
9623 },
9624 {
9625 "chips": ["gfx10"],
9626 "map": {"at": 200700, "to": "mm"},
9627 "name": "DB_ZPASS_COUNT_HI",
9628 "type_ref": "DB_ZPASS_COUNT_HI"
9629 },
9630 {
9631 "chips": ["gfx10"],
9632 "map": {"at": 200696, "to": "mm"},
9633 "name": "DB_ZPASS_COUNT_LOW",
9634 "type_ref": "DB_ZPASS_COUNT_LOW"
9635 },
9636 {
9637 "chips": ["gfx10"],
9638 "map": {"at": 163904, "to": "mm"},
9639 "name": "DB_Z_INFO",
9640 "type_ref": "DB_Z_INFO"
9641 },
9642 {
9643 "chips": ["gfx10"],
9644 "map": {"at": 163912, "to": "mm"},
9645 "name": "DB_Z_READ_BASE",
9646 "type_ref": "CB_COLOR0_BASE"
9647 },
9648 {
9649 "chips": ["gfx10"],
9650 "map": {"at": 163944, "to": "mm"},
9651 "name": "DB_Z_READ_BASE_HI",
9652 "type_ref": "DB_Z_READ_BASE_HI"
9653 },
9654 {
9655 "chips": ["gfx10"],
9656 "map": {"at": 163920, "to": "mm"},
9657 "name": "DB_Z_WRITE_BASE",
9658 "type_ref": "CB_COLOR0_BASE"
9659 },
9660 {
9661 "chips": ["gfx10"],
9662 "map": {"at": 163952, "to": "mm"},
9663 "name": "DB_Z_WRITE_BASE_HI",
9664 "type_ref": "DB_Z_READ_BASE_HI"
9665 },
9666 {
9667 "chips": ["gfx10"],
9668 "map": {"at": 218244, "to": "mm"},
9669 "name": "GCR_PERFCOUNTER0_HI",
9670 "type_ref": "CB_PERFCOUNTER0_HI"
9671 },
9672 {
9673 "chips": ["gfx10"],
9674 "map": {"at": 218240, "to": "mm"},
9675 "name": "GCR_PERFCOUNTER0_LO",
9676 "type_ref": "CB_PERFCOUNTER0_LO"
9677 },
9678 {
9679 "chips": ["gfx10"],
9680 "map": {"at": 226688, "to": "mm"},
9681 "name": "GCR_PERFCOUNTER0_SELECT",
9682 "type_ref": "GCR_PERFCOUNTER0_SELECT"
9683 },
9684 {
9685 "chips": ["gfx10"],
9686 "map": {"at": 226692, "to": "mm"},
9687 "name": "GCR_PERFCOUNTER0_SELECT1",
9688 "type_ref": "GCR_PERFCOUNTER0_SELECT1"
9689 },
9690 {
9691 "chips": ["gfx10"],
9692 "map": {"at": 218252, "to": "mm"},
9693 "name": "GCR_PERFCOUNTER1_HI",
9694 "type_ref": "CB_PERFCOUNTER0_HI"
9695 },
9696 {
9697 "chips": ["gfx10"],
9698 "map": {"at": 218248, "to": "mm"},
9699 "name": "GCR_PERFCOUNTER1_LO",
9700 "type_ref": "CB_PERFCOUNTER0_LO"
9701 },
9702 {
9703 "chips": ["gfx10"],
9704 "map": {"at": 226696, "to": "mm"},
9705 "name": "GCR_PERFCOUNTER1_SELECT",
9706 "type_ref": "GCR_PERFCOUNTER1_SELECT"
9707 },
9708 {
9709 "chips": ["gfx10"],
9710 "map": {"at": 200752, "to": "mm"},
9711 "name": "GDS_ATOM_BASE",
9712 "type_ref": "GDS_ATOM_BASE"
9713 },
9714 {
9715 "chips": ["gfx10"],
9716 "map": {"at": 200744, "to": "mm"},
9717 "name": "GDS_ATOM_CNTL",
9718 "type_ref": "GDS_ATOM_CNTL"
9719 },
9720 {
9721 "chips": ["gfx10"],
9722 "map": {"at": 200748, "to": "mm"},
9723 "name": "GDS_ATOM_COMPLETE",
9724 "type_ref": "GDS_ATOM_COMPLETE"
9725 },
9726 {
9727 "chips": ["gfx10"],
9728 "map": {"at": 200768, "to": "mm"},
9729 "name": "GDS_ATOM_DST",
9730 "type_ref": "GDS_ATOM_DST"
9731 },
9732 {
9733 "chips": ["gfx10"],
9734 "map": {"at": 200760, "to": "mm"},
9735 "name": "GDS_ATOM_OFFSET0",
9736 "type_ref": "GDS_ATOM_OFFSET0"
9737 },
9738 {
9739 "chips": ["gfx10"],
9740 "map": {"at": 200764, "to": "mm"},
9741 "name": "GDS_ATOM_OFFSET1",
9742 "type_ref": "GDS_ATOM_OFFSET1"
9743 },
9744 {
9745 "chips": ["gfx10"],
9746 "map": {"at": 200772, "to": "mm"},
9747 "name": "GDS_ATOM_OP",
9748 "type_ref": "GDS_ATOM_OP"
9749 },
9750 {
9751 "chips": ["gfx10"],
9752 "map": {"at": 200792, "to": "mm"},
9753 "name": "GDS_ATOM_READ0",
9754 "type_ref": "COMPUTE_PGM_LO"
9755 },
9756 {
9757 "chips": ["gfx10"],
9758 "map": {"at": 200796, "to": "mm"},
9759 "name": "GDS_ATOM_READ0_U",
9760 "type_ref": "COMPUTE_PGM_LO"
9761 },
9762 {
9763 "chips": ["gfx10"],
9764 "map": {"at": 200800, "to": "mm"},
9765 "name": "GDS_ATOM_READ1",
9766 "type_ref": "COMPUTE_PGM_LO"
9767 },
9768 {
9769 "chips": ["gfx10"],
9770 "map": {"at": 200804, "to": "mm"},
9771 "name": "GDS_ATOM_READ1_U",
9772 "type_ref": "COMPUTE_PGM_LO"
9773 },
9774 {
9775 "chips": ["gfx10"],
9776 "map": {"at": 200756, "to": "mm"},
9777 "name": "GDS_ATOM_SIZE",
9778 "type_ref": "GDS_ATOM_SIZE"
9779 },
9780 {
9781 "chips": ["gfx10"],
9782 "map": {"at": 200776, "to": "mm"},
9783 "name": "GDS_ATOM_SRC0",
9784 "type_ref": "COMPUTE_PGM_LO"
9785 },
9786 {
9787 "chips": ["gfx10"],
9788 "map": {"at": 200780, "to": "mm"},
9789 "name": "GDS_ATOM_SRC0_U",
9790 "type_ref": "COMPUTE_PGM_LO"
9791 },
9792 {
9793 "chips": ["gfx10"],
9794 "map": {"at": 200784, "to": "mm"},
9795 "name": "GDS_ATOM_SRC1",
9796 "type_ref": "COMPUTE_PGM_LO"
9797 },
9798 {
9799 "chips": ["gfx10"],
9800 "map": {"at": 200788, "to": "mm"},
9801 "name": "GDS_ATOM_SRC1_U",
9802 "type_ref": "COMPUTE_PGM_LO"
9803 },
9804 {
9805 "chips": ["gfx10"],
9806 "map": {"at": 200812, "to": "mm"},
9807 "name": "GDS_GWS_RESOURCE",
9808 "type_ref": "GDS_GWS_RESOURCE"
9809 },
9810 {
9811 "chips": ["gfx10"],
9812 "map": {"at": 200816, "to": "mm"},
9813 "name": "GDS_GWS_RESOURCE_CNT",
9814 "type_ref": "GDS_GWS_RESOURCE_CNT"
9815 },
9816 {
9817 "chips": ["gfx10"],
9818 "map": {"at": 200808, "to": "mm"},
9819 "name": "GDS_GWS_RESOURCE_CNTL",
9820 "type_ref": "GDS_GWS_RESOURCE_CNTL"
9821 },
9822 {
9823 "chips": ["gfx10"],
9824 "map": {"at": 200828, "to": "mm"},
9825 "name": "GDS_OA_ADDRESS",
9826 "type_ref": "GDS_OA_ADDRESS"
9827 },
9828 {
9829 "chips": ["gfx10"],
9830 "map": {"at": 200820, "to": "mm"},
9831 "name": "GDS_OA_CNTL",
9832 "type_ref": "GDS_OA_CNTL"
9833 },
9834 {
9835 "chips": ["gfx10"],
9836 "map": {"at": 200824, "to": "mm"},
9837 "name": "GDS_OA_COUNTER",
9838 "type_ref": "GDS_OA_COUNTER"
9839 },
9840 {
9841 "chips": ["gfx10"],
9842 "map": {"at": 200832, "to": "mm"},
9843 "name": "GDS_OA_INCDEC",
9844 "type_ref": "GDS_OA_INCDEC"
9845 },
9846 {
9847 "chips": ["gfx10"],
9848 "map": {"at": 200836, "to": "mm"},
9849 "name": "GDS_OA_RING_SIZE",
9850 "type_ref": "GDS_OA_RING_SIZE"
9851 },
9852 {
9853 "chips": ["gfx10"],
9854 "map": {"at": 215556, "to": "mm"},
9855 "name": "GDS_PERFCOUNTER0_HI",
9856 "type_ref": "CB_PERFCOUNTER0_HI"
9857 },
9858 {
9859 "chips": ["gfx10"],
9860 "map": {"at": 215552, "to": "mm"},
9861 "name": "GDS_PERFCOUNTER0_LO",
9862 "type_ref": "CB_PERFCOUNTER0_LO"
9863 },
9864 {
9865 "chips": ["gfx10"],
9866 "map": {"at": 223744, "to": "mm"},
9867 "name": "GDS_PERFCOUNTER0_SELECT",
9868 "type_ref": "DB_PERFCOUNTER0_SELECT"
9869 },
9870 {
9871 "chips": ["gfx10"],
9872 "map": {"at": 223760, "to": "mm"},
9873 "name": "GDS_PERFCOUNTER0_SELECT1",
9874 "type_ref": "DB_PERFCOUNTER0_SELECT1"
9875 },
9876 {
9877 "chips": ["gfx10"],
9878 "map": {"at": 215564, "to": "mm"},
9879 "name": "GDS_PERFCOUNTER1_HI",
9880 "type_ref": "CB_PERFCOUNTER0_HI"
9881 },
9882 {
9883 "chips": ["gfx10"],
9884 "map": {"at": 215560, "to": "mm"},
9885 "name": "GDS_PERFCOUNTER1_LO",
9886 "type_ref": "CB_PERFCOUNTER0_LO"
9887 },
9888 {
9889 "chips": ["gfx10"],
9890 "map": {"at": 223748, "to": "mm"},
9891 "name": "GDS_PERFCOUNTER1_SELECT",
9892 "type_ref": "DB_PERFCOUNTER0_SELECT"
9893 },
9894 {
9895 "chips": ["gfx10"],
9896 "map": {"at": 215572, "to": "mm"},
9897 "name": "GDS_PERFCOUNTER2_HI",
9898 "type_ref": "CB_PERFCOUNTER0_HI"
9899 },
9900 {
9901 "chips": ["gfx10"],
9902 "map": {"at": 215568, "to": "mm"},
9903 "name": "GDS_PERFCOUNTER2_LO",
9904 "type_ref": "CB_PERFCOUNTER0_LO"
9905 },
9906 {
9907 "chips": ["gfx10"],
9908 "map": {"at": 223752, "to": "mm"},
9909 "name": "GDS_PERFCOUNTER2_SELECT",
9910 "type_ref": "DB_PERFCOUNTER0_SELECT"
9911 },
9912 {
9913 "chips": ["gfx10"],
9914 "map": {"at": 215580, "to": "mm"},
9915 "name": "GDS_PERFCOUNTER3_HI",
9916 "type_ref": "CB_PERFCOUNTER0_HI"
9917 },
9918 {
9919 "chips": ["gfx10"],
9920 "map": {"at": 215576, "to": "mm"},
9921 "name": "GDS_PERFCOUNTER3_LO",
9922 "type_ref": "CB_PERFCOUNTER0_LO"
9923 },
9924 {
9925 "chips": ["gfx10"],
9926 "map": {"at": 223756, "to": "mm"},
9927 "name": "GDS_PERFCOUNTER3_SELECT",
9928 "type_ref": "DB_PERFCOUNTER0_SELECT"
9929 },
9930 {
9931 "chips": ["gfx10"],
9932 "map": {"at": 200704, "to": "mm"},
9933 "name": "GDS_RD_ADDR",
9934 "type_ref": "GDS_RD_ADDR"
9935 },
9936 {
9937 "chips": ["gfx10"],
9938 "map": {"at": 200712, "to": "mm"},
9939 "name": "GDS_RD_BURST_ADDR",
9940 "type_ref": "GDS_RD_BURST_ADDR"
9941 },
9942 {
9943 "chips": ["gfx10"],
9944 "map": {"at": 200716, "to": "mm"},
9945 "name": "GDS_RD_BURST_COUNT",
9946 "type_ref": "GDS_RD_BURST_COUNT"
9947 },
9948 {
9949 "chips": ["gfx10"],
9950 "map": {"at": 200720, "to": "mm"},
9951 "name": "GDS_RD_BURST_DATA",
9952 "type_ref": "GDS_RD_BURST_DATA"
9953 },
9954 {
9955 "chips": ["gfx10"],
9956 "map": {"at": 200708, "to": "mm"},
9957 "name": "GDS_RD_DATA",
9958 "type_ref": "GDS_RD_DATA"
9959 },
9960 {
9961 "chips": ["gfx10"],
9962 "map": {"at": 200740, "to": "mm"},
9963 "name": "GDS_WRITE_COMPLETE",
9964 "type_ref": "GDS_WRITE_COMPLETE"
9965 },
9966 {
9967 "chips": ["gfx10"],
9968 "map": {"at": 200724, "to": "mm"},
9969 "name": "GDS_WR_ADDR",
9970 "type_ref": "GDS_WR_ADDR"
9971 },
9972 {
9973 "chips": ["gfx10"],
9974 "map": {"at": 200732, "to": "mm"},
9975 "name": "GDS_WR_BURST_ADDR",
9976 "type_ref": "GDS_WR_ADDR"
9977 },
9978 {
9979 "chips": ["gfx10"],
9980 "map": {"at": 200736, "to": "mm"},
9981 "name": "GDS_WR_BURST_DATA",
9982 "type_ref": "GDS_WR_DATA"
9983 },
9984 {
9985 "chips": ["gfx10"],
9986 "map": {"at": 200728, "to": "mm"},
9987 "name": "GDS_WR_DATA",
9988 "type_ref": "GDS_WR_DATA"
9989 },
9990 {
9991 "chips": ["gfx10"],
9992 "map": {"at": 199020, "to": "mm"},
9993 "name": "GE_CNTL",
9994 "type_ref": "GE_CNTL"
9995 },
9996 {
9997 "chips": ["gfx10"],
9998 "map": {"at": 198980, "to": "mm"},
9999 "name": "GE_DMA_FIRST_INDEX",
10000 "type_ref": "GE_DMA_FIRST_INDEX"
10001 },
10002 {
10003 "chips": ["gfx10"],
10004 "map": {"at": 198952, "to": "mm"},
10005 "name": "GE_INDX_OFFSET",
10006 "type_ref": "GE_INDX_OFFSET"
10007 },
10008 {
10009 "chips": ["gfx10"],
10010 "map": {"at": 165884, "to": "mm"},
10011 "name": "GE_MAX_OUTPUT_PER_SUBGROUP",
10012 "type_ref": "GE_MAX_OUTPUT_PER_SUBGROUP"
10013 },
10014 {
10015 "chips": ["gfx10"],
10016 "map": {"at": 199012, "to": "mm"},
10017 "name": "GE_MAX_VTX_INDX",
10018 "type_ref": "GE_MAX_VTX_INDX"
10019 },
10020 {
10021 "chips": ["gfx10"],
10022 "map": {"at": 198948, "to": "mm"},
10023 "name": "GE_MIN_VTX_INDX",
10024 "type_ref": "GE_MIN_VTX_INDX"
10025 },
10026 {
10027 "chips": ["gfx10"],
10028 "map": {"at": 198956, "to": "mm"},
10029 "name": "GE_MULTI_PRIM_IB_RESET_EN",
10030 "type_ref": "GE_MULTI_PRIM_IB_RESET_EN"
10031 },
10032 {
10033 "chips": ["gfx10"],
10034 "map": {"at": 166732, "to": "mm"},
10035 "name": "GE_NGG_SUBGRP_CNTL",
10036 "type_ref": "GE_NGG_SUBGRP_CNTL"
10037 },
10038 {
10039 "chips": ["gfx10"],
10040 "map": {"at": 199040, "to": "mm"},
10041 "name": "GE_PC_ALLOC",
10042 "type_ref": "GE_PC_ALLOC"
10043 },
10044 {
10045 "chips": ["gfx10"],
10046 "map": {"at": 213508, "to": "mm"},
10047 "name": "GE_PERFCOUNTER0_HI",
10048 "type_ref": "CB_PERFCOUNTER0_HI"
10049 },
10050 {
10051 "chips": ["gfx10"],
10052 "map": {"at": 213504, "to": "mm"},
10053 "name": "GE_PERFCOUNTER0_LO",
10054 "type_ref": "CB_PERFCOUNTER0_LO"
10055 },
10056 {
10057 "chips": ["gfx10"],
10058 "map": {"at": 221696, "to": "mm"},
10059 "name": "GE_PERFCOUNTER0_SELECT",
10060 "type_ref": "GE_PERFCOUNTER0_SELECT"
10061 },
10062 {
10063 "chips": ["gfx10"],
10064 "map": {"at": 221700, "to": "mm"},
10065 "name": "GE_PERFCOUNTER0_SELECT1",
10066 "type_ref": "GE_PERFCOUNTER0_SELECT1"
10067 },
10068 {
10069 "chips": ["gfx10"],
10070 "map": {"at": 213588, "to": "mm"},
10071 "name": "GE_PERFCOUNTER10_HI",
10072 "type_ref": "CB_PERFCOUNTER0_HI"
10073 },
10074 {
10075 "chips": ["gfx10"],
10076 "map": {"at": 213584, "to": "mm"},
10077 "name": "GE_PERFCOUNTER10_LO",
10078 "type_ref": "CB_PERFCOUNTER0_LO"
10079 },
10080 {
10081 "chips": ["gfx10"],
10082 "map": {"at": 221776, "to": "mm"},
10083 "name": "GE_PERFCOUNTER10_SELECT",
10084 "type_ref": "GE_PERFCOUNTER4_SELECT"
10085 },
10086 {
10087 "chips": ["gfx10"],
10088 "map": {"at": 213596, "to": "mm"},
10089 "name": "GE_PERFCOUNTER11_HI",
10090 "type_ref": "CB_PERFCOUNTER0_HI"
10091 },
10092 {
10093 "chips": ["gfx10"],
10094 "map": {"at": 213592, "to": "mm"},
10095 "name": "GE_PERFCOUNTER11_LO",
10096 "type_ref": "CB_PERFCOUNTER0_LO"
10097 },
10098 {
10099 "chips": ["gfx10"],
10100 "map": {"at": 221784, "to": "mm"},
10101 "name": "GE_PERFCOUNTER11_SELECT",
10102 "type_ref": "GE_PERFCOUNTER4_SELECT"
10103 },
10104 {
10105 "chips": ["gfx10"],
10106 "map": {"at": 213516, "to": "mm"},
10107 "name": "GE_PERFCOUNTER1_HI",
10108 "type_ref": "CB_PERFCOUNTER0_HI"
10109 },
10110 {
10111 "chips": ["gfx10"],
10112 "map": {"at": 213512, "to": "mm"},
10113 "name": "GE_PERFCOUNTER1_LO",
10114 "type_ref": "CB_PERFCOUNTER0_LO"
10115 },
10116 {
10117 "chips": ["gfx10"],
10118 "map": {"at": 221704, "to": "mm"},
10119 "name": "GE_PERFCOUNTER1_SELECT",
10120 "type_ref": "GE_PERFCOUNTER0_SELECT"
10121 },
10122 {
10123 "chips": ["gfx10"],
10124 "map": {"at": 221708, "to": "mm"},
10125 "name": "GE_PERFCOUNTER1_SELECT1",
10126 "type_ref": "GE_PERFCOUNTER0_SELECT1"
10127 },
10128 {
10129 "chips": ["gfx10"],
10130 "map": {"at": 213524, "to": "mm"},
10131 "name": "GE_PERFCOUNTER2_HI",
10132 "type_ref": "CB_PERFCOUNTER0_HI"
10133 },
10134 {
10135 "chips": ["gfx10"],
10136 "map": {"at": 213520, "to": "mm"},
10137 "name": "GE_PERFCOUNTER2_LO",
10138 "type_ref": "CB_PERFCOUNTER0_LO"
10139 },
10140 {
10141 "chips": ["gfx10"],
10142 "map": {"at": 221712, "to": "mm"},
10143 "name": "GE_PERFCOUNTER2_SELECT",
10144 "type_ref": "GE_PERFCOUNTER0_SELECT"
10145 },
10146 {
10147 "chips": ["gfx10"],
10148 "map": {"at": 221716, "to": "mm"},
10149 "name": "GE_PERFCOUNTER2_SELECT1",
10150 "type_ref": "GE_PERFCOUNTER0_SELECT1"
10151 },
10152 {
10153 "chips": ["gfx10"],
10154 "map": {"at": 213532, "to": "mm"},
10155 "name": "GE_PERFCOUNTER3_HI",
10156 "type_ref": "CB_PERFCOUNTER0_HI"
10157 },
10158 {
10159 "chips": ["gfx10"],
10160 "map": {"at": 213528, "to": "mm"},
10161 "name": "GE_PERFCOUNTER3_LO",
10162 "type_ref": "CB_PERFCOUNTER0_LO"
10163 },
10164 {
10165 "chips": ["gfx10"],
10166 "map": {"at": 221720, "to": "mm"},
10167 "name": "GE_PERFCOUNTER3_SELECT",
10168 "type_ref": "GE_PERFCOUNTER0_SELECT"
10169 },
10170 {
10171 "chips": ["gfx10"],
10172 "map": {"at": 221724, "to": "mm"},
10173 "name": "GE_PERFCOUNTER3_SELECT1",
10174 "type_ref": "GE_PERFCOUNTER0_SELECT1"
10175 },
10176 {
10177 "chips": ["gfx10"],
10178 "map": {"at": 213540, "to": "mm"},
10179 "name": "GE_PERFCOUNTER4_HI",
10180 "type_ref": "CB_PERFCOUNTER0_HI"
10181 },
10182 {
10183 "chips": ["gfx10"],
10184 "map": {"at": 213536, "to": "mm"},
10185 "name": "GE_PERFCOUNTER4_LO",
10186 "type_ref": "CB_PERFCOUNTER0_LO"
10187 },
10188 {
10189 "chips": ["gfx10"],
10190 "map": {"at": 221728, "to": "mm"},
10191 "name": "GE_PERFCOUNTER4_SELECT",
10192 "type_ref": "GE_PERFCOUNTER4_SELECT"
10193 },
10194 {
10195 "chips": ["gfx10"],
10196 "map": {"at": 213548, "to": "mm"},
10197 "name": "GE_PERFCOUNTER5_HI",
10198 "type_ref": "CB_PERFCOUNTER0_HI"
10199 },
10200 {
10201 "chips": ["gfx10"],
10202 "map": {"at": 213544, "to": "mm"},
10203 "name": "GE_PERFCOUNTER5_LO",
10204 "type_ref": "CB_PERFCOUNTER0_LO"
10205 },
10206 {
10207 "chips": ["gfx10"],
10208 "map": {"at": 221736, "to": "mm"},
10209 "name": "GE_PERFCOUNTER5_SELECT",
10210 "type_ref": "GE_PERFCOUNTER4_SELECT"
10211 },
10212 {
10213 "chips": ["gfx10"],
10214 "map": {"at": 213556, "to": "mm"},
10215 "name": "GE_PERFCOUNTER6_HI",
10216 "type_ref": "CB_PERFCOUNTER0_HI"
10217 },
10218 {
10219 "chips": ["gfx10"],
10220 "map": {"at": 213552, "to": "mm"},
10221 "name": "GE_PERFCOUNTER6_LO",
10222 "type_ref": "CB_PERFCOUNTER0_LO"
10223 },
10224 {
10225 "chips": ["gfx10"],
10226 "map": {"at": 221744, "to": "mm"},
10227 "name": "GE_PERFCOUNTER6_SELECT",
10228 "type_ref": "GE_PERFCOUNTER4_SELECT"
10229 },
10230 {
10231 "chips": ["gfx10"],
10232 "map": {"at": 213564, "to": "mm"},
10233 "name": "GE_PERFCOUNTER7_HI",
10234 "type_ref": "CB_PERFCOUNTER0_HI"
10235 },
10236 {
10237 "chips": ["gfx10"],
10238 "map": {"at": 213560, "to": "mm"},
10239 "name": "GE_PERFCOUNTER7_LO",
10240 "type_ref": "CB_PERFCOUNTER0_LO"
10241 },
10242 {
10243 "chips": ["gfx10"],
10244 "map": {"at": 221752, "to": "mm"},
10245 "name": "GE_PERFCOUNTER7_SELECT",
10246 "type_ref": "GE_PERFCOUNTER4_SELECT"
10247 },
10248 {
10249 "chips": ["gfx10"],
10250 "map": {"at": 213572, "to": "mm"},
10251 "name": "GE_PERFCOUNTER8_HI",
10252 "type_ref": "CB_PERFCOUNTER0_HI"
10253 },
10254 {
10255 "chips": ["gfx10"],
10256 "map": {"at": 213568, "to": "mm"},
10257 "name": "GE_PERFCOUNTER8_LO",
10258 "type_ref": "CB_PERFCOUNTER0_LO"
10259 },
10260 {
10261 "chips": ["gfx10"],
10262 "map": {"at": 221760, "to": "mm"},
10263 "name": "GE_PERFCOUNTER8_SELECT",
10264 "type_ref": "GE_PERFCOUNTER4_SELECT"
10265 },
10266 {
10267 "chips": ["gfx10"],
10268 "map": {"at": 213580, "to": "mm"},
10269 "name": "GE_PERFCOUNTER9_HI",
10270 "type_ref": "CB_PERFCOUNTER0_HI"
10271 },
10272 {
10273 "chips": ["gfx10"],
10274 "map": {"at": 213576, "to": "mm"},
10275 "name": "GE_PERFCOUNTER9_LO",
10276 "type_ref": "CB_PERFCOUNTER0_LO"
10277 },
10278 {
10279 "chips": ["gfx10"],
10280 "map": {"at": 221768, "to": "mm"},
10281 "name": "GE_PERFCOUNTER9_SELECT",
10282 "type_ref": "GE_PERFCOUNTER4_SELECT"
10283 },
10284 {
10285 "chips": ["gfx10"],
10286 "map": {"at": 199036, "to": "mm"},
10287 "name": "GE_STEREO_CNTL",
10288 "type_ref": "GE_STEREO_CNTL"
10289 },
10290 {
10291 "chips": ["gfx10"],
10292 "map": {"at": 199024, "to": "mm"},
10293 "name": "GE_USER_VGPR1",
10294 "type_ref": "COMPUTE_PGM_LO"
10295 },
10296 {
10297 "chips": ["gfx10"],
10298 "map": {"at": 199028, "to": "mm"},
10299 "name": "GE_USER_VGPR2",
10300 "type_ref": "COMPUTE_PGM_LO"
10301 },
10302 {
10303 "chips": ["gfx10"],
10304 "map": {"at": 199032, "to": "mm"},
10305 "name": "GE_USER_VGPR3",
10306 "type_ref": "COMPUTE_PGM_LO"
10307 },
10308 {
10309 "chips": ["gfx10"],
10310 "map": {"at": 199048, "to": "mm"},
10311 "name": "GE_USER_VGPR_EN",
10312 "type_ref": "GE_USER_VGPR_EN"
10313 },
10314 {
10315 "chips": ["gfx10"],
10316 "map": {"at": 165840, "to": "mm"},
10317 "name": "GFX_COPY_STATE",
10318 "type_ref": "CS_COPY_STATE"
10319 },
10320 {
10321 "chips": ["gfx10"],
10322 "map": {"at": 218884, "to": "mm"},
10323 "name": "GL1A_PERFCOUNTER0_HI",
10324 "type_ref": "CB_PERFCOUNTER0_HI"
10325 },
10326 {
10327 "chips": ["gfx10"],
10328 "map": {"at": 218880, "to": "mm"},
10329 "name": "GL1A_PERFCOUNTER0_LO",
10330 "type_ref": "CB_PERFCOUNTER0_LO"
10331 },
10332 {
10333 "chips": ["gfx10"],
10334 "map": {"at": 227072, "to": "mm"},
10335 "name": "GL1A_PERFCOUNTER0_SELECT",
10336 "type_ref": "GL1A_PERFCOUNTER0_SELECT"
10337 },
10338 {
10339 "chips": ["gfx10"],
10340 "map": {"at": 227076, "to": "mm"},
10341 "name": "GL1A_PERFCOUNTER0_SELECT1",
10342 "type_ref": "GL1A_PERFCOUNTER0_SELECT1"
10343 },
10344 {
10345 "chips": ["gfx10"],
10346 "map": {"at": 218892, "to": "mm"},
10347 "name": "GL1A_PERFCOUNTER1_HI",
10348 "type_ref": "CB_PERFCOUNTER0_HI"
10349 },
10350 {
10351 "chips": ["gfx10"],
10352 "map": {"at": 218888, "to": "mm"},
10353 "name": "GL1A_PERFCOUNTER1_LO",
10354 "type_ref": "CB_PERFCOUNTER0_LO"
10355 },
10356 {
10357 "chips": ["gfx10"],
10358 "map": {"at": 227080, "to": "mm"},
10359 "name": "GL1A_PERFCOUNTER1_SELECT",
10360 "type_ref": "GL1A_PERFCOUNTER1_SELECT"
10361 },
10362 {
10363 "chips": ["gfx10"],
10364 "map": {"at": 218900, "to": "mm"},
10365 "name": "GL1A_PERFCOUNTER2_HI",
10366 "type_ref": "CB_PERFCOUNTER0_HI"
10367 },
10368 {
10369 "chips": ["gfx10"],
10370 "map": {"at": 218896, "to": "mm"},
10371 "name": "GL1A_PERFCOUNTER2_LO",
10372 "type_ref": "CB_PERFCOUNTER0_LO"
10373 },
10374 {
10375 "chips": ["gfx10"],
10376 "map": {"at": 227084, "to": "mm"},
10377 "name": "GL1A_PERFCOUNTER2_SELECT",
10378 "type_ref": "GL1A_PERFCOUNTER1_SELECT"
10379 },
10380 {
10381 "chips": ["gfx10"],
10382 "map": {"at": 218908, "to": "mm"},
10383 "name": "GL1A_PERFCOUNTER3_HI",
10384 "type_ref": "CB_PERFCOUNTER0_HI"
10385 },
10386 {
10387 "chips": ["gfx10"],
10388 "map": {"at": 218904, "to": "mm"},
10389 "name": "GL1A_PERFCOUNTER3_LO",
10390 "type_ref": "CB_PERFCOUNTER0_LO"
10391 },
10392 {
10393 "chips": ["gfx10"],
10394 "map": {"at": 227088, "to": "mm"},
10395 "name": "GL1A_PERFCOUNTER3_SELECT",
10396 "type_ref": "GL1A_PERFCOUNTER1_SELECT"
10397 },
10398 {
10399 "chips": ["gfx10"],
10400 "map": {"at": 216740, "to": "mm"},
10401 "name": "GL1CG_PERFCOUNTER0_HI",
10402 "type_ref": "CB_PERFCOUNTER0_HI"
10403 },
10404 {
10405 "chips": ["gfx10"],
10406 "map": {"at": 216736, "to": "mm"},
10407 "name": "GL1CG_PERFCOUNTER0_LO",
10408 "type_ref": "CB_PERFCOUNTER0_LO"
10409 },
10410 {
10411 "chips": ["gfx10"],
10412 "map": {"at": 224920, "to": "mm"},
10413 "name": "GL1CG_PERFCOUNTER0_SELECT",
10414 "type_ref": "GL1CG_PERFCOUNTER0_SELECT"
10415 },
10416 {
10417 "chips": ["gfx10"],
10418 "map": {"at": 224924, "to": "mm"},
10419 "name": "GL1CG_PERFCOUNTER0_SELECT1",
10420 "type_ref": "GL1CG_PERFCOUNTER0_SELECT1"
10421 },
10422 {
10423 "chips": ["gfx10"],
10424 "map": {"at": 216748, "to": "mm"},
10425 "name": "GL1CG_PERFCOUNTER1_HI",
10426 "type_ref": "CB_PERFCOUNTER0_HI"
10427 },
10428 {
10429 "chips": ["gfx10"],
10430 "map": {"at": 216744, "to": "mm"},
10431 "name": "GL1CG_PERFCOUNTER1_LO",
10432 "type_ref": "CB_PERFCOUNTER0_LO"
10433 },
10434 {
10435 "chips": ["gfx10"],
10436 "map": {"at": 224928, "to": "mm"},
10437 "name": "GL1CG_PERFCOUNTER1_SELECT",
10438 "type_ref": "GL1CG_PERFCOUNTER1_SELECT"
10439 },
10440 {
10441 "chips": ["gfx10"],
10442 "map": {"at": 216756, "to": "mm"},
10443 "name": "GL1CG_PERFCOUNTER2_HI",
10444 "type_ref": "CB_PERFCOUNTER0_HI"
10445 },
10446 {
10447 "chips": ["gfx10"],
10448 "map": {"at": 216752, "to": "mm"},
10449 "name": "GL1CG_PERFCOUNTER2_LO",
10450 "type_ref": "CB_PERFCOUNTER0_LO"
10451 },
10452 {
10453 "chips": ["gfx10"],
10454 "map": {"at": 224932, "to": "mm"},
10455 "name": "GL1CG_PERFCOUNTER2_SELECT",
10456 "type_ref": "GL1CG_PERFCOUNTER1_SELECT"
10457 },
10458 {
10459 "chips": ["gfx10"],
10460 "map": {"at": 216764, "to": "mm"},
10461 "name": "GL1CG_PERFCOUNTER3_HI",
10462 "type_ref": "CB_PERFCOUNTER0_HI"
10463 },
10464 {
10465 "chips": ["gfx10"],
10466 "map": {"at": 216760, "to": "mm"},
10467 "name": "GL1CG_PERFCOUNTER3_LO",
10468 "type_ref": "CB_PERFCOUNTER0_LO"
10469 },
10470 {
10471 "chips": ["gfx10"],
10472 "map": {"at": 224936, "to": "mm"},
10473 "name": "GL1CG_PERFCOUNTER3_SELECT",
10474 "type_ref": "GL1CG_PERFCOUNTER1_SELECT"
10475 },
10476 {
10477 "chips": ["gfx10"],
10478 "map": {"at": 216708, "to": "mm"},
10479 "name": "GL1C_PERFCOUNTER0_HI",
10480 "type_ref": "CB_PERFCOUNTER0_HI"
10481 },
10482 {
10483 "chips": ["gfx10"],
10484 "map": {"at": 216704, "to": "mm"},
10485 "name": "GL1C_PERFCOUNTER0_LO",
10486 "type_ref": "CB_PERFCOUNTER0_LO"
10487 },
10488 {
10489 "chips": ["gfx10"],
10490 "map": {"at": 224896, "to": "mm"},
10491 "name": "GL1C_PERFCOUNTER0_SELECT",
10492 "type_ref": "GL1C_PERFCOUNTER0_SELECT"
10493 },
10494 {
10495 "chips": ["gfx10"],
10496 "map": {"at": 224900, "to": "mm"},
10497 "name": "GL1C_PERFCOUNTER0_SELECT1",
10498 "type_ref": "GL1C_PERFCOUNTER0_SELECT1"
10499 },
10500 {
10501 "chips": ["gfx10"],
10502 "map": {"at": 216716, "to": "mm"},
10503 "name": "GL1C_PERFCOUNTER1_HI",
10504 "type_ref": "CB_PERFCOUNTER0_HI"
10505 },
10506 {
10507 "chips": ["gfx10"],
10508 "map": {"at": 216712, "to": "mm"},
10509 "name": "GL1C_PERFCOUNTER1_LO",
10510 "type_ref": "CB_PERFCOUNTER0_LO"
10511 },
10512 {
10513 "chips": ["gfx10"],
10514 "map": {"at": 224904, "to": "mm"},
10515 "name": "GL1C_PERFCOUNTER1_SELECT",
10516 "type_ref": "GL1C_PERFCOUNTER1_SELECT"
10517 },
10518 {
10519 "chips": ["gfx10"],
10520 "map": {"at": 216724, "to": "mm"},
10521 "name": "GL1C_PERFCOUNTER2_HI",
10522 "type_ref": "CB_PERFCOUNTER0_HI"
10523 },
10524 {
10525 "chips": ["gfx10"],
10526 "map": {"at": 216720, "to": "mm"},
10527 "name": "GL1C_PERFCOUNTER2_LO",
10528 "type_ref": "CB_PERFCOUNTER0_LO"
10529 },
10530 {
10531 "chips": ["gfx10"],
10532 "map": {"at": 224908, "to": "mm"},
10533 "name": "GL1C_PERFCOUNTER2_SELECT",
10534 "type_ref": "GL1C_PERFCOUNTER1_SELECT"
10535 },
10536 {
10537 "chips": ["gfx10"],
10538 "map": {"at": 216732, "to": "mm"},
10539 "name": "GL1C_PERFCOUNTER3_HI",
10540 "type_ref": "CB_PERFCOUNTER0_HI"
10541 },
10542 {
10543 "chips": ["gfx10"],
10544 "map": {"at": 216728, "to": "mm"},
10545 "name": "GL1C_PERFCOUNTER3_LO",
10546 "type_ref": "CB_PERFCOUNTER0_LO"
10547 },
10548 {
10549 "chips": ["gfx10"],
10550 "map": {"at": 224912, "to": "mm"},
10551 "name": "GL1C_PERFCOUNTER3_SELECT",
10552 "type_ref": "GL1C_PERFCOUNTER1_SELECT"
10553 },
10554 {
10555 "chips": ["gfx10"],
10556 "map": {"at": 216644, "to": "mm"},
10557 "name": "GL2A_PERFCOUNTER0_HI",
10558 "type_ref": "CB_PERFCOUNTER0_HI"
10559 },
10560 {
10561 "chips": ["gfx10"],
10562 "map": {"at": 216640, "to": "mm"},
10563 "name": "GL2A_PERFCOUNTER0_LO",
10564 "type_ref": "CB_PERFCOUNTER0_LO"
10565 },
10566 {
10567 "chips": ["gfx10"],
10568 "map": {"at": 224832, "to": "mm"},
10569 "name": "GL2A_PERFCOUNTER0_SELECT",
10570 "type_ref": "GL2A_PERFCOUNTER0_SELECT"
10571 },
10572 {
10573 "chips": ["gfx10"],
10574 "map": {"at": 224836, "to": "mm"},
10575 "name": "GL2A_PERFCOUNTER0_SELECT1",
10576 "type_ref": "GL2A_PERFCOUNTER0_SELECT1"
10577 },
10578 {
10579 "chips": ["gfx10"],
10580 "map": {"at": 216652, "to": "mm"},
10581 "name": "GL2A_PERFCOUNTER1_HI",
10582 "type_ref": "CB_PERFCOUNTER0_HI"
10583 },
10584 {
10585 "chips": ["gfx10"],
10586 "map": {"at": 216648, "to": "mm"},
10587 "name": "GL2A_PERFCOUNTER1_LO",
10588 "type_ref": "CB_PERFCOUNTER0_LO"
10589 },
10590 {
10591 "chips": ["gfx10"],
10592 "map": {"at": 224840, "to": "mm"},
10593 "name": "GL2A_PERFCOUNTER1_SELECT",
10594 "type_ref": "GL2A_PERFCOUNTER0_SELECT"
10595 },
10596 {
10597 "chips": ["gfx10"],
10598 "map": {"at": 224844, "to": "mm"},
10599 "name": "GL2A_PERFCOUNTER1_SELECT1",
10600 "type_ref": "GL2A_PERFCOUNTER0_SELECT1"
10601 },
10602 {
10603 "chips": ["gfx10"],
10604 "map": {"at": 216660, "to": "mm"},
10605 "name": "GL2A_PERFCOUNTER2_HI",
10606 "type_ref": "CB_PERFCOUNTER0_HI"
10607 },
10608 {
10609 "chips": ["gfx10"],
10610 "map": {"at": 216656, "to": "mm"},
10611 "name": "GL2A_PERFCOUNTER2_LO",
10612 "type_ref": "CB_PERFCOUNTER0_LO"
10613 },
10614 {
10615 "chips": ["gfx10"],
10616 "map": {"at": 224848, "to": "mm"},
10617 "name": "GL2A_PERFCOUNTER2_SELECT",
10618 "type_ref": "GL2A_PERFCOUNTER2_SELECT"
10619 },
10620 {
10621 "chips": ["gfx10"],
10622 "map": {"at": 216668, "to": "mm"},
10623 "name": "GL2A_PERFCOUNTER3_HI",
10624 "type_ref": "CB_PERFCOUNTER0_HI"
10625 },
10626 {
10627 "chips": ["gfx10"],
10628 "map": {"at": 216664, "to": "mm"},
10629 "name": "GL2A_PERFCOUNTER3_LO",
10630 "type_ref": "CB_PERFCOUNTER0_LO"
10631 },
10632 {
10633 "chips": ["gfx10"],
10634 "map": {"at": 224852, "to": "mm"},
10635 "name": "GL2A_PERFCOUNTER3_SELECT",
10636 "type_ref": "GL2A_PERFCOUNTER2_SELECT"
10637 },
10638 {
10639 "chips": ["gfx10"],
10640 "map": {"at": 216580, "to": "mm"},
10641 "name": "GL2C_PERFCOUNTER0_HI",
10642 "type_ref": "CB_PERFCOUNTER0_HI"
10643 },
10644 {
10645 "chips": ["gfx10"],
10646 "map": {"at": 216576, "to": "mm"},
10647 "name": "GL2C_PERFCOUNTER0_LO",
10648 "type_ref": "CB_PERFCOUNTER0_LO"
10649 },
10650 {
10651 "chips": ["gfx10"],
10652 "map": {"at": 224768, "to": "mm"},
10653 "name": "GL2C_PERFCOUNTER0_SELECT",
10654 "type_ref": "GL2C_PERFCOUNTER0_SELECT"
10655 },
10656 {
10657 "chips": ["gfx10"],
10658 "map": {"at": 224772, "to": "mm"},
10659 "name": "GL2C_PERFCOUNTER0_SELECT1",
10660 "type_ref": "GL2C_PERFCOUNTER0_SELECT1"
10661 },
10662 {
10663 "chips": ["gfx10"],
10664 "map": {"at": 216588, "to": "mm"},
10665 "name": "GL2C_PERFCOUNTER1_HI",
10666 "type_ref": "CB_PERFCOUNTER0_HI"
10667 },
10668 {
10669 "chips": ["gfx10"],
10670 "map": {"at": 216584, "to": "mm"},
10671 "name": "GL2C_PERFCOUNTER1_LO",
10672 "type_ref": "CB_PERFCOUNTER0_LO"
10673 },
10674 {
10675 "chips": ["gfx10"],
10676 "map": {"at": 224776, "to": "mm"},
10677 "name": "GL2C_PERFCOUNTER1_SELECT",
10678 "type_ref": "GL2C_PERFCOUNTER0_SELECT"
10679 },
10680 {
10681 "chips": ["gfx10"],
10682 "map": {"at": 224780, "to": "mm"},
10683 "name": "GL2C_PERFCOUNTER1_SELECT1",
10684 "type_ref": "GL2C_PERFCOUNTER0_SELECT1"
10685 },
10686 {
10687 "chips": ["gfx10"],
10688 "map": {"at": 216596, "to": "mm"},
10689 "name": "GL2C_PERFCOUNTER2_HI",
10690 "type_ref": "CB_PERFCOUNTER0_HI"
10691 },
10692 {
10693 "chips": ["gfx10"],
10694 "map": {"at": 216592, "to": "mm"},
10695 "name": "GL2C_PERFCOUNTER2_LO",
10696 "type_ref": "CB_PERFCOUNTER0_LO"
10697 },
10698 {
10699 "chips": ["gfx10"],
10700 "map": {"at": 224784, "to": "mm"},
10701 "name": "GL2C_PERFCOUNTER2_SELECT",
10702 "type_ref": "GL2C_PERFCOUNTER2_SELECT"
10703 },
10704 {
10705 "chips": ["gfx10"],
10706 "map": {"at": 216604, "to": "mm"},
10707 "name": "GL2C_PERFCOUNTER3_HI",
10708 "type_ref": "CB_PERFCOUNTER0_HI"
10709 },
10710 {
10711 "chips": ["gfx10"],
10712 "map": {"at": 216600, "to": "mm"},
10713 "name": "GL2C_PERFCOUNTER3_LO",
10714 "type_ref": "CB_PERFCOUNTER0_LO"
10715 },
10716 {
10717 "chips": ["gfx10"],
10718 "map": {"at": 224788, "to": "mm"},
10719 "name": "GL2C_PERFCOUNTER3_SELECT",
10720 "type_ref": "GL2C_PERFCOUNTER2_SELECT"
10721 },
10722 {
10723 "chips": ["gfx10"],
10724 "map": {"at": 198656, "to": "mm"},
10725 "name": "GRBM_GFX_INDEX",
10726 "type_ref": "GRBM_GFX_INDEX"
10727 },
10728 {
10729 "chips": ["gfx10"],
10730 "map": {"at": 213252, "to": "mm"},
10731 "name": "GRBM_PERFCOUNTER0_HI",
10732 "type_ref": "CB_PERFCOUNTER0_HI"
10733 },
10734 {
10735 "chips": ["gfx10"],
10736 "map": {"at": 213248, "to": "mm"},
10737 "name": "GRBM_PERFCOUNTER0_LO",
10738 "type_ref": "CB_PERFCOUNTER0_LO"
10739 },
10740 {
10741 "chips": ["gfx10"],
10742 "map": {"at": 221440, "to": "mm"},
10743 "name": "GRBM_PERFCOUNTER0_SELECT",
10744 "type_ref": "GRBM_PERFCOUNTER0_SELECT"
10745 },
10746 {
10747 "chips": ["gfx10"],
10748 "map": {"at": 221492, "to": "mm"},
10749 "name": "GRBM_PERFCOUNTER0_SELECT_HI",
10750 "type_ref": "GRBM_PERFCOUNTER0_SELECT_HI"
10751 },
10752 {
10753 "chips": ["gfx10"],
10754 "map": {"at": 213264, "to": "mm"},
10755 "name": "GRBM_PERFCOUNTER1_HI",
10756 "type_ref": "CB_PERFCOUNTER0_HI"
10757 },
10758 {
10759 "chips": ["gfx10"],
10760 "map": {"at": 213260, "to": "mm"},
10761 "name": "GRBM_PERFCOUNTER1_LO",
10762 "type_ref": "CB_PERFCOUNTER0_LO"
10763 },
10764 {
10765 "chips": ["gfx10"],
10766 "map": {"at": 221444, "to": "mm"},
10767 "name": "GRBM_PERFCOUNTER1_SELECT",
10768 "type_ref": "GRBM_PERFCOUNTER0_SELECT"
10769 },
10770 {
10771 "chips": ["gfx10"],
10772 "map": {"at": 221496, "to": "mm"},
10773 "name": "GRBM_PERFCOUNTER1_SELECT_HI",
10774 "type_ref": "GRBM_PERFCOUNTER0_SELECT_HI"
10775 },
10776 {
10777 "chips": ["gfx10"],
10778 "map": {"at": 213272, "to": "mm"},
10779 "name": "GRBM_SE0_PERFCOUNTER_HI",
10780 "type_ref": "CB_PERFCOUNTER0_HI"
10781 },
10782 {
10783 "chips": ["gfx10"],
10784 "map": {"at": 213268, "to": "mm"},
10785 "name": "GRBM_SE0_PERFCOUNTER_LO",
10786 "type_ref": "CB_PERFCOUNTER0_LO"
10787 },
10788 {
10789 "chips": ["gfx10"],
10790 "map": {"at": 221448, "to": "mm"},
10791 "name": "GRBM_SE0_PERFCOUNTER_SELECT",
10792 "type_ref": "GRBM_SE0_PERFCOUNTER_SELECT"
10793 },
10794 {
10795 "chips": ["gfx10"],
10796 "map": {"at": 213280, "to": "mm"},
10797 "name": "GRBM_SE1_PERFCOUNTER_HI",
10798 "type_ref": "CB_PERFCOUNTER0_HI"
10799 },
10800 {
10801 "chips": ["gfx10"],
10802 "map": {"at": 213276, "to": "mm"},
10803 "name": "GRBM_SE1_PERFCOUNTER_LO",
10804 "type_ref": "CB_PERFCOUNTER0_LO"
10805 },
10806 {
10807 "chips": ["gfx10"],
10808 "map": {"at": 221452, "to": "mm"},
10809 "name": "GRBM_SE1_PERFCOUNTER_SELECT",
10810 "type_ref": "GRBM_SE1_PERFCOUNTER_SELECT"
10811 },
10812 {
10813 "chips": ["gfx10"],
10814 "map": {"at": 213288, "to": "mm"},
10815 "name": "GRBM_SE2_PERFCOUNTER_HI",
10816 "type_ref": "CB_PERFCOUNTER0_HI"
10817 },
10818 {
10819 "chips": ["gfx10"],
10820 "map": {"at": 213284, "to": "mm"},
10821 "name": "GRBM_SE2_PERFCOUNTER_LO",
10822 "type_ref": "CB_PERFCOUNTER0_LO"
10823 },
10824 {
10825 "chips": ["gfx10"],
10826 "map": {"at": 221456, "to": "mm"},
10827 "name": "GRBM_SE2_PERFCOUNTER_SELECT",
10828 "type_ref": "GRBM_SE2_PERFCOUNTER_SELECT"
10829 },
10830 {
10831 "chips": ["gfx10"],
10832 "map": {"at": 213296, "to": "mm"},
10833 "name": "GRBM_SE3_PERFCOUNTER_HI",
10834 "type_ref": "CB_PERFCOUNTER0_HI"
10835 },
10836 {
10837 "chips": ["gfx10"],
10838 "map": {"at": 213292, "to": "mm"},
10839 "name": "GRBM_SE3_PERFCOUNTER_LO",
10840 "type_ref": "CB_PERFCOUNTER0_LO"
10841 },
10842 {
10843 "chips": ["gfx10"],
10844 "map": {"at": 221460, "to": "mm"},
10845 "name": "GRBM_SE3_PERFCOUNTER_SELECT",
10846 "type_ref": "GRBM_SE3_PERFCOUNTER_SELECT"
10847 },
10848 {
10849 "chips": ["gfx10"],
10850 "map": {"at": 196864, "to": "mm"},
10851 "name": "GUI_SCRATCH_REG0",
10852 "type_ref": "SCRATCH_REG0"
10853 },
10854 {
10855 "chips": ["gfx10"],
10856 "map": {"at": 196868, "to": "mm"},
10857 "name": "GUI_SCRATCH_REG1",
10858 "type_ref": "SCRATCH_REG1"
10859 },
10860 {
10861 "chips": ["gfx10"],
10862 "map": {"at": 196872, "to": "mm"},
10863 "name": "GUI_SCRATCH_REG2",
10864 "type_ref": "SCRATCH_REG2"
10865 },
10866 {
10867 "chips": ["gfx10"],
10868 "map": {"at": 196876, "to": "mm"},
10869 "name": "GUI_SCRATCH_REG3",
10870 "type_ref": "SCRATCH_REG3"
10871 },
10872 {
10873 "chips": ["gfx10"],
10874 "map": {"at": 196880, "to": "mm"},
10875 "name": "GUI_SCRATCH_REG4",
10876 "type_ref": "SCRATCH_REG4"
10877 },
10878 {
10879 "chips": ["gfx10"],
10880 "map": {"at": 196884, "to": "mm"},
10881 "name": "GUI_SCRATCH_REG5",
10882 "type_ref": "SCRATCH_REG5"
10883 },
10884 {
10885 "chips": ["gfx10"],
10886 "map": {"at": 196888, "to": "mm"},
10887 "name": "GUI_SCRATCH_REG6",
10888 "type_ref": "SCRATCH_REG6"
10889 },
10890 {
10891 "chips": ["gfx10"],
10892 "map": {"at": 196892, "to": "mm"},
10893 "name": "GUI_SCRATCH_REG7",
10894 "type_ref": "SCRATCH_REG7"
10895 },
10896 {
10897 "chips": ["gfx10"],
10898 "map": {"at": 166512, "to": "mm"},
10899 "name": "IA_ENHANCE",
10900 "type_ref": "IA_ENHANCE"
10901 },
10902 {
10903 "chips": ["gfx10"],
10904 "map": {"at": 166568, "to": "mm"},
10905 "name": "IA_MULTI_VGT_PARAM",
10906 "type_ref": "IA_MULTI_VGT_PARAM"
10907 },
10908 {
10909 "chips": ["gfx10"],
10910 "map": {"at": 199008, "to": "mm"},
10911 "name": "IA_MULTI_VGT_PARAM_PIPED",
10912 "type_ref": "IA_MULTI_VGT_PARAM_PIPED"
10913 },
10914 {
10915 "chips": ["gfx10"],
10916 "map": {"at": 165904, "to": "mm"},
10917 "name": "PA_CL_CLIP_CNTL",
10918 "type_ref": "PA_CL_CLIP_CNTL"
10919 },
10920 {
10921 "chips": ["gfx10"],
10922 "map": {"at": 166896, "to": "mm"},
10923 "name": "PA_CL_GB_HORZ_CLIP_ADJ",
10924 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
10925 },
10926 {
10927 "chips": ["gfx10"],
10928 "map": {"at": 166900, "to": "mm"},
10929 "name": "PA_CL_GB_HORZ_DISC_ADJ",
10930 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
10931 },
10932 {
10933 "chips": ["gfx10"],
10934 "map": {"at": 166888, "to": "mm"},
10935 "name": "PA_CL_GB_VERT_CLIP_ADJ",
10936 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
10937 },
10938 {
10939 "chips": ["gfx10"],
10940 "map": {"at": 166892, "to": "mm"},
10941 "name": "PA_CL_GB_VERT_DISC_ADJ",
10942 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
10943 },
10944 {
10945 "chips": ["gfx10"],
10946 "map": {"at": 165920, "to": "mm"},
10947 "name": "PA_CL_NANINF_CNTL",
10948 "type_ref": "PA_CL_NANINF_CNTL"
10949 },
10950 {
10951 "chips": ["gfx10"],
10952 "map": {"at": 165944, "to": "mm"},
10953 "name": "PA_CL_NGG_CNTL",
10954 "type_ref": "PA_CL_NGG_CNTL"
10955 },
10956 {
10957 "chips": ["gfx10"],
10958 "map": {"at": 165940, "to": "mm"},
10959 "name": "PA_CL_OBJPRIM_ID_CNTL",
10960 "type_ref": "PA_CL_OBJPRIM_ID_CNTL"
10961 },
10962 {
10963 "chips": ["gfx10"],
10964 "map": {"at": 165856, "to": "mm"},
10965 "name": "PA_CL_POINT_CULL_RAD",
10966 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
10967 },
10968 {
10969 "chips": ["gfx10"],
10970 "map": {"at": 165852, "to": "mm"},
10971 "name": "PA_CL_POINT_SIZE",
10972 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
10973 },
10974 {
10975 "chips": ["gfx10"],
10976 "map": {"at": 165844, "to": "mm"},
10977 "name": "PA_CL_POINT_X_RAD",
10978 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
10979 },
10980 {
10981 "chips": ["gfx10"],
10982 "map": {"at": 165848, "to": "mm"},
10983 "name": "PA_CL_POINT_Y_RAD",
10984 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
10985 },
10986 {
10987 "chips": ["gfx10"],
10988 "map": {"at": 165404, "to": "mm"},
10989 "name": "PA_CL_PROG_NEAR_CLIP_Z",
10990 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
10991 },
10992 {
10993 "chips": ["gfx10"],
10994 "map": {"at": 165320, "to": "mm"},
10995 "name": "PA_CL_UCP_0_W",
10996 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
10997 },
10998 {
10999 "chips": ["gfx10"],
11000 "map": {"at": 165308, "to": "mm"},
11001 "name": "PA_CL_UCP_0_X",
11002 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11003 },
11004 {
11005 "chips": ["gfx10"],
11006 "map": {"at": 165312, "to": "mm"},
11007 "name": "PA_CL_UCP_0_Y",
11008 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11009 },
11010 {
11011 "chips": ["gfx10"],
11012 "map": {"at": 165316, "to": "mm"},
11013 "name": "PA_CL_UCP_0_Z",
11014 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11015 },
11016 {
11017 "chips": ["gfx10"],
11018 "map": {"at": 165336, "to": "mm"},
11019 "name": "PA_CL_UCP_1_W",
11020 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11021 },
11022 {
11023 "chips": ["gfx10"],
11024 "map": {"at": 165324, "to": "mm"},
11025 "name": "PA_CL_UCP_1_X",
11026 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11027 },
11028 {
11029 "chips": ["gfx10"],
11030 "map": {"at": 165328, "to": "mm"},
11031 "name": "PA_CL_UCP_1_Y",
11032 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11033 },
11034 {
11035 "chips": ["gfx10"],
11036 "map": {"at": 165332, "to": "mm"},
11037 "name": "PA_CL_UCP_1_Z",
11038 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11039 },
11040 {
11041 "chips": ["gfx10"],
11042 "map": {"at": 165352, "to": "mm"},
11043 "name": "PA_CL_UCP_2_W",
11044 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11045 },
11046 {
11047 "chips": ["gfx10"],
11048 "map": {"at": 165340, "to": "mm"},
11049 "name": "PA_CL_UCP_2_X",
11050 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11051 },
11052 {
11053 "chips": ["gfx10"],
11054 "map": {"at": 165344, "to": "mm"},
11055 "name": "PA_CL_UCP_2_Y",
11056 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11057 },
11058 {
11059 "chips": ["gfx10"],
11060 "map": {"at": 165348, "to": "mm"},
11061 "name": "PA_CL_UCP_2_Z",
11062 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11063 },
11064 {
11065 "chips": ["gfx10"],
11066 "map": {"at": 165368, "to": "mm"},
11067 "name": "PA_CL_UCP_3_W",
11068 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11069 },
11070 {
11071 "chips": ["gfx10"],
11072 "map": {"at": 165356, "to": "mm"},
11073 "name": "PA_CL_UCP_3_X",
11074 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11075 },
11076 {
11077 "chips": ["gfx10"],
11078 "map": {"at": 165360, "to": "mm"},
11079 "name": "PA_CL_UCP_3_Y",
11080 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11081 },
11082 {
11083 "chips": ["gfx10"],
11084 "map": {"at": 165364, "to": "mm"},
11085 "name": "PA_CL_UCP_3_Z",
11086 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11087 },
11088 {
11089 "chips": ["gfx10"],
11090 "map": {"at": 165384, "to": "mm"},
11091 "name": "PA_CL_UCP_4_W",
11092 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11093 },
11094 {
11095 "chips": ["gfx10"],
11096 "map": {"at": 165372, "to": "mm"},
11097 "name": "PA_CL_UCP_4_X",
11098 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11099 },
11100 {
11101 "chips": ["gfx10"],
11102 "map": {"at": 165376, "to": "mm"},
11103 "name": "PA_CL_UCP_4_Y",
11104 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11105 },
11106 {
11107 "chips": ["gfx10"],
11108 "map": {"at": 165380, "to": "mm"},
11109 "name": "PA_CL_UCP_4_Z",
11110 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11111 },
11112 {
11113 "chips": ["gfx10"],
11114 "map": {"at": 165400, "to": "mm"},
11115 "name": "PA_CL_UCP_5_W",
11116 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11117 },
11118 {
11119 "chips": ["gfx10"],
11120 "map": {"at": 165388, "to": "mm"},
11121 "name": "PA_CL_UCP_5_X",
11122 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11123 },
11124 {
11125 "chips": ["gfx10"],
11126 "map": {"at": 165392, "to": "mm"},
11127 "name": "PA_CL_UCP_5_Y",
11128 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11129 },
11130 {
11131 "chips": ["gfx10"],
11132 "map": {"at": 165396, "to": "mm"},
11133 "name": "PA_CL_UCP_5_Z",
11134 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11135 },
11136 {
11137 "chips": ["gfx10"],
11138 "map": {"at": 164928, "to": "mm"},
11139 "name": "PA_CL_VPORT_XOFFSET",
11140 "type_ref": "PA_CL_VPORT_XOFFSET"
11141 },
11142 {
11143 "chips": ["gfx10"],
11144 "map": {"at": 164952, "to": "mm"},
11145 "name": "PA_CL_VPORT_XOFFSET_1",
11146 "type_ref": "PA_CL_VPORT_XOFFSET"
11147 },
11148 {
11149 "chips": ["gfx10"],
11150 "map": {"at": 165168, "to": "mm"},
11151 "name": "PA_CL_VPORT_XOFFSET_10",
11152 "type_ref": "PA_CL_VPORT_XOFFSET"
11153 },
11154 {
11155 "chips": ["gfx10"],
11156 "map": {"at": 165192, "to": "mm"},
11157 "name": "PA_CL_VPORT_XOFFSET_11",
11158 "type_ref": "PA_CL_VPORT_XOFFSET"
11159 },
11160 {
11161 "chips": ["gfx10"],
11162 "map": {"at": 165216, "to": "mm"},
11163 "name": "PA_CL_VPORT_XOFFSET_12",
11164 "type_ref": "PA_CL_VPORT_XOFFSET"
11165 },
11166 {
11167 "chips": ["gfx10"],
11168 "map": {"at": 165240, "to": "mm"},
11169 "name": "PA_CL_VPORT_XOFFSET_13",
11170 "type_ref": "PA_CL_VPORT_XOFFSET"
11171 },
11172 {
11173 "chips": ["gfx10"],
11174 "map": {"at": 165264, "to": "mm"},
11175 "name": "PA_CL_VPORT_XOFFSET_14",
11176 "type_ref": "PA_CL_VPORT_XOFFSET"
11177 },
11178 {
11179 "chips": ["gfx10"],
11180 "map": {"at": 165288, "to": "mm"},
11181 "name": "PA_CL_VPORT_XOFFSET_15",
11182 "type_ref": "PA_CL_VPORT_XOFFSET"
11183 },
11184 {
11185 "chips": ["gfx10"],
11186 "map": {"at": 164976, "to": "mm"},
11187 "name": "PA_CL_VPORT_XOFFSET_2",
11188 "type_ref": "PA_CL_VPORT_XOFFSET"
11189 },
11190 {
11191 "chips": ["gfx10"],
11192 "map": {"at": 165000, "to": "mm"},
11193 "name": "PA_CL_VPORT_XOFFSET_3",
11194 "type_ref": "PA_CL_VPORT_XOFFSET"
11195 },
11196 {
11197 "chips": ["gfx10"],
11198 "map": {"at": 165024, "to": "mm"},
11199 "name": "PA_CL_VPORT_XOFFSET_4",
11200 "type_ref": "PA_CL_VPORT_XOFFSET"
11201 },
11202 {
11203 "chips": ["gfx10"],
11204 "map": {"at": 165048, "to": "mm"},
11205 "name": "PA_CL_VPORT_XOFFSET_5",
11206 "type_ref": "PA_CL_VPORT_XOFFSET"
11207 },
11208 {
11209 "chips": ["gfx10"],
11210 "map": {"at": 165072, "to": "mm"},
11211 "name": "PA_CL_VPORT_XOFFSET_6",
11212 "type_ref": "PA_CL_VPORT_XOFFSET"
11213 },
11214 {
11215 "chips": ["gfx10"],
11216 "map": {"at": 165096, "to": "mm"},
11217 "name": "PA_CL_VPORT_XOFFSET_7",
11218 "type_ref": "PA_CL_VPORT_XOFFSET"
11219 },
11220 {
11221 "chips": ["gfx10"],
11222 "map": {"at": 165120, "to": "mm"},
11223 "name": "PA_CL_VPORT_XOFFSET_8",
11224 "type_ref": "PA_CL_VPORT_XOFFSET"
11225 },
11226 {
11227 "chips": ["gfx10"],
11228 "map": {"at": 165144, "to": "mm"},
11229 "name": "PA_CL_VPORT_XOFFSET_9",
11230 "type_ref": "PA_CL_VPORT_XOFFSET"
11231 },
11232 {
11233 "chips": ["gfx10"],
11234 "map": {"at": 164924, "to": "mm"},
11235 "name": "PA_CL_VPORT_XSCALE",
11236 "type_ref": "PA_CL_VPORT_XSCALE"
11237 },
11238 {
11239 "chips": ["gfx10"],
11240 "map": {"at": 164948, "to": "mm"},
11241 "name": "PA_CL_VPORT_XSCALE_1",
11242 "type_ref": "PA_CL_VPORT_XSCALE"
11243 },
11244 {
11245 "chips": ["gfx10"],
11246 "map": {"at": 165164, "to": "mm"},
11247 "name": "PA_CL_VPORT_XSCALE_10",
11248 "type_ref": "PA_CL_VPORT_XSCALE"
11249 },
11250 {
11251 "chips": ["gfx10"],
11252 "map": {"at": 165188, "to": "mm"},
11253 "name": "PA_CL_VPORT_XSCALE_11",
11254 "type_ref": "PA_CL_VPORT_XSCALE"
11255 },
11256 {
11257 "chips": ["gfx10"],
11258 "map": {"at": 165212, "to": "mm"},
11259 "name": "PA_CL_VPORT_XSCALE_12",
11260 "type_ref": "PA_CL_VPORT_XSCALE"
11261 },
11262 {
11263 "chips": ["gfx10"],
11264 "map": {"at": 165236, "to": "mm"},
11265 "name": "PA_CL_VPORT_XSCALE_13",
11266 "type_ref": "PA_CL_VPORT_XSCALE"
11267 },
11268 {
11269 "chips": ["gfx10"],
11270 "map": {"at": 165260, "to": "mm"},
11271 "name": "PA_CL_VPORT_XSCALE_14",
11272 "type_ref": "PA_CL_VPORT_XSCALE"
11273 },
11274 {
11275 "chips": ["gfx10"],
11276 "map": {"at": 165284, "to": "mm"},
11277 "name": "PA_CL_VPORT_XSCALE_15",
11278 "type_ref": "PA_CL_VPORT_XSCALE"
11279 },
11280 {
11281 "chips": ["gfx10"],
11282 "map": {"at": 164972, "to": "mm"},
11283 "name": "PA_CL_VPORT_XSCALE_2",
11284 "type_ref": "PA_CL_VPORT_XSCALE"
11285 },
11286 {
11287 "chips": ["gfx10"],
11288 "map": {"at": 164996, "to": "mm"},
11289 "name": "PA_CL_VPORT_XSCALE_3",
11290 "type_ref": "PA_CL_VPORT_XSCALE"
11291 },
11292 {
11293 "chips": ["gfx10"],
11294 "map": {"at": 165020, "to": "mm"},
11295 "name": "PA_CL_VPORT_XSCALE_4",
11296 "type_ref": "PA_CL_VPORT_XSCALE"
11297 },
11298 {
11299 "chips": ["gfx10"],
11300 "map": {"at": 165044, "to": "mm"},
11301 "name": "PA_CL_VPORT_XSCALE_5",
11302 "type_ref": "PA_CL_VPORT_XSCALE"
11303 },
11304 {
11305 "chips": ["gfx10"],
11306 "map": {"at": 165068, "to": "mm"},
11307 "name": "PA_CL_VPORT_XSCALE_6",
11308 "type_ref": "PA_CL_VPORT_XSCALE"
11309 },
11310 {
11311 "chips": ["gfx10"],
11312 "map": {"at": 165092, "to": "mm"},
11313 "name": "PA_CL_VPORT_XSCALE_7",
11314 "type_ref": "PA_CL_VPORT_XSCALE"
11315 },
11316 {
11317 "chips": ["gfx10"],
11318 "map": {"at": 165116, "to": "mm"},
11319 "name": "PA_CL_VPORT_XSCALE_8",
11320 "type_ref": "PA_CL_VPORT_XSCALE"
11321 },
11322 {
11323 "chips": ["gfx10"],
11324 "map": {"at": 165140, "to": "mm"},
11325 "name": "PA_CL_VPORT_XSCALE_9",
11326 "type_ref": "PA_CL_VPORT_XSCALE"
11327 },
11328 {
11329 "chips": ["gfx10"],
11330 "map": {"at": 164936, "to": "mm"},
11331 "name": "PA_CL_VPORT_YOFFSET",
11332 "type_ref": "PA_CL_VPORT_YOFFSET"
11333 },
11334 {
11335 "chips": ["gfx10"],
11336 "map": {"at": 164960, "to": "mm"},
11337 "name": "PA_CL_VPORT_YOFFSET_1",
11338 "type_ref": "PA_CL_VPORT_YOFFSET"
11339 },
11340 {
11341 "chips": ["gfx10"],
11342 "map": {"at": 165176, "to": "mm"},
11343 "name": "PA_CL_VPORT_YOFFSET_10",
11344 "type_ref": "PA_CL_VPORT_YOFFSET"
11345 },
11346 {
11347 "chips": ["gfx10"],
11348 "map": {"at": 165200, "to": "mm"},
11349 "name": "PA_CL_VPORT_YOFFSET_11",
11350 "type_ref": "PA_CL_VPORT_YOFFSET"
11351 },
11352 {
11353 "chips": ["gfx10"],
11354 "map": {"at": 165224, "to": "mm"},
11355 "name": "PA_CL_VPORT_YOFFSET_12",
11356 "type_ref": "PA_CL_VPORT_YOFFSET"
11357 },
11358 {
11359 "chips": ["gfx10"],
11360 "map": {"at": 165248, "to": "mm"},
11361 "name": "PA_CL_VPORT_YOFFSET_13",
11362 "type_ref": "PA_CL_VPORT_YOFFSET"
11363 },
11364 {
11365 "chips": ["gfx10"],
11366 "map": {"at": 165272, "to": "mm"},
11367 "name": "PA_CL_VPORT_YOFFSET_14",
11368 "type_ref": "PA_CL_VPORT_YOFFSET"
11369 },
11370 {
11371 "chips": ["gfx10"],
11372 "map": {"at": 165296, "to": "mm"},
11373 "name": "PA_CL_VPORT_YOFFSET_15",
11374 "type_ref": "PA_CL_VPORT_YOFFSET"
11375 },
11376 {
11377 "chips": ["gfx10"],
11378 "map": {"at": 164984, "to": "mm"},
11379 "name": "PA_CL_VPORT_YOFFSET_2",
11380 "type_ref": "PA_CL_VPORT_YOFFSET"
11381 },
11382 {
11383 "chips": ["gfx10"],
11384 "map": {"at": 165008, "to": "mm"},
11385 "name": "PA_CL_VPORT_YOFFSET_3",
11386 "type_ref": "PA_CL_VPORT_YOFFSET"
11387 },
11388 {
11389 "chips": ["gfx10"],
11390 "map": {"at": 165032, "to": "mm"},
11391 "name": "PA_CL_VPORT_YOFFSET_4",
11392 "type_ref": "PA_CL_VPORT_YOFFSET"
11393 },
11394 {
11395 "chips": ["gfx10"],
11396 "map": {"at": 165056, "to": "mm"},
11397 "name": "PA_CL_VPORT_YOFFSET_5",
11398 "type_ref": "PA_CL_VPORT_YOFFSET"
11399 },
11400 {
11401 "chips": ["gfx10"],
11402 "map": {"at": 165080, "to": "mm"},
11403 "name": "PA_CL_VPORT_YOFFSET_6",
11404 "type_ref": "PA_CL_VPORT_YOFFSET"
11405 },
11406 {
11407 "chips": ["gfx10"],
11408 "map": {"at": 165104, "to": "mm"},
11409 "name": "PA_CL_VPORT_YOFFSET_7",
11410 "type_ref": "PA_CL_VPORT_YOFFSET"
11411 },
11412 {
11413 "chips": ["gfx10"],
11414 "map": {"at": 165128, "to": "mm"},
11415 "name": "PA_CL_VPORT_YOFFSET_8",
11416 "type_ref": "PA_CL_VPORT_YOFFSET"
11417 },
11418 {
11419 "chips": ["gfx10"],
11420 "map": {"at": 165152, "to": "mm"},
11421 "name": "PA_CL_VPORT_YOFFSET_9",
11422 "type_ref": "PA_CL_VPORT_YOFFSET"
11423 },
11424 {
11425 "chips": ["gfx10"],
11426 "map": {"at": 164932, "to": "mm"},
11427 "name": "PA_CL_VPORT_YSCALE",
11428 "type_ref": "PA_CL_VPORT_YSCALE"
11429 },
11430 {
11431 "chips": ["gfx10"],
11432 "map": {"at": 164956, "to": "mm"},
11433 "name": "PA_CL_VPORT_YSCALE_1",
11434 "type_ref": "PA_CL_VPORT_YSCALE"
11435 },
11436 {
11437 "chips": ["gfx10"],
11438 "map": {"at": 165172, "to": "mm"},
11439 "name": "PA_CL_VPORT_YSCALE_10",
11440 "type_ref": "PA_CL_VPORT_YSCALE"
11441 },
11442 {
11443 "chips": ["gfx10"],
11444 "map": {"at": 165196, "to": "mm"},
11445 "name": "PA_CL_VPORT_YSCALE_11",
11446 "type_ref": "PA_CL_VPORT_YSCALE"
11447 },
11448 {
11449 "chips": ["gfx10"],
11450 "map": {"at": 165220, "to": "mm"},
11451 "name": "PA_CL_VPORT_YSCALE_12",
11452 "type_ref": "PA_CL_VPORT_YSCALE"
11453 },
11454 {
11455 "chips": ["gfx10"],
11456 "map": {"at": 165244, "to": "mm"},
11457 "name": "PA_CL_VPORT_YSCALE_13",
11458 "type_ref": "PA_CL_VPORT_YSCALE"
11459 },
11460 {
11461 "chips": ["gfx10"],
11462 "map": {"at": 165268, "to": "mm"},
11463 "name": "PA_CL_VPORT_YSCALE_14",
11464 "type_ref": "PA_CL_VPORT_YSCALE"
11465 },
11466 {
11467 "chips": ["gfx10"],
11468 "map": {"at": 165292, "to": "mm"},
11469 "name": "PA_CL_VPORT_YSCALE_15",
11470 "type_ref": "PA_CL_VPORT_YSCALE"
11471 },
11472 {
11473 "chips": ["gfx10"],
11474 "map": {"at": 164980, "to": "mm"},
11475 "name": "PA_CL_VPORT_YSCALE_2",
11476 "type_ref": "PA_CL_VPORT_YSCALE"
11477 },
11478 {
11479 "chips": ["gfx10"],
11480 "map": {"at": 165004, "to": "mm"},
11481 "name": "PA_CL_VPORT_YSCALE_3",
11482 "type_ref": "PA_CL_VPORT_YSCALE"
11483 },
11484 {
11485 "chips": ["gfx10"],
11486 "map": {"at": 165028, "to": "mm"},
11487 "name": "PA_CL_VPORT_YSCALE_4",
11488 "type_ref": "PA_CL_VPORT_YSCALE"
11489 },
11490 {
11491 "chips": ["gfx10"],
11492 "map": {"at": 165052, "to": "mm"},
11493 "name": "PA_CL_VPORT_YSCALE_5",
11494 "type_ref": "PA_CL_VPORT_YSCALE"
11495 },
11496 {
11497 "chips": ["gfx10"],
11498 "map": {"at": 165076, "to": "mm"},
11499 "name": "PA_CL_VPORT_YSCALE_6",
11500 "type_ref": "PA_CL_VPORT_YSCALE"
11501 },
11502 {
11503 "chips": ["gfx10"],
11504 "map": {"at": 165100, "to": "mm"},
11505 "name": "PA_CL_VPORT_YSCALE_7",
11506 "type_ref": "PA_CL_VPORT_YSCALE"
11507 },
11508 {
11509 "chips": ["gfx10"],
11510 "map": {"at": 165124, "to": "mm"},
11511 "name": "PA_CL_VPORT_YSCALE_8",
11512 "type_ref": "PA_CL_VPORT_YSCALE"
11513 },
11514 {
11515 "chips": ["gfx10"],
11516 "map": {"at": 165148, "to": "mm"},
11517 "name": "PA_CL_VPORT_YSCALE_9",
11518 "type_ref": "PA_CL_VPORT_YSCALE"
11519 },
11520 {
11521 "chips": ["gfx10"],
11522 "map": {"at": 164944, "to": "mm"},
11523 "name": "PA_CL_VPORT_ZOFFSET",
11524 "type_ref": "PA_CL_VPORT_ZOFFSET"
11525 },
11526 {
11527 "chips": ["gfx10"],
11528 "map": {"at": 164968, "to": "mm"},
11529 "name": "PA_CL_VPORT_ZOFFSET_1",
11530 "type_ref": "PA_CL_VPORT_ZOFFSET"
11531 },
11532 {
11533 "chips": ["gfx10"],
11534 "map": {"at": 165184, "to": "mm"},
11535 "name": "PA_CL_VPORT_ZOFFSET_10",
11536 "type_ref": "PA_CL_VPORT_ZOFFSET"
11537 },
11538 {
11539 "chips": ["gfx10"],
11540 "map": {"at": 165208, "to": "mm"},
11541 "name": "PA_CL_VPORT_ZOFFSET_11",
11542 "type_ref": "PA_CL_VPORT_ZOFFSET"
11543 },
11544 {
11545 "chips": ["gfx10"],
11546 "map": {"at": 165232, "to": "mm"},
11547 "name": "PA_CL_VPORT_ZOFFSET_12",
11548 "type_ref": "PA_CL_VPORT_ZOFFSET"
11549 },
11550 {
11551 "chips": ["gfx10"],
11552 "map": {"at": 165256, "to": "mm"},
11553 "name": "PA_CL_VPORT_ZOFFSET_13",
11554 "type_ref": "PA_CL_VPORT_ZOFFSET"
11555 },
11556 {
11557 "chips": ["gfx10"],
11558 "map": {"at": 165280, "to": "mm"},
11559 "name": "PA_CL_VPORT_ZOFFSET_14",
11560 "type_ref": "PA_CL_VPORT_ZOFFSET"
11561 },
11562 {
11563 "chips": ["gfx10"],
11564 "map": {"at": 165304, "to": "mm"},
11565 "name": "PA_CL_VPORT_ZOFFSET_15",
11566 "type_ref": "PA_CL_VPORT_ZOFFSET"
11567 },
11568 {
11569 "chips": ["gfx10"],
11570 "map": {"at": 164992, "to": "mm"},
11571 "name": "PA_CL_VPORT_ZOFFSET_2",
11572 "type_ref": "PA_CL_VPORT_ZOFFSET"
11573 },
11574 {
11575 "chips": ["gfx10"],
11576 "map": {"at": 165016, "to": "mm"},
11577 "name": "PA_CL_VPORT_ZOFFSET_3",
11578 "type_ref": "PA_CL_VPORT_ZOFFSET"
11579 },
11580 {
11581 "chips": ["gfx10"],
11582 "map": {"at": 165040, "to": "mm"},
11583 "name": "PA_CL_VPORT_ZOFFSET_4",
11584 "type_ref": "PA_CL_VPORT_ZOFFSET"
11585 },
11586 {
11587 "chips": ["gfx10"],
11588 "map": {"at": 165064, "to": "mm"},
11589 "name": "PA_CL_VPORT_ZOFFSET_5",
11590 "type_ref": "PA_CL_VPORT_ZOFFSET"
11591 },
11592 {
11593 "chips": ["gfx10"],
11594 "map": {"at": 165088, "to": "mm"},
11595 "name": "PA_CL_VPORT_ZOFFSET_6",
11596 "type_ref": "PA_CL_VPORT_ZOFFSET"
11597 },
11598 {
11599 "chips": ["gfx10"],
11600 "map": {"at": 165112, "to": "mm"},
11601 "name": "PA_CL_VPORT_ZOFFSET_7",
11602 "type_ref": "PA_CL_VPORT_ZOFFSET"
11603 },
11604 {
11605 "chips": ["gfx10"],
11606 "map": {"at": 165136, "to": "mm"},
11607 "name": "PA_CL_VPORT_ZOFFSET_8",
11608 "type_ref": "PA_CL_VPORT_ZOFFSET"
11609 },
11610 {
11611 "chips": ["gfx10"],
11612 "map": {"at": 165160, "to": "mm"},
11613 "name": "PA_CL_VPORT_ZOFFSET_9",
11614 "type_ref": "PA_CL_VPORT_ZOFFSET"
11615 },
11616 {
11617 "chips": ["gfx10"],
11618 "map": {"at": 164940, "to": "mm"},
11619 "name": "PA_CL_VPORT_ZSCALE",
11620 "type_ref": "PA_CL_VPORT_ZSCALE"
11621 },
11622 {
11623 "chips": ["gfx10"],
11624 "map": {"at": 164964, "to": "mm"},
11625 "name": "PA_CL_VPORT_ZSCALE_1",
11626 "type_ref": "PA_CL_VPORT_ZSCALE"
11627 },
11628 {
11629 "chips": ["gfx10"],
11630 "map": {"at": 165180, "to": "mm"},
11631 "name": "PA_CL_VPORT_ZSCALE_10",
11632 "type_ref": "PA_CL_VPORT_ZSCALE"
11633 },
11634 {
11635 "chips": ["gfx10"],
11636 "map": {"at": 165204, "to": "mm"},
11637 "name": "PA_CL_VPORT_ZSCALE_11",
11638 "type_ref": "PA_CL_VPORT_ZSCALE"
11639 },
11640 {
11641 "chips": ["gfx10"],
11642 "map": {"at": 165228, "to": "mm"},
11643 "name": "PA_CL_VPORT_ZSCALE_12",
11644 "type_ref": "PA_CL_VPORT_ZSCALE"
11645 },
11646 {
11647 "chips": ["gfx10"],
11648 "map": {"at": 165252, "to": "mm"},
11649 "name": "PA_CL_VPORT_ZSCALE_13",
11650 "type_ref": "PA_CL_VPORT_ZSCALE"
11651 },
11652 {
11653 "chips": ["gfx10"],
11654 "map": {"at": 165276, "to": "mm"},
11655 "name": "PA_CL_VPORT_ZSCALE_14",
11656 "type_ref": "PA_CL_VPORT_ZSCALE"
11657 },
11658 {
11659 "chips": ["gfx10"],
11660 "map": {"at": 165300, "to": "mm"},
11661 "name": "PA_CL_VPORT_ZSCALE_15",
11662 "type_ref": "PA_CL_VPORT_ZSCALE"
11663 },
11664 {
11665 "chips": ["gfx10"],
11666 "map": {"at": 164988, "to": "mm"},
11667 "name": "PA_CL_VPORT_ZSCALE_2",
11668 "type_ref": "PA_CL_VPORT_ZSCALE"
11669 },
11670 {
11671 "chips": ["gfx10"],
11672 "map": {"at": 165012, "to": "mm"},
11673 "name": "PA_CL_VPORT_ZSCALE_3",
11674 "type_ref": "PA_CL_VPORT_ZSCALE"
11675 },
11676 {
11677 "chips": ["gfx10"],
11678 "map": {"at": 165036, "to": "mm"},
11679 "name": "PA_CL_VPORT_ZSCALE_4",
11680 "type_ref": "PA_CL_VPORT_ZSCALE"
11681 },
11682 {
11683 "chips": ["gfx10"],
11684 "map": {"at": 165060, "to": "mm"},
11685 "name": "PA_CL_VPORT_ZSCALE_5",
11686 "type_ref": "PA_CL_VPORT_ZSCALE"
11687 },
11688 {
11689 "chips": ["gfx10"],
11690 "map": {"at": 165084, "to": "mm"},
11691 "name": "PA_CL_VPORT_ZSCALE_6",
11692 "type_ref": "PA_CL_VPORT_ZSCALE"
11693 },
11694 {
11695 "chips": ["gfx10"],
11696 "map": {"at": 165108, "to": "mm"},
11697 "name": "PA_CL_VPORT_ZSCALE_7",
11698 "type_ref": "PA_CL_VPORT_ZSCALE"
11699 },
11700 {
11701 "chips": ["gfx10"],
11702 "map": {"at": 165132, "to": "mm"},
11703 "name": "PA_CL_VPORT_ZSCALE_8",
11704 "type_ref": "PA_CL_VPORT_ZSCALE"
11705 },
11706 {
11707 "chips": ["gfx10"],
11708 "map": {"at": 165156, "to": "mm"},
11709 "name": "PA_CL_VPORT_ZSCALE_9",
11710 "type_ref": "PA_CL_VPORT_ZSCALE"
11711 },
11712 {
11713 "chips": ["gfx10"],
11714 "map": {"at": 165916, "to": "mm"},
11715 "name": "PA_CL_VS_OUT_CNTL",
11716 "type_ref": "PA_CL_VS_OUT_CNTL"
11717 },
11718 {
11719 "chips": ["gfx10"],
11720 "map": {"at": 165912, "to": "mm"},
11721 "name": "PA_CL_VTE_CNTL",
11722 "type_ref": "PA_CL_VTE_CNTL"
11723 },
11724 {
11725 "chips": ["gfx10"],
11726 "map": {"at": 218628, "to": "mm"},
11727 "name": "PA_PH_PERFCOUNTER0_HI",
11728 "type_ref": "CB_PERFCOUNTER0_HI"
11729 },
11730 {
11731 "chips": ["gfx10"],
11732 "map": {"at": 218624, "to": "mm"},
11733 "name": "PA_PH_PERFCOUNTER0_LO",
11734 "type_ref": "CB_PERFCOUNTER0_LO"
11735 },
11736 {
11737 "chips": ["gfx10"],
11738 "map": {"at": 226816, "to": "mm"},
11739 "name": "PA_PH_PERFCOUNTER0_SELECT",
11740 "type_ref": "PA_PH_PERFCOUNTER0_SELECT"
11741 },
11742 {
11743 "chips": ["gfx10"],
11744 "map": {"at": 226820, "to": "mm"},
11745 "name": "PA_PH_PERFCOUNTER0_SELECT1",
11746 "type_ref": "PA_PH_PERFCOUNTER0_SELECT1"
11747 },
11748 {
11749 "chips": ["gfx10"],
11750 "map": {"at": 218636, "to": "mm"},
11751 "name": "PA_PH_PERFCOUNTER1_HI",
11752 "type_ref": "CB_PERFCOUNTER0_HI"
11753 },
11754 {
11755 "chips": ["gfx10"],
11756 "map": {"at": 218632, "to": "mm"},
11757 "name": "PA_PH_PERFCOUNTER1_LO",
11758 "type_ref": "CB_PERFCOUNTER0_LO"
11759 },
11760 {
11761 "chips": ["gfx10"],
11762 "map": {"at": 226824, "to": "mm"},
11763 "name": "PA_PH_PERFCOUNTER1_SELECT",
11764 "type_ref": "PA_PH_PERFCOUNTER0_SELECT"
11765 },
11766 {
11767 "chips": ["gfx10"],
11768 "map": {"at": 226880, "to": "mm"},
11769 "name": "PA_PH_PERFCOUNTER1_SELECT1",
11770 "type_ref": "PA_PH_PERFCOUNTER0_SELECT1"
11771 },
11772 {
11773 "chips": ["gfx10"],
11774 "map": {"at": 218644, "to": "mm"},
11775 "name": "PA_PH_PERFCOUNTER2_HI",
11776 "type_ref": "CB_PERFCOUNTER0_HI"
11777 },
11778 {
11779 "chips": ["gfx10"],
11780 "map": {"at": 218640, "to": "mm"},
11781 "name": "PA_PH_PERFCOUNTER2_LO",
11782 "type_ref": "CB_PERFCOUNTER0_LO"
11783 },
11784 {
11785 "chips": ["gfx10"],
11786 "map": {"at": 226828, "to": "mm"},
11787 "name": "PA_PH_PERFCOUNTER2_SELECT",
11788 "type_ref": "PA_PH_PERFCOUNTER0_SELECT"
11789 },
11790 {
11791 "chips": ["gfx10"],
11792 "map": {"at": 226884, "to": "mm"},
11793 "name": "PA_PH_PERFCOUNTER2_SELECT1",
11794 "type_ref": "PA_PH_PERFCOUNTER0_SELECT1"
11795 },
11796 {
11797 "chips": ["gfx10"],
11798 "map": {"at": 218652, "to": "mm"},
11799 "name": "PA_PH_PERFCOUNTER3_HI",
11800 "type_ref": "CB_PERFCOUNTER0_HI"
11801 },
11802 {
11803 "chips": ["gfx10"],
11804 "map": {"at": 218648, "to": "mm"},
11805 "name": "PA_PH_PERFCOUNTER3_LO",
11806 "type_ref": "CB_PERFCOUNTER0_LO"
11807 },
11808 {
11809 "chips": ["gfx10"],
11810 "map": {"at": 226832, "to": "mm"},
11811 "name": "PA_PH_PERFCOUNTER3_SELECT",
11812 "type_ref": "PA_PH_PERFCOUNTER0_SELECT"
11813 },
11814 {
11815 "chips": ["gfx10"],
11816 "map": {"at": 226888, "to": "mm"},
11817 "name": "PA_PH_PERFCOUNTER3_SELECT1",
11818 "type_ref": "PA_PH_PERFCOUNTER0_SELECT1"
11819 },
11820 {
11821 "chips": ["gfx10"],
11822 "map": {"at": 218660, "to": "mm"},
11823 "name": "PA_PH_PERFCOUNTER4_HI",
11824 "type_ref": "CB_PERFCOUNTER0_HI"
11825 },
11826 {
11827 "chips": ["gfx10"],
11828 "map": {"at": 218656, "to": "mm"},
11829 "name": "PA_PH_PERFCOUNTER4_LO",
11830 "type_ref": "CB_PERFCOUNTER0_LO"
11831 },
11832 {
11833 "chips": ["gfx10"],
11834 "map": {"at": 226836, "to": "mm"},
11835 "name": "PA_PH_PERFCOUNTER4_SELECT",
11836 "type_ref": "PA_PH_PERFCOUNTER4_SELECT"
11837 },
11838 {
11839 "chips": ["gfx10"],
11840 "map": {"at": 218668, "to": "mm"},
11841 "name": "PA_PH_PERFCOUNTER5_HI",
11842 "type_ref": "CB_PERFCOUNTER0_HI"
11843 },
11844 {
11845 "chips": ["gfx10"],
11846 "map": {"at": 218664, "to": "mm"},
11847 "name": "PA_PH_PERFCOUNTER5_LO",
11848 "type_ref": "CB_PERFCOUNTER0_LO"
11849 },
11850 {
11851 "chips": ["gfx10"],
11852 "map": {"at": 226840, "to": "mm"},
11853 "name": "PA_PH_PERFCOUNTER5_SELECT",
11854 "type_ref": "PA_PH_PERFCOUNTER4_SELECT"
11855 },
11856 {
11857 "chips": ["gfx10"],
11858 "map": {"at": 218676, "to": "mm"},
11859 "name": "PA_PH_PERFCOUNTER6_HI",
11860 "type_ref": "CB_PERFCOUNTER0_HI"
11861 },
11862 {
11863 "chips": ["gfx10"],
11864 "map": {"at": 218672, "to": "mm"},
11865 "name": "PA_PH_PERFCOUNTER6_LO",
11866 "type_ref": "CB_PERFCOUNTER0_LO"
11867 },
11868 {
11869 "chips": ["gfx10"],
11870 "map": {"at": 226844, "to": "mm"},
11871 "name": "PA_PH_PERFCOUNTER6_SELECT",
11872 "type_ref": "PA_PH_PERFCOUNTER4_SELECT"
11873 },
11874 {
11875 "chips": ["gfx10"],
11876 "map": {"at": 218684, "to": "mm"},
11877 "name": "PA_PH_PERFCOUNTER7_HI",
11878 "type_ref": "CB_PERFCOUNTER0_HI"
11879 },
11880 {
11881 "chips": ["gfx10"],
11882 "map": {"at": 218680, "to": "mm"},
11883 "name": "PA_PH_PERFCOUNTER7_LO",
11884 "type_ref": "CB_PERFCOUNTER0_LO"
11885 },
11886 {
11887 "chips": ["gfx10"],
11888 "map": {"at": 226848, "to": "mm"},
11889 "name": "PA_PH_PERFCOUNTER7_SELECT",
11890 "type_ref": "PA_PH_PERFCOUNTER4_SELECT"
11891 },
11892 {
11893 "chips": ["gfx10"],
11894 "map": {"at": 166880, "to": "mm"},
11895 "name": "PA_SC_AA_CONFIG",
11896 "type_ref": "PA_SC_AA_CONFIG"
11897 },
11898 {
11899 "chips": ["gfx10"],
11900 "map": {"at": 166968, "to": "mm"},
11901 "name": "PA_SC_AA_MASK_X0Y0_X1Y0",
11902 "type_ref": "PA_SC_AA_MASK_X0Y0_X1Y0"
11903 },
11904 {
11905 "chips": ["gfx10"],
11906 "map": {"at": 166972, "to": "mm"},
11907 "name": "PA_SC_AA_MASK_X0Y1_X1Y1",
11908 "type_ref": "PA_SC_AA_MASK_X0Y1_X1Y1"
11909 },
11910 {
11911 "chips": ["gfx10"],
11912 "map": {"at": 166904, "to": "mm"},
11913 "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_0",
11914 "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_0"
11915 },
11916 {
11917 "chips": ["gfx10"],
11918 "map": {"at": 166908, "to": "mm"},
11919 "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_1",
11920 "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_1"
11921 },
11922 {
11923 "chips": ["gfx10"],
11924 "map": {"at": 166912, "to": "mm"},
11925 "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_2",
11926 "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_2"
11927 },
11928 {
11929 "chips": ["gfx10"],
11930 "map": {"at": 166916, "to": "mm"},
11931 "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_3",
11932 "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_3"
11933 },
11934 {
11935 "chips": ["gfx10"],
11936 "map": {"at": 166936, "to": "mm"},
11937 "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y1_0",
11938 "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_0"
11939 },
11940 {
11941 "chips": ["gfx10"],
11942 "map": {"at": 166940, "to": "mm"},
11943 "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y1_1",
11944 "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_1"
11945 },
11946 {
11947 "chips": ["gfx10"],
11948 "map": {"at": 166944, "to": "mm"},
11949 "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y1_2",
11950 "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_2"
11951 },
11952 {
11953 "chips": ["gfx10"],
11954 "map": {"at": 166948, "to": "mm"},
11955 "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y1_3",
11956 "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_3"
11957 },
11958 {
11959 "chips": ["gfx10"],
11960 "map": {"at": 166920, "to": "mm"},
11961 "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X1Y0_0",
11962 "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_0"
11963 },
11964 {
11965 "chips": ["gfx10"],
11966 "map": {"at": 166924, "to": "mm"},
11967 "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X1Y0_1",
11968 "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_1"
11969 },
11970 {
11971 "chips": ["gfx10"],
11972 "map": {"at": 166928, "to": "mm"},
11973 "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X1Y0_2",
11974 "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_2"
11975 },
11976 {
11977 "chips": ["gfx10"],
11978 "map": {"at": 166932, "to": "mm"},
11979 "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X1Y0_3",
11980 "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_3"
11981 },
11982 {
11983 "chips": ["gfx10"],
11984 "map": {"at": 166952, "to": "mm"},
11985 "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X1Y1_0",
11986 "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_0"
11987 },
11988 {
11989 "chips": ["gfx10"],
11990 "map": {"at": 166956, "to": "mm"},
11991 "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X1Y1_1",
11992 "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_1"
11993 },
11994 {
11995 "chips": ["gfx10"],
11996 "map": {"at": 166960, "to": "mm"},
11997 "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X1Y1_2",
11998 "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_2"
11999 },
12000 {
12001 "chips": ["gfx10"],
12002 "map": {"at": 166964, "to": "mm"},
12003 "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X1Y1_3",
12004 "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_3"
12005 },
12006 {
12007 "chips": ["gfx10"],
12008 "map": {"at": 166980, "to": "mm"},
12009 "name": "PA_SC_BINNER_CNTL_0",
12010 "type_ref": "PA_SC_BINNER_CNTL_0"
12011 },
12012 {
12013 "chips": ["gfx10"],
12014 "map": {"at": 166984, "to": "mm"},
12015 "name": "PA_SC_BINNER_CNTL_1",
12016 "type_ref": "PA_SC_BINNER_CNTL_1"
12017 },
12018 {
12019 "chips": ["gfx10"],
12020 "map": {"at": 166868, "to": "mm"},
12021 "name": "PA_SC_CENTROID_PRIORITY_0",
12022 "type_ref": "PA_SC_CENTROID_PRIORITY_0"
12023 },
12024 {
12025 "chips": ["gfx10"],
12026 "map": {"at": 166872, "to": "mm"},
12027 "name": "PA_SC_CENTROID_PRIORITY_1",
12028 "type_ref": "PA_SC_CENTROID_PRIORITY_1"
12029 },
12030 {
12031 "chips": ["gfx10"],
12032 "map": {"at": 164372, "to": "mm"},
12033 "name": "PA_SC_CLIPRECT_0_BR",
12034 "type_ref": "PA_SC_CLIPRECT_0_BR"
12035 },
12036 {
12037 "chips": ["gfx10"],
12038 "map": {"at": 164368, "to": "mm"},
12039 "name": "PA_SC_CLIPRECT_0_TL",
12040 "type_ref": "PA_SC_CLIPRECT_0_TL"
12041 },
12042 {
12043 "chips": ["gfx10"],
12044 "map": {"at": 164380, "to": "mm"},
12045 "name": "PA_SC_CLIPRECT_1_BR",
12046 "type_ref": "PA_SC_CLIPRECT_0_BR"
12047 },
12048 {
12049 "chips": ["gfx10"],
12050 "map": {"at": 164376, "to": "mm"},
12051 "name": "PA_SC_CLIPRECT_1_TL",
12052 "type_ref": "PA_SC_CLIPRECT_0_TL"
12053 },
12054 {
12055 "chips": ["gfx10"],
12056 "map": {"at": 164388, "to": "mm"},
12057 "name": "PA_SC_CLIPRECT_2_BR",
12058 "type_ref": "PA_SC_CLIPRECT_0_BR"
12059 },
12060 {
12061 "chips": ["gfx10"],
12062 "map": {"at": 164384, "to": "mm"},
12063 "name": "PA_SC_CLIPRECT_2_TL",
12064 "type_ref": "PA_SC_CLIPRECT_0_TL"
12065 },
12066 {
12067 "chips": ["gfx10"],
12068 "map": {"at": 164396, "to": "mm"},
12069 "name": "PA_SC_CLIPRECT_3_BR",
12070 "type_ref": "PA_SC_CLIPRECT_0_BR"
12071 },
12072 {
12073 "chips": ["gfx10"],
12074 "map": {"at": 164392, "to": "mm"},
12075 "name": "PA_SC_CLIPRECT_3_TL",
12076 "type_ref": "PA_SC_CLIPRECT_0_TL"
12077 },
12078 {
12079 "chips": ["gfx10"],
12080 "map": {"at": 164364, "to": "mm"},
12081 "name": "PA_SC_CLIPRECT_RULE",
12082 "type_ref": "PA_SC_CLIPRECT_RULE"
12083 },
12084 {
12085 "chips": ["gfx10"],
12086 "map": {"at": 166988, "to": "mm"},
12087 "name": "PA_SC_CONSERVATIVE_RASTERIZATION_CNTL",
12088 "type_ref": "PA_SC_CONSERVATIVE_RASTERIZATION_CNTL"
12089 },
12090 {
12091 "chips": ["gfx10"],
12092 "map": {"at": 164400, "to": "mm"},
12093 "name": "PA_SC_EDGERULE",
12094 "type_ref": "PA_SC_EDGERULE"
12095 },
12096 {
12097 "chips": ["gfx10"],
12098 "map": {"at": 164420, "to": "mm"},
12099 "name": "PA_SC_GENERIC_SCISSOR_BR",
12100 "type_ref": "PA_SC_CLIPRECT_0_BR"
12101 },
12102 {
12103 "chips": ["gfx10"],
12104 "map": {"at": 164416, "to": "mm"},
12105 "name": "PA_SC_GENERIC_SCISSOR_TL",
12106 "type_ref": "PA_SC_GENERIC_SCISSOR_TL"
12107 },
12108 {
12109 "chips": ["gfx10"],
12110 "map": {"at": 164776, "to": "mm"},
12111 "name": "PA_SC_HORIZ_GRID",
12112 "type_ref": "PA_SC_HORIZ_GRID"
12113 },
12114 {
12115 "chips": ["gfx10"],
12116 "map": {"at": 199344, "to": "mm"},
12117 "name": "PA_SC_HP3D_TRAP_SCREEN_COUNT",
12118 "type_ref": "CP_GFX_DDID_INFLIGHT_COUNT"
12119 },
12120 {
12121 "chips": ["gfx10"],
12122 "map": {"at": 199332, "to": "mm"},
12123 "name": "PA_SC_HP3D_TRAP_SCREEN_H",
12124 "type_ref": "PA_SC_P3D_TRAP_SCREEN_H"
12125 },
12126 {
12127 "chips": ["gfx10"],
12128 "map": {"at": 199328, "to": "mm"},
12129 "name": "PA_SC_HP3D_TRAP_SCREEN_HV_EN",
12130 "type_ref": "PA_SC_P3D_TRAP_SCREEN_HV_EN"
12131 },
12132 {
12133 "chips": ["gfx10"],
12134 "map": {"at": 199340, "to": "mm"},
12135 "name": "PA_SC_HP3D_TRAP_SCREEN_OCCURRENCE",
12136 "type_ref": "CP_GFX_DDID_INFLIGHT_COUNT"
12137 },
12138 {
12139 "chips": ["gfx10"],
12140 "map": {"at": 199336, "to": "mm"},
12141 "name": "PA_SC_HP3D_TRAP_SCREEN_V",
12142 "type_ref": "PA_SC_P3D_TRAP_SCREEN_V"
12143 },
12144 {
12145 "chips": ["gfx10"],
12146 "map": {"at": 164772, "to": "mm"},
12147 "name": "PA_SC_LEFT_VERT_GRID",
12148 "type_ref": "PA_SC_RIGHT_VERT_GRID"
12149 },
12150 {
12151 "chips": ["gfx10"],
12152 "map": {"at": 166876, "to": "mm"},
12153 "name": "PA_SC_LINE_CNTL",
12154 "type_ref": "PA_SC_LINE_CNTL"
12155 },
12156 {
12157 "chips": ["gfx10"],
12158 "map": {"at": 166412, "to": "mm"},
12159 "name": "PA_SC_LINE_STIPPLE",
12160 "type_ref": "PA_SC_LINE_STIPPLE"
12161 },
12162 {
12163 "chips": ["gfx10"],
12164 "map": {"at": 199172, "to": "mm"},
12165 "name": "PA_SC_LINE_STIPPLE_STATE",
12166 "type_ref": "PA_SC_LINE_STIPPLE_STATE"
12167 },
12168 {
12169 "chips": ["gfx10"],
12170 "map": {"at": 166472, "to": "mm"},
12171 "name": "PA_SC_MODE_CNTL_0",
12172 "type_ref": "PA_SC_MODE_CNTL_0"
12173 },
12174 {
12175 "chips": ["gfx10"],
12176 "map": {"at": 166476, "to": "mm"},
12177 "name": "PA_SC_MODE_CNTL_1",
12178 "type_ref": "PA_SC_MODE_CNTL_1"
12179 },
12180 {
12181 "chips": ["gfx10"],
12182 "map": {"at": 166992, "to": "mm"},
12183 "name": "PA_SC_NGG_MODE_CNTL",
12184 "type_ref": "PA_SC_NGG_MODE_CNTL"
12185 },
12186 {
12187 "chips": ["gfx10"],
12188 "map": {"at": 199312, "to": "mm"},
12189 "name": "PA_SC_P3D_TRAP_SCREEN_COUNT",
12190 "type_ref": "CP_GFX_DDID_INFLIGHT_COUNT"
12191 },
12192 {
12193 "chips": ["gfx10"],
12194 "map": {"at": 199300, "to": "mm"},
12195 "name": "PA_SC_P3D_TRAP_SCREEN_H",
12196 "type_ref": "PA_SC_P3D_TRAP_SCREEN_H"
12197 },
12198 {
12199 "chips": ["gfx10"],
12200 "map": {"at": 199296, "to": "mm"},
12201 "name": "PA_SC_P3D_TRAP_SCREEN_HV_EN",
12202 "type_ref": "PA_SC_P3D_TRAP_SCREEN_HV_EN"
12203 },
12204 {
12205 "chips": ["gfx10"],
12206 "map": {"at": 199308, "to": "mm"},
12207 "name": "PA_SC_P3D_TRAP_SCREEN_OCCURRENCE",
12208 "type_ref": "CP_GFX_DDID_INFLIGHT_COUNT"
12209 },
12210 {
12211 "chips": ["gfx10"],
12212 "map": {"at": 199304, "to": "mm"},
12213 "name": "PA_SC_P3D_TRAP_SCREEN_V",
12214 "type_ref": "PA_SC_P3D_TRAP_SCREEN_V"
12215 },
12216 {
12217 "chips": ["gfx10"],
12218 "map": {"at": 214276, "to": "mm"},
12219 "name": "PA_SC_PERFCOUNTER0_HI",
12220 "type_ref": "CB_PERFCOUNTER0_HI"
12221 },
12222 {
12223 "chips": ["gfx10"],
12224 "map": {"at": 214272, "to": "mm"},
12225 "name": "PA_SC_PERFCOUNTER0_LO",
12226 "type_ref": "CB_PERFCOUNTER0_LO"
12227 },
12228 {
12229 "chips": ["gfx10"],
12230 "map": {"at": 222464, "to": "mm"},
12231 "name": "PA_SC_PERFCOUNTER0_SELECT",
12232 "type_ref": "PA_SC_PERFCOUNTER0_SELECT"
12233 },
12234 {
12235 "chips": ["gfx10"],
12236 "map": {"at": 222468, "to": "mm"},
12237 "name": "PA_SC_PERFCOUNTER0_SELECT1",
12238 "type_ref": "PA_SC_PERFCOUNTER0_SELECT1"
12239 },
12240 {
12241 "chips": ["gfx10"],
12242 "map": {"at": 214284, "to": "mm"},
12243 "name": "PA_SC_PERFCOUNTER1_HI",
12244 "type_ref": "CB_PERFCOUNTER0_HI"
12245 },
12246 {
12247 "chips": ["gfx10"],
12248 "map": {"at": 214280, "to": "mm"},
12249 "name": "PA_SC_PERFCOUNTER1_LO",
12250 "type_ref": "CB_PERFCOUNTER0_LO"
12251 },
12252 {
12253 "chips": ["gfx10"],
12254 "map": {"at": 222472, "to": "mm"},
12255 "name": "PA_SC_PERFCOUNTER1_SELECT",
12256 "type_ref": "PA_SC_PERFCOUNTER1_SELECT"
12257 },
12258 {
12259 "chips": ["gfx10"],
12260 "map": {"at": 214292, "to": "mm"},
12261 "name": "PA_SC_PERFCOUNTER2_HI",
12262 "type_ref": "CB_PERFCOUNTER0_HI"
12263 },
12264 {
12265 "chips": ["gfx10"],
12266 "map": {"at": 214288, "to": "mm"},
12267 "name": "PA_SC_PERFCOUNTER2_LO",
12268 "type_ref": "CB_PERFCOUNTER0_LO"
12269 },
12270 {
12271 "chips": ["gfx10"],
12272 "map": {"at": 222476, "to": "mm"},
12273 "name": "PA_SC_PERFCOUNTER2_SELECT",
12274 "type_ref": "PA_SC_PERFCOUNTER1_SELECT"
12275 },
12276 {
12277 "chips": ["gfx10"],
12278 "map": {"at": 214300, "to": "mm"},
12279 "name": "PA_SC_PERFCOUNTER3_HI",
12280 "type_ref": "CB_PERFCOUNTER0_HI"
12281 },
12282 {
12283 "chips": ["gfx10"],
12284 "map": {"at": 214296, "to": "mm"},
12285 "name": "PA_SC_PERFCOUNTER3_LO",
12286 "type_ref": "CB_PERFCOUNTER0_LO"
12287 },
12288 {
12289 "chips": ["gfx10"],
12290 "map": {"at": 222480, "to": "mm"},
12291 "name": "PA_SC_PERFCOUNTER3_SELECT",
12292 "type_ref": "PA_SC_PERFCOUNTER1_SELECT"
12293 },
12294 {
12295 "chips": ["gfx10"],
12296 "map": {"at": 214308, "to": "mm"},
12297 "name": "PA_SC_PERFCOUNTER4_HI",
12298 "type_ref": "CB_PERFCOUNTER0_HI"
12299 },
12300 {
12301 "chips": ["gfx10"],
12302 "map": {"at": 214304, "to": "mm"},
12303 "name": "PA_SC_PERFCOUNTER4_LO",
12304 "type_ref": "CB_PERFCOUNTER0_LO"
12305 },
12306 {
12307 "chips": ["gfx10"],
12308 "map": {"at": 222484, "to": "mm"},
12309 "name": "PA_SC_PERFCOUNTER4_SELECT",
12310 "type_ref": "PA_SC_PERFCOUNTER1_SELECT"
12311 },
12312 {
12313 "chips": ["gfx10"],
12314 "map": {"at": 214316, "to": "mm"},
12315 "name": "PA_SC_PERFCOUNTER5_HI",
12316 "type_ref": "CB_PERFCOUNTER0_HI"
12317 },
12318 {
12319 "chips": ["gfx10"],
12320 "map": {"at": 214312, "to": "mm"},
12321 "name": "PA_SC_PERFCOUNTER5_LO",
12322 "type_ref": "CB_PERFCOUNTER0_LO"
12323 },
12324 {
12325 "chips": ["gfx10"],
12326 "map": {"at": 222488, "to": "mm"},
12327 "name": "PA_SC_PERFCOUNTER5_SELECT",
12328 "type_ref": "PA_SC_PERFCOUNTER1_SELECT"
12329 },
12330 {
12331 "chips": ["gfx10"],
12332 "map": {"at": 214324, "to": "mm"},
12333 "name": "PA_SC_PERFCOUNTER6_HI",
12334 "type_ref": "CB_PERFCOUNTER0_HI"
12335 },
12336 {
12337 "chips": ["gfx10"],
12338 "map": {"at": 214320, "to": "mm"},
12339 "name": "PA_SC_PERFCOUNTER6_LO",
12340 "type_ref": "CB_PERFCOUNTER0_LO"
12341 },
12342 {
12343 "chips": ["gfx10"],
12344 "map": {"at": 222492, "to": "mm"},
12345 "name": "PA_SC_PERFCOUNTER6_SELECT",
12346 "type_ref": "PA_SC_PERFCOUNTER1_SELECT"
12347 },
12348 {
12349 "chips": ["gfx10"],
12350 "map": {"at": 214332, "to": "mm"},
12351 "name": "PA_SC_PERFCOUNTER7_HI",
12352 "type_ref": "CB_PERFCOUNTER0_HI"
12353 },
12354 {
12355 "chips": ["gfx10"],
12356 "map": {"at": 214328, "to": "mm"},
12357 "name": "PA_SC_PERFCOUNTER7_LO",
12358 "type_ref": "CB_PERFCOUNTER0_LO"
12359 },
12360 {
12361 "chips": ["gfx10"],
12362 "map": {"at": 222496, "to": "mm"},
12363 "name": "PA_SC_PERFCOUNTER7_SELECT",
12364 "type_ref": "PA_SC_PERFCOUNTER1_SELECT"
12365 },
12366 {
12367 "chips": ["gfx10"],
12368 "map": {"at": 164688, "to": "mm"},
12369 "name": "PA_SC_RASTER_CONFIG",
12370 "type_ref": "PA_SC_RASTER_CONFIG"
12371 },
12372 {
12373 "chips": ["gfx10"],
12374 "map": {"at": 164692, "to": "mm"},
12375 "name": "PA_SC_RASTER_CONFIG_1",
12376 "type_ref": "PA_SC_RASTER_CONFIG_1"
12377 },
12378 {
12379 "chips": ["gfx10"],
12380 "map": {"at": 164768, "to": "mm"},
12381 "name": "PA_SC_RIGHT_VERT_GRID",
12382 "type_ref": "PA_SC_RIGHT_VERT_GRID"
12383 },
12384 {
12385 "chips": ["gfx10"],
12386 "map": {"at": 164696, "to": "mm"},
12387 "name": "PA_SC_SCREEN_EXTENT_CONTROL",
12388 "type_ref": "PA_SC_SCREEN_EXTENT_CONTROL"
12389 },
12390 {
12391 "chips": ["gfx10"],
12392 "map": {"at": 199188, "to": "mm"},
12393 "name": "PA_SC_SCREEN_EXTENT_MAX_0",
12394 "type_ref": "PA_SC_SCREEN_EXTENT_MIN_0"
12395 },
12396 {
12397 "chips": ["gfx10"],
12398 "map": {"at": 199212, "to": "mm"},
12399 "name": "PA_SC_SCREEN_EXTENT_MAX_1",
12400 "type_ref": "PA_SC_SCREEN_EXTENT_MIN_0"
12401 },
12402 {
12403 "chips": ["gfx10"],
12404 "map": {"at": 199184, "to": "mm"},
12405 "name": "PA_SC_SCREEN_EXTENT_MIN_0",
12406 "type_ref": "PA_SC_SCREEN_EXTENT_MIN_0"
12407 },
12408 {
12409 "chips": ["gfx10"],
12410 "map": {"at": 199192, "to": "mm"},
12411 "name": "PA_SC_SCREEN_EXTENT_MIN_1",
12412 "type_ref": "PA_SC_SCREEN_EXTENT_MIN_0"
12413 },
12414 {
12415 "chips": ["gfx10"],
12416 "map": {"at": 163892, "to": "mm"},
12417 "name": "PA_SC_SCREEN_SCISSOR_BR",
12418 "type_ref": "PA_SC_SCREEN_SCISSOR_BR"
12419 },
12420 {
12421 "chips": ["gfx10"],
12422 "map": {"at": 163888, "to": "mm"},
12423 "name": "PA_SC_SCREEN_SCISSOR_TL",
12424 "type_ref": "PA_SC_SCREEN_SCISSOR_TL"
12425 },
12426 {
12427 "chips": ["gfx10"],
12428 "map": {"at": 166976, "to": "mm"},
12429 "name": "PA_SC_SHADER_CONTROL",
12430 "type_ref": "PA_SC_SHADER_CONTROL"
12431 },
12432 {
12433 "chips": ["gfx10"],
12434 "map": {"at": 164700, "to": "mm"},
12435 "name": "PA_SC_TILE_STEERING_OVERRIDE",
12436 "type_ref": "PA_SC_TILE_STEERING_OVERRIDE"
12437 },
12438 {
12439 "chips": ["gfx10"],
12440 "map": {"at": 199376, "to": "mm"},
12441 "name": "PA_SC_TRAP_SCREEN_COUNT",
12442 "type_ref": "CP_GFX_DDID_INFLIGHT_COUNT"
12443 },
12444 {
12445 "chips": ["gfx10"],
12446 "map": {"at": 199364, "to": "mm"},
12447 "name": "PA_SC_TRAP_SCREEN_H",
12448 "type_ref": "PA_SC_P3D_TRAP_SCREEN_H"
12449 },
12450 {
12451 "chips": ["gfx10"],
12452 "map": {"at": 199360, "to": "mm"},
12453 "name": "PA_SC_TRAP_SCREEN_HV_EN",
12454 "type_ref": "PA_SC_P3D_TRAP_SCREEN_HV_EN"
12455 },
12456 {
12457 "chips": ["gfx10"],
12458 "map": {"at": 199372, "to": "mm"},
12459 "name": "PA_SC_TRAP_SCREEN_OCCURRENCE",
12460 "type_ref": "CP_GFX_DDID_INFLIGHT_COUNT"
12461 },
12462 {
12463 "chips": ["gfx10"],
12464 "map": {"at": 199368, "to": "mm"},
12465 "name": "PA_SC_TRAP_SCREEN_V",
12466 "type_ref": "PA_SC_P3D_TRAP_SCREEN_V"
12467 },
12468 {
12469 "chips": ["gfx10"],
12470 "map": {"at": 164436, "to": "mm"},
12471 "name": "PA_SC_VPORT_SCISSOR_0_BR",
12472 "type_ref": "PA_SC_CLIPRECT_0_BR"
12473 },
12474 {
12475 "chips": ["gfx10"],
12476 "map": {"at": 164432, "to": "mm"},
12477 "name": "PA_SC_VPORT_SCISSOR_0_TL",
12478 "type_ref": "PA_SC_GENERIC_SCISSOR_TL"
12479 },
12480 {
12481 "chips": ["gfx10"],
12482 "map": {"at": 164516, "to": "mm"},
12483 "name": "PA_SC_VPORT_SCISSOR_10_BR",
12484 "type_ref": "PA_SC_CLIPRECT_0_BR"
12485 },
12486 {
12487 "chips": ["gfx10"],
12488 "map": {"at": 164512, "to": "mm"},
12489 "name": "PA_SC_VPORT_SCISSOR_10_TL",
12490 "type_ref": "PA_SC_GENERIC_SCISSOR_TL"
12491 },
12492 {
12493 "chips": ["gfx10"],
12494 "map": {"at": 164524, "to": "mm"},
12495 "name": "PA_SC_VPORT_SCISSOR_11_BR",
12496 "type_ref": "PA_SC_CLIPRECT_0_BR"
12497 },
12498 {
12499 "chips": ["gfx10"],
12500 "map": {"at": 164520, "to": "mm"},
12501 "name": "PA_SC_VPORT_SCISSOR_11_TL",
12502 "type_ref": "PA_SC_GENERIC_SCISSOR_TL"
12503 },
12504 {
12505 "chips": ["gfx10"],
12506 "map": {"at": 164532, "to": "mm"},
12507 "name": "PA_SC_VPORT_SCISSOR_12_BR",
12508 "type_ref": "PA_SC_CLIPRECT_0_BR"
12509 },
12510 {
12511 "chips": ["gfx10"],
12512 "map": {"at": 164528, "to": "mm"},
12513 "name": "PA_SC_VPORT_SCISSOR_12_TL",
12514 "type_ref": "PA_SC_GENERIC_SCISSOR_TL"
12515 },
12516 {
12517 "chips": ["gfx10"],
12518 "map": {"at": 164540, "to": "mm"},
12519 "name": "PA_SC_VPORT_SCISSOR_13_BR",
12520 "type_ref": "PA_SC_CLIPRECT_0_BR"
12521 },
12522 {
12523 "chips": ["gfx10"],
12524 "map": {"at": 164536, "to": "mm"},
12525 "name": "PA_SC_VPORT_SCISSOR_13_TL",
12526 "type_ref": "PA_SC_GENERIC_SCISSOR_TL"
12527 },
12528 {
12529 "chips": ["gfx10"],
12530 "map": {"at": 164548, "to": "mm"},
12531 "name": "PA_SC_VPORT_SCISSOR_14_BR",
12532 "type_ref": "PA_SC_CLIPRECT_0_BR"
12533 },
12534 {
12535 "chips": ["gfx10"],
12536 "map": {"at": 164544, "to": "mm"},
12537 "name": "PA_SC_VPORT_SCISSOR_14_TL",
12538 "type_ref": "PA_SC_GENERIC_SCISSOR_TL"
12539 },
12540 {
12541 "chips": ["gfx10"],
12542 "map": {"at": 164556, "to": "mm"},
12543 "name": "PA_SC_VPORT_SCISSOR_15_BR",
12544 "type_ref": "PA_SC_CLIPRECT_0_BR"
12545 },
12546 {
12547 "chips": ["gfx10"],
12548 "map": {"at": 164552, "to": "mm"},
12549 "name": "PA_SC_VPORT_SCISSOR_15_TL",
12550 "type_ref": "PA_SC_GENERIC_SCISSOR_TL"
12551 },
12552 {
12553 "chips": ["gfx10"],
12554 "map": {"at": 164444, "to": "mm"},
12555 "name": "PA_SC_VPORT_SCISSOR_1_BR",
12556 "type_ref": "PA_SC_CLIPRECT_0_BR"
12557 },
12558 {
12559 "chips": ["gfx10"],
12560 "map": {"at": 164440, "to": "mm"},
12561 "name": "PA_SC_VPORT_SCISSOR_1_TL",
12562 "type_ref": "PA_SC_GENERIC_SCISSOR_TL"
12563 },
12564 {
12565 "chips": ["gfx10"],
12566 "map": {"at": 164452, "to": "mm"},
12567 "name": "PA_SC_VPORT_SCISSOR_2_BR",
12568 "type_ref": "PA_SC_CLIPRECT_0_BR"
12569 },
12570 {
12571 "chips": ["gfx10"],
12572 "map": {"at": 164448, "to": "mm"},
12573 "name": "PA_SC_VPORT_SCISSOR_2_TL",
12574 "type_ref": "PA_SC_GENERIC_SCISSOR_TL"
12575 },
12576 {
12577 "chips": ["gfx10"],
12578 "map": {"at": 164460, "to": "mm"},
12579 "name": "PA_SC_VPORT_SCISSOR_3_BR",
12580 "type_ref": "PA_SC_CLIPRECT_0_BR"
12581 },
12582 {
12583 "chips": ["gfx10"],
12584 "map": {"at": 164456, "to": "mm"},
12585 "name": "PA_SC_VPORT_SCISSOR_3_TL",
12586 "type_ref": "PA_SC_GENERIC_SCISSOR_TL"
12587 },
12588 {
12589 "chips": ["gfx10"],
12590 "map": {"at": 164468, "to": "mm"},
12591 "name": "PA_SC_VPORT_SCISSOR_4_BR",
12592 "type_ref": "PA_SC_CLIPRECT_0_BR"
12593 },
12594 {
12595 "chips": ["gfx10"],
12596 "map": {"at": 164464, "to": "mm"},
12597 "name": "PA_SC_VPORT_SCISSOR_4_TL",
12598 "type_ref": "PA_SC_GENERIC_SCISSOR_TL"
12599 },
12600 {
12601 "chips": ["gfx10"],
12602 "map": {"at": 164476, "to": "mm"},
12603 "name": "PA_SC_VPORT_SCISSOR_5_BR",
12604 "type_ref": "PA_SC_CLIPRECT_0_BR"
12605 },
12606 {
12607 "chips": ["gfx10"],
12608 "map": {"at": 164472, "to": "mm"},
12609 "name": "PA_SC_VPORT_SCISSOR_5_TL",
12610 "type_ref": "PA_SC_GENERIC_SCISSOR_TL"
12611 },
12612 {
12613 "chips": ["gfx10"],
12614 "map": {"at": 164484, "to": "mm"},
12615 "name": "PA_SC_VPORT_SCISSOR_6_BR",
12616 "type_ref": "PA_SC_CLIPRECT_0_BR"
12617 },
12618 {
12619 "chips": ["gfx10"],
12620 "map": {"at": 164480, "to": "mm"},
12621 "name": "PA_SC_VPORT_SCISSOR_6_TL",
12622 "type_ref": "PA_SC_GENERIC_SCISSOR_TL"
12623 },
12624 {
12625 "chips": ["gfx10"],
12626 "map": {"at": 164492, "to": "mm"},
12627 "name": "PA_SC_VPORT_SCISSOR_7_BR",
12628 "type_ref": "PA_SC_CLIPRECT_0_BR"
12629 },
12630 {
12631 "chips": ["gfx10"],
12632 "map": {"at": 164488, "to": "mm"},
12633 "name": "PA_SC_VPORT_SCISSOR_7_TL",
12634 "type_ref": "PA_SC_GENERIC_SCISSOR_TL"
12635 },
12636 {
12637 "chips": ["gfx10"],
12638 "map": {"at": 164500, "to": "mm"},
12639 "name": "PA_SC_VPORT_SCISSOR_8_BR",
12640 "type_ref": "PA_SC_CLIPRECT_0_BR"
12641 },
12642 {
12643 "chips": ["gfx10"],
12644 "map": {"at": 164496, "to": "mm"},
12645 "name": "PA_SC_VPORT_SCISSOR_8_TL",
12646 "type_ref": "PA_SC_GENERIC_SCISSOR_TL"
12647 },
12648 {
12649 "chips": ["gfx10"],
12650 "map": {"at": 164508, "to": "mm"},
12651 "name": "PA_SC_VPORT_SCISSOR_9_BR",
12652 "type_ref": "PA_SC_CLIPRECT_0_BR"
12653 },
12654 {
12655 "chips": ["gfx10"],
12656 "map": {"at": 164504, "to": "mm"},
12657 "name": "PA_SC_VPORT_SCISSOR_9_TL",
12658 "type_ref": "PA_SC_GENERIC_SCISSOR_TL"
12659 },
12660 {
12661 "chips": ["gfx10"],
12662 "map": {"at": 164564, "to": "mm"},
12663 "name": "PA_SC_VPORT_ZMAX_0",
12664 "type_ref": "PA_SC_VPORT_ZMAX_0"
12665 },
12666 {
12667 "chips": ["gfx10"],
12668 "map": {"at": 164572, "to": "mm"},
12669 "name": "PA_SC_VPORT_ZMAX_1",
12670 "type_ref": "PA_SC_VPORT_ZMAX_0"
12671 },
12672 {
12673 "chips": ["gfx10"],
12674 "map": {"at": 164644, "to": "mm"},
12675 "name": "PA_SC_VPORT_ZMAX_10",
12676 "type_ref": "PA_SC_VPORT_ZMAX_0"
12677 },
12678 {
12679 "chips": ["gfx10"],
12680 "map": {"at": 164652, "to": "mm"},
12681 "name": "PA_SC_VPORT_ZMAX_11",
12682 "type_ref": "PA_SC_VPORT_ZMAX_0"
12683 },
12684 {
12685 "chips": ["gfx10"],
12686 "map": {"at": 164660, "to": "mm"},
12687 "name": "PA_SC_VPORT_ZMAX_12",
12688 "type_ref": "PA_SC_VPORT_ZMAX_0"
12689 },
12690 {
12691 "chips": ["gfx10"],
12692 "map": {"at": 164668, "to": "mm"},
12693 "name": "PA_SC_VPORT_ZMAX_13",
12694 "type_ref": "PA_SC_VPORT_ZMAX_0"
12695 },
12696 {
12697 "chips": ["gfx10"],
12698 "map": {"at": 164676, "to": "mm"},
12699 "name": "PA_SC_VPORT_ZMAX_14",
12700 "type_ref": "PA_SC_VPORT_ZMAX_0"
12701 },
12702 {
12703 "chips": ["gfx10"],
12704 "map": {"at": 164684, "to": "mm"},
12705 "name": "PA_SC_VPORT_ZMAX_15",
12706 "type_ref": "PA_SC_VPORT_ZMAX_0"
12707 },
12708 {
12709 "chips": ["gfx10"],
12710 "map": {"at": 164580, "to": "mm"},
12711 "name": "PA_SC_VPORT_ZMAX_2",
12712 "type_ref": "PA_SC_VPORT_ZMAX_0"
12713 },
12714 {
12715 "chips": ["gfx10"],
12716 "map": {"at": 164588, "to": "mm"},
12717 "name": "PA_SC_VPORT_ZMAX_3",
12718 "type_ref": "PA_SC_VPORT_ZMAX_0"
12719 },
12720 {
12721 "chips": ["gfx10"],
12722 "map": {"at": 164596, "to": "mm"},
12723 "name": "PA_SC_VPORT_ZMAX_4",
12724 "type_ref": "PA_SC_VPORT_ZMAX_0"
12725 },
12726 {
12727 "chips": ["gfx10"],
12728 "map": {"at": 164604, "to": "mm"},
12729 "name": "PA_SC_VPORT_ZMAX_5",
12730 "type_ref": "PA_SC_VPORT_ZMAX_0"
12731 },
12732 {
12733 "chips": ["gfx10"],
12734 "map": {"at": 164612, "to": "mm"},
12735 "name": "PA_SC_VPORT_ZMAX_6",
12736 "type_ref": "PA_SC_VPORT_ZMAX_0"
12737 },
12738 {
12739 "chips": ["gfx10"],
12740 "map": {"at": 164620, "to": "mm"},
12741 "name": "PA_SC_VPORT_ZMAX_7",
12742 "type_ref": "PA_SC_VPORT_ZMAX_0"
12743 },
12744 {
12745 "chips": ["gfx10"],
12746 "map": {"at": 164628, "to": "mm"},
12747 "name": "PA_SC_VPORT_ZMAX_8",
12748 "type_ref": "PA_SC_VPORT_ZMAX_0"
12749 },
12750 {
12751 "chips": ["gfx10"],
12752 "map": {"at": 164636, "to": "mm"},
12753 "name": "PA_SC_VPORT_ZMAX_9",
12754 "type_ref": "PA_SC_VPORT_ZMAX_0"
12755 },
12756 {
12757 "chips": ["gfx10"],
12758 "map": {"at": 164560, "to": "mm"},
12759 "name": "PA_SC_VPORT_ZMIN_0",
12760 "type_ref": "PA_SC_VPORT_ZMIN_0"
12761 },
12762 {
12763 "chips": ["gfx10"],
12764 "map": {"at": 164568, "to": "mm"},
12765 "name": "PA_SC_VPORT_ZMIN_1",
12766 "type_ref": "PA_SC_VPORT_ZMIN_0"
12767 },
12768 {
12769 "chips": ["gfx10"],
12770 "map": {"at": 164640, "to": "mm"},
12771 "name": "PA_SC_VPORT_ZMIN_10",
12772 "type_ref": "PA_SC_VPORT_ZMIN_0"
12773 },
12774 {
12775 "chips": ["gfx10"],
12776 "map": {"at": 164648, "to": "mm"},
12777 "name": "PA_SC_VPORT_ZMIN_11",
12778 "type_ref": "PA_SC_VPORT_ZMIN_0"
12779 },
12780 {
12781 "chips": ["gfx10"],
12782 "map": {"at": 164656, "to": "mm"},
12783 "name": "PA_SC_VPORT_ZMIN_12",
12784 "type_ref": "PA_SC_VPORT_ZMIN_0"
12785 },
12786 {
12787 "chips": ["gfx10"],
12788 "map": {"at": 164664, "to": "mm"},
12789 "name": "PA_SC_VPORT_ZMIN_13",
12790 "type_ref": "PA_SC_VPORT_ZMIN_0"
12791 },
12792 {
12793 "chips": ["gfx10"],
12794 "map": {"at": 164672, "to": "mm"},
12795 "name": "PA_SC_VPORT_ZMIN_14",
12796 "type_ref": "PA_SC_VPORT_ZMIN_0"
12797 },
12798 {
12799 "chips": ["gfx10"],
12800 "map": {"at": 164680, "to": "mm"},
12801 "name": "PA_SC_VPORT_ZMIN_15",
12802 "type_ref": "PA_SC_VPORT_ZMIN_0"
12803 },
12804 {
12805 "chips": ["gfx10"],
12806 "map": {"at": 164576, "to": "mm"},
12807 "name": "PA_SC_VPORT_ZMIN_2",
12808 "type_ref": "PA_SC_VPORT_ZMIN_0"
12809 },
12810 {
12811 "chips": ["gfx10"],
12812 "map": {"at": 164584, "to": "mm"},
12813 "name": "PA_SC_VPORT_ZMIN_3",
12814 "type_ref": "PA_SC_VPORT_ZMIN_0"
12815 },
12816 {
12817 "chips": ["gfx10"],
12818 "map": {"at": 164592, "to": "mm"},
12819 "name": "PA_SC_VPORT_ZMIN_4",
12820 "type_ref": "PA_SC_VPORT_ZMIN_0"
12821 },
12822 {
12823 "chips": ["gfx10"],
12824 "map": {"at": 164600, "to": "mm"},
12825 "name": "PA_SC_VPORT_ZMIN_5",
12826 "type_ref": "PA_SC_VPORT_ZMIN_0"
12827 },
12828 {
12829 "chips": ["gfx10"],
12830 "map": {"at": 164608, "to": "mm"},
12831 "name": "PA_SC_VPORT_ZMIN_6",
12832 "type_ref": "PA_SC_VPORT_ZMIN_0"
12833 },
12834 {
12835 "chips": ["gfx10"],
12836 "map": {"at": 164616, "to": "mm"},
12837 "name": "PA_SC_VPORT_ZMIN_7",
12838 "type_ref": "PA_SC_VPORT_ZMIN_0"
12839 },
12840 {
12841 "chips": ["gfx10"],
12842 "map": {"at": 164624, "to": "mm"},
12843 "name": "PA_SC_VPORT_ZMIN_8",
12844 "type_ref": "PA_SC_VPORT_ZMIN_0"
12845 },
12846 {
12847 "chips": ["gfx10"],
12848 "map": {"at": 164632, "to": "mm"},
12849 "name": "PA_SC_VPORT_ZMIN_9",
12850 "type_ref": "PA_SC_VPORT_ZMIN_0"
12851 },
12852 {
12853 "chips": ["gfx10"],
12854 "map": {"at": 164352, "to": "mm"},
12855 "name": "PA_SC_WINDOW_OFFSET",
12856 "type_ref": "PA_SC_WINDOW_OFFSET"
12857 },
12858 {
12859 "chips": ["gfx10"],
12860 "map": {"at": 164360, "to": "mm"},
12861 "name": "PA_SC_WINDOW_SCISSOR_BR",
12862 "type_ref": "PA_SC_CLIPRECT_0_BR"
12863 },
12864 {
12865 "chips": ["gfx10"],
12866 "map": {"at": 164356, "to": "mm"},
12867 "name": "PA_SC_WINDOW_SCISSOR_TL",
12868 "type_ref": "PA_SC_GENERIC_SCISSOR_TL"
12869 },
12870 {
12871 "chips": ["gfx10"],
12872 "map": {"at": 165956, "to": "mm"},
12873 "name": "PA_STATE_STEREO_X",
12874 "type_ref": "PA_STATE_STEREO_X"
12875 },
12876 {
12877 "chips": ["gfx10"],
12878 "map": {"at": 165952, "to": "mm"},
12879 "name": "PA_STEREO_CNTL",
12880 "type_ref": "PA_STEREO_CNTL"
12881 },
12882 {
12883 "chips": ["gfx10"],
12884 "map": {"at": 164404, "to": "mm"},
12885 "name": "PA_SU_HARDWARE_SCREEN_OFFSET",
12886 "type_ref": "PA_SU_HARDWARE_SCREEN_OFFSET"
12887 },
12888 {
12889 "chips": ["gfx10"],
12890 "map": {"at": 166408, "to": "mm"},
12891 "name": "PA_SU_LINE_CNTL",
12892 "type_ref": "PA_SU_LINE_CNTL"
12893 },
12894 {
12895 "chips": ["gfx10"],
12896 "map": {"at": 165924, "to": "mm"},
12897 "name": "PA_SU_LINE_STIPPLE_CNTL",
12898 "type_ref": "PA_SU_LINE_STIPPLE_CNTL"
12899 },
12900 {
12901 "chips": ["gfx10"],
12902 "map": {"at": 165928, "to": "mm"},
12903 "name": "PA_SU_LINE_STIPPLE_SCALE",
12904 "type_ref": "PA_SU_LINE_STIPPLE_SCALE"
12905 },
12906 {
12907 "chips": ["gfx10"],
12908 "map": {"at": 199168, "to": "mm"},
12909 "name": "PA_SU_LINE_STIPPLE_VALUE",
12910 "type_ref": "PA_SU_LINE_STIPPLE_VALUE"
12911 },
12912 {
12913 "chips": ["gfx10"],
12914 "map": {"at": 165948, "to": "mm"},
12915 "name": "PA_SU_OVER_RASTERIZATION_CNTL",
12916 "type_ref": "PA_SU_OVER_RASTERIZATION_CNTL"
12917 },
12918 {
12919 "chips": ["gfx10"],
12920 "map": {"at": 214020, "to": "mm"},
12921 "name": "PA_SU_PERFCOUNTER0_HI",
12922 "type_ref": "PA_SU_PERFCOUNTER0_HI"
12923 },
12924 {
12925 "chips": ["gfx10"],
12926 "map": {"at": 214016, "to": "mm"},
12927 "name": "PA_SU_PERFCOUNTER0_LO",
12928 "type_ref": "CB_PERFCOUNTER0_LO"
12929 },
12930 {
12931 "chips": ["gfx10"],
12932 "map": {"at": 222208, "to": "mm"},
12933 "name": "PA_SU_PERFCOUNTER0_SELECT",
12934 "type_ref": "PA_SU_PERFCOUNTER0_SELECT"
12935 },
12936 {
12937 "chips": ["gfx10"],
12938 "map": {"at": 222212, "to": "mm"},
12939 "name": "PA_SU_PERFCOUNTER0_SELECT1",
12940 "type_ref": "PA_SU_PERFCOUNTER0_SELECT1"
12941 },
12942 {
12943 "chips": ["gfx10"],
12944 "map": {"at": 214028, "to": "mm"},
12945 "name": "PA_SU_PERFCOUNTER1_HI",
12946 "type_ref": "PA_SU_PERFCOUNTER0_HI"
12947 },
12948 {
12949 "chips": ["gfx10"],
12950 "map": {"at": 214024, "to": "mm"},
12951 "name": "PA_SU_PERFCOUNTER1_LO",
12952 "type_ref": "CB_PERFCOUNTER0_LO"
12953 },
12954 {
12955 "chips": ["gfx10"],
12956 "map": {"at": 222216, "to": "mm"},
12957 "name": "PA_SU_PERFCOUNTER1_SELECT",
12958 "type_ref": "PA_SU_PERFCOUNTER0_SELECT"
12959 },
12960 {
12961 "chips": ["gfx10"],
12962 "map": {"at": 222220, "to": "mm"},
12963 "name": "PA_SU_PERFCOUNTER1_SELECT1",
12964 "type_ref": "PA_SU_PERFCOUNTER0_SELECT1"
12965 },
12966 {
12967 "chips": ["gfx10"],
12968 "map": {"at": 214036, "to": "mm"},
12969 "name": "PA_SU_PERFCOUNTER2_HI",
12970 "type_ref": "PA_SU_PERFCOUNTER0_HI"
12971 },
12972 {
12973 "chips": ["gfx10"],
12974 "map": {"at": 214032, "to": "mm"},
12975 "name": "PA_SU_PERFCOUNTER2_LO",
12976 "type_ref": "CB_PERFCOUNTER0_LO"
12977 },
12978 {
12979 "chips": ["gfx10"],
12980 "map": {"at": 222224, "to": "mm"},
12981 "name": "PA_SU_PERFCOUNTER2_SELECT",
12982 "type_ref": "PA_SU_PERFCOUNTER0_SELECT"
12983 },
12984 {
12985 "chips": ["gfx10"],
12986 "map": {"at": 222228, "to": "mm"},
12987 "name": "PA_SU_PERFCOUNTER2_SELECT1",
12988 "type_ref": "PA_SU_PERFCOUNTER0_SELECT1"
12989 },
12990 {
12991 "chips": ["gfx10"],
12992 "map": {"at": 214044, "to": "mm"},
12993 "name": "PA_SU_PERFCOUNTER3_HI",
12994 "type_ref": "PA_SU_PERFCOUNTER0_HI"
12995 },
12996 {
12997 "chips": ["gfx10"],
12998 "map": {"at": 214040, "to": "mm"},
12999 "name": "PA_SU_PERFCOUNTER3_LO",
13000 "type_ref": "CB_PERFCOUNTER0_LO"
13001 },
13002 {
13003 "chips": ["gfx10"],
13004 "map": {"at": 222232, "to": "mm"},
13005 "name": "PA_SU_PERFCOUNTER3_SELECT",
13006 "type_ref": "PA_SU_PERFCOUNTER0_SELECT"
13007 },
13008 {
13009 "chips": ["gfx10"],
13010 "map": {"at": 222236, "to": "mm"},
13011 "name": "PA_SU_PERFCOUNTER3_SELECT1",
13012 "type_ref": "PA_SU_PERFCOUNTER0_SELECT1"
13013 },
13014 {
13015 "chips": ["gfx10"],
13016 "map": {"at": 166404, "to": "mm"},
13017 "name": "PA_SU_POINT_MINMAX",
13018 "type_ref": "PA_SU_POINT_MINMAX"
13019 },
13020 {
13021 "chips": ["gfx10"],
13022 "map": {"at": 166400, "to": "mm"},
13023 "name": "PA_SU_POINT_SIZE",
13024 "type_ref": "PA_SU_POINT_SIZE"
13025 },
13026 {
13027 "chips": ["gfx10"],
13028 "map": {"at": 166796, "to": "mm"},
13029 "name": "PA_SU_POLY_OFFSET_BACK_OFFSET",
13030 "type_ref": "CP_HQD_PQ_WPTR_LO"
13031 },
13032 {
13033 "chips": ["gfx10"],
13034 "map": {"at": 166792, "to": "mm"},
13035 "name": "PA_SU_POLY_OFFSET_BACK_SCALE",
13036 "type_ref": "PA_SU_POLY_OFFSET_FRONT_SCALE"
13037 },
13038 {
13039 "chips": ["gfx10"],
13040 "map": {"at": 166780, "to": "mm"},
13041 "name": "PA_SU_POLY_OFFSET_CLAMP",
13042 "type_ref": "PA_SU_POLY_OFFSET_CLAMP"
13043 },
13044 {
13045 "chips": ["gfx10"],
13046 "map": {"at": 166776, "to": "mm"},
13047 "name": "PA_SU_POLY_OFFSET_DB_FMT_CNTL",
13048 "type_ref": "PA_SU_POLY_OFFSET_DB_FMT_CNTL"
13049 },
13050 {
13051 "chips": ["gfx10"],
13052 "map": {"at": 166788, "to": "mm"},
13053 "name": "PA_SU_POLY_OFFSET_FRONT_OFFSET",
13054 "type_ref": "CP_HQD_PQ_WPTR_LO"
13055 },
13056 {
13057 "chips": ["gfx10"],
13058 "map": {"at": 166784, "to": "mm"},
13059 "name": "PA_SU_POLY_OFFSET_FRONT_SCALE",
13060 "type_ref": "PA_SU_POLY_OFFSET_FRONT_SCALE"
13061 },
13062 {
13063 "chips": ["gfx10"],
13064 "map": {"at": 165932, "to": "mm"},
13065 "name": "PA_SU_PRIM_FILTER_CNTL",
13066 "type_ref": "PA_SU_PRIM_FILTER_CNTL"
13067 },
13068 {
13069 "chips": ["gfx10"],
13070 "map": {"at": 165908, "to": "mm"},
13071 "name": "PA_SU_SC_MODE_CNTL",
13072 "type_ref": "PA_SU_SC_MODE_CNTL"
13073 },
13074 {
13075 "chips": ["gfx10"],
13076 "map": {"at": 165936, "to": "mm"},
13077 "name": "PA_SU_SMALL_PRIM_FILTER_CNTL",
13078 "type_ref": "PA_SU_SMALL_PRIM_FILTER_CNTL"
13079 },
13080 {
13081 "chips": ["gfx10"],
13082 "map": {"at": 166884, "to": "mm"},
13083 "name": "PA_SU_VTX_CNTL",
13084 "type_ref": "PA_SU_VTX_CNTL"
13085 },
13086 {
13087 "chips": ["gfx10"],
13088 "map": {"at": 197888, "to": "mm"},
13089 "name": "RLC_GPM_PERF_COUNT_0",
13090 "type_ref": "RLC_GPM_PERF_COUNT_0"
13091 },
13092 {
13093 "chips": ["gfx10"],
13094 "map": {"at": 197892, "to": "mm"},
13095 "name": "RLC_GPM_PERF_COUNT_1",
13096 "type_ref": "RLC_GPM_PERF_COUNT_0"
13097 },
13098 {
13099 "chips": ["gfx10"],
13100 "map": {"at": 226060, "to": "mm"},
13101 "name": "RLC_GPU_IOV_PERF_CNT_CNTL",
13102 "type_ref": "RLC_GPU_IOV_PERF_CNT_CNTL"
13103 },
13104 {
13105 "chips": ["gfx10"],
13106 "map": {"at": 226072, "to": "mm"},
13107 "name": "RLC_GPU_IOV_PERF_CNT_RD_ADDR",
13108 "type_ref": "RLC_GPU_IOV_PERF_CNT_WR_ADDR"
13109 },
13110 {
13111 "chips": ["gfx10"],
13112 "map": {"at": 226076, "to": "mm"},
13113 "name": "RLC_GPU_IOV_PERF_CNT_RD_DATA",
13114 "type_ref": "COMPUTE_PGM_LO"
13115 },
13116 {
13117 "chips": ["gfx10"],
13118 "map": {"at": 226064, "to": "mm"},
13119 "name": "RLC_GPU_IOV_PERF_CNT_WR_ADDR",
13120 "type_ref": "RLC_GPU_IOV_PERF_CNT_WR_ADDR"
13121 },
13122 {
13123 "chips": ["gfx10"],
13124 "map": {"at": 226068, "to": "mm"},
13125 "name": "RLC_GPU_IOV_PERF_CNT_WR_DATA",
13126 "type_ref": "COMPUTE_PGM_LO"
13127 },
13128 {
13129 "chips": ["gfx10"],
13130 "map": {"at": 217604, "to": "mm"},
13131 "name": "RLC_PERFCOUNTER0_HI",
13132 "type_ref": "CB_PERFCOUNTER0_HI"
13133 },
13134 {
13135 "chips": ["gfx10"],
13136 "map": {"at": 217600, "to": "mm"},
13137 "name": "RLC_PERFCOUNTER0_LO",
13138 "type_ref": "CB_PERFCOUNTER0_LO"
13139 },
13140 {
13141 "chips": ["gfx10"],
13142 "map": {"at": 226052, "to": "mm"},
13143 "name": "RLC_PERFCOUNTER0_SELECT",
13144 "type_ref": "RLC_PERFCOUNTER0_SELECT"
13145 },
13146 {
13147 "chips": ["gfx10"],
13148 "map": {"at": 217612, "to": "mm"},
13149 "name": "RLC_PERFCOUNTER1_HI",
13150 "type_ref": "CB_PERFCOUNTER0_HI"
13151 },
13152 {
13153 "chips": ["gfx10"],
13154 "map": {"at": 217608, "to": "mm"},
13155 "name": "RLC_PERFCOUNTER1_LO",
13156 "type_ref": "CB_PERFCOUNTER0_LO"
13157 },
13158 {
13159 "chips": ["gfx10"],
13160 "map": {"at": 226056, "to": "mm"},
13161 "name": "RLC_PERFCOUNTER1_SELECT",
13162 "type_ref": "RLC_PERFCOUNTER0_SELECT"
13163 },
13164 {
13165 "chips": ["gfx10"],
13166 "map": {"at": 226192, "to": "mm"},
13167 "name": "RLC_PERFMON_CLK_CNTL",
13168 "type_ref": "RLC_PERFMON_CLK_CNTL"
13169 },
13170 {
13171 "chips": ["gfx10"],
13172 "map": {"at": 226196, "to": "mm"},
13173 "name": "RLC_PERFMON_CLK_CNTL_UCODE",
13174 "type_ref": "RLC_PERFMON_CLK_CNTL"
13175 },
13176 {
13177 "chips": ["gfx10"],
13178 "map": {"at": 226048, "to": "mm"},
13179 "name": "RLC_PERFMON_CNTL",
13180 "type_ref": "RLC_PERFMON_CNTL"
13181 },
13182 {
13183 "chips": ["gfx10"],
13184 "map": {"at": 225892, "to": "mm"},
13185 "name": "RLC_SPM_ACCUM_CTRL",
13186 "type_ref": "RLC_SPM_ACCUM_CTRL"
13187 },
13188 {
13189 "chips": ["gfx10"],
13190 "map": {"at": 225880, "to": "mm"},
13191 "name": "RLC_SPM_ACCUM_CTRLRAM_ADDR",
13192 "type_ref": "RLC_SPM_ACCUM_CTRLRAM_ADDR"
13193 },
13194 {
13195 "chips": ["gfx10"],
13196 "map": {"at": 225884, "to": "mm"},
13197 "name": "RLC_SPM_ACCUM_CTRLRAM_DATA",
13198 "type_ref": "RLC_SPM_ACCUM_CTRLRAM_DATA"
13199 },
13200 {
13201 "chips": ["gfx10"],
13202 "map": {"at": 225872, "to": "mm"},
13203 "name": "RLC_SPM_ACCUM_DATARAM_ADDR",
13204 "type_ref": "RLC_SPM_ACCUM_DATARAM_ADDR"
13205 },
13206 {
13207 "chips": ["gfx10"],
13208 "map": {"at": 225876, "to": "mm"},
13209 "name": "RLC_SPM_ACCUM_DATARAM_DATA",
13210 "type_ref": "RLC_SPM_ACCUM_DATARAM_DATA"
13211 },
13212 {
13213 "chips": ["gfx10"],
13214 "map": {"at": 225908, "to": "mm"},
13215 "name": "RLC_SPM_ACCUM_DATARAM_WRCOUNT",
13216 "type_ref": "RLC_SPM_ACCUM_DATARAM_WRCOUNT"
13217 },
13218 {
13219 "chips": ["gfx10"],
13220 "map": {"at": 225896, "to": "mm"},
13221 "name": "RLC_SPM_ACCUM_MODE",
13222 "type_ref": "RLC_SPM_ACCUM_MODE"
13223 },
13224 {
13225 "chips": ["gfx10"],
13226 "map": {"at": 225904, "to": "mm"},
13227 "name": "RLC_SPM_ACCUM_SAMPLES_REQUESTED",
13228 "type_ref": "RLC_SPM_ACCUM_SAMPLES_REQUESTED"
13229 },
13230 {
13231 "chips": ["gfx10"],
13232 "map": {"at": 225888, "to": "mm"},
13233 "name": "RLC_SPM_ACCUM_STATUS",
13234 "type_ref": "RLC_SPM_ACCUM_STATUS"
13235 },
13236 {
13237 "chips": ["gfx10"],
13238 "map": {"at": 225900, "to": "mm"},
13239 "name": "RLC_SPM_ACCUM_THRESHOLD",
13240 "type_ref": "RLC_SPM_ACCUM_THRESHOLD"
13241 },
13242 {
13243 "chips": ["gfx10"],
13244 "map": {"at": 225836, "to": "mm"},
13245 "name": "RLC_SPM_DESER_START_SKEW",
13246 "type_ref": "RLC_SPM_DESER_START_SKEW"
13247 },
13248 {
13249 "chips": ["gfx10"],
13250 "map": {"at": 225856, "to": "mm"},
13251 "name": "RLC_SPM_GLB_SAMPLEDELAY_IND_ADDR",
13252 "type_ref": "RLC_SPM_GLB_SAMPLEDELAY_IND_ADDR"
13253 },
13254 {
13255 "chips": ["gfx10"],
13256 "map": {"at": 225860, "to": "mm"},
13257 "name": "RLC_SPM_GLB_SAMPLEDELAY_IND_DATA",
13258 "type_ref": "RLC_SPM_GLB_SAMPLEDELAY_IND_DATA"
13259 },
13260 {
13261 "chips": ["gfx10"],
13262 "map": {"at": 225844, "to": "mm"},
13263 "name": "RLC_SPM_GLOBALS_MUXSEL_SKEW",
13264 "type_ref": "RLC_SPM_GLOBALS_MUXSEL_SKEW"
13265 },
13266 {
13267 "chips": ["gfx10"],
13268 "map": {"at": 225840, "to": "mm"},
13269 "name": "RLC_SPM_GLOBALS_SAMPLE_SKEW",
13270 "type_ref": "RLC_SPM_GLOBALS_SAMPLE_SKEW"
13271 },
13272 {
13273 "chips": ["gfx10"],
13274 "map": {"at": 225828, "to": "mm"},
13275 "name": "RLC_SPM_GLOBAL_MUXSEL_ADDR",
13276 "type_ref": "RLC_SPM_GLOBAL_MUXSEL_ADDR"
13277 },
13278 {
13279 "chips": ["gfx10"],
13280 "map": {"at": 225832, "to": "mm"},
13281 "name": "RLC_SPM_GLOBAL_MUXSEL_DATA",
13282 "type_ref": "RLC_SPM_SE_MUXSEL_DATA"
13283 },
13284 {
13285 "chips": ["gfx10"],
13286 "map": {"at": 225792, "to": "mm"},
13287 "name": "RLC_SPM_PERFMON_CNTL",
13288 "type_ref": "RLC_SPM_PERFMON_CNTL"
13289 },
13290 {
13291 "chips": ["gfx10"],
13292 "map": {"at": 225916, "to": "mm"},
13293 "name": "RLC_SPM_PERFMON_GLB_SEGMENT_SIZE",
13294 "type_ref": "RLC_SPM_PERFMON_GLB_SEGMENT_SIZE"
13295 },
13296 {
13297 "chips": ["gfx10"],
13298 "map": {"at": 225800, "to": "mm"},
13299 "name": "RLC_SPM_PERFMON_RING_BASE_HI",
13300 "type_ref": "RLC_SPM_PERFMON_RING_BASE_HI"
13301 },
13302 {
13303 "chips": ["gfx10"],
13304 "map": {"at": 225796, "to": "mm"},
13305 "name": "RLC_SPM_PERFMON_RING_BASE_LO",
13306 "type_ref": "RLC_SPM_PERFMON_RING_BASE_LO"
13307 },
13308 {
13309 "chips": ["gfx10"],
13310 "map": {"at": 225804, "to": "mm"},
13311 "name": "RLC_SPM_PERFMON_RING_SIZE",
13312 "type_ref": "RLC_SPM_PERFMON_RING_SIZE"
13313 },
13314 {
13315 "chips": ["gfx10"],
13316 "map": {"at": 225912, "to": "mm"},
13317 "name": "RLC_SPM_PERFMON_SE3TO0_SEGMENT_SIZE",
13318 "type_ref": "RLC_SPM_PERFMON_SE3TO0_SEGMENT_SIZE"
13319 },
13320 {
13321 "chips": ["gfx10"],
13322 "map": {"at": 225808, "to": "mm"},
13323 "name": "RLC_SPM_PERFMON_SEGMENT_SIZE",
13324 "type_ref": "RLC_SPM_PERFMON_SEGMENT_SIZE"
13325 },
13326 {
13327 "chips": ["gfx10"],
13328 "map": {"at": 225812, "to": "mm"},
13329 "name": "RLC_SPM_RING_RDPTR",
13330 "type_ref": "RLC_SPM_RING_RDPTR"
13331 },
13332 {
13333 "chips": ["gfx10"],
13334 "map": {"at": 225816, "to": "mm"},
13335 "name": "RLC_SPM_SEGMENT_THRESHOLD",
13336 "type_ref": "RLC_SPM_SEGMENT_THRESHOLD"
13337 },
13338 {
13339 "chips": ["gfx10"],
13340 "map": {"at": 225820, "to": "mm"},
13341 "name": "RLC_SPM_SE_MUXSEL_ADDR",
13342 "type_ref": "RLC_SPM_SE_MUXSEL_ADDR"
13343 },
13344 {
13345 "chips": ["gfx10"],
13346 "map": {"at": 225824, "to": "mm"},
13347 "name": "RLC_SPM_SE_MUXSEL_DATA",
13348 "type_ref": "RLC_SPM_SE_MUXSEL_DATA"
13349 },
13350 {
13351 "chips": ["gfx10"],
13352 "map": {"at": 225852, "to": "mm"},
13353 "name": "RLC_SPM_SE_MUXSEL_SKEW",
13354 "type_ref": "RLC_SPM_SE_MUXSEL_SKEW"
13355 },
13356 {
13357 "chips": ["gfx10"],
13358 "map": {"at": 225864, "to": "mm"},
13359 "name": "RLC_SPM_SE_SAMPLEDELAY_IND_ADDR",
13360 "type_ref": "RLC_SPM_SE_SAMPLEDELAY_IND_ADDR"
13361 },
13362 {
13363 "chips": ["gfx10"],
13364 "map": {"at": 225868, "to": "mm"},
13365 "name": "RLC_SPM_SE_SAMPLEDELAY_IND_DATA",
13366 "type_ref": "RLC_SPM_GLB_SAMPLEDELAY_IND_DATA"
13367 },
13368 {
13369 "chips": ["gfx10"],
13370 "map": {"at": 225848, "to": "mm"},
13371 "name": "RLC_SPM_SE_SAMPLE_SKEW",
13372 "type_ref": "RLC_SPM_SE_SAMPLE_SKEW"
13373 },
13374 {
13375 "chips": ["gfx10"],
13376 "map": {"at": 217860, "to": "mm"},
13377 "name": "RMI_PERFCOUNTER0_HI",
13378 "type_ref": "CB_PERFCOUNTER0_HI"
13379 },
13380 {
13381 "chips": ["gfx10"],
13382 "map": {"at": 217856, "to": "mm"},
13383 "name": "RMI_PERFCOUNTER0_LO",
13384 "type_ref": "CB_PERFCOUNTER0_LO"
13385 },
13386 {
13387 "chips": ["gfx10"],
13388 "map": {"at": 226304, "to": "mm"},
13389 "name": "RMI_PERFCOUNTER0_SELECT",
13390 "type_ref": "RMI_PERFCOUNTER0_SELECT"
13391 },
13392 {
13393 "chips": ["gfx10"],
13394 "map": {"at": 226308, "to": "mm"},
13395 "name": "RMI_PERFCOUNTER0_SELECT1",
13396 "type_ref": "RMI_PERFCOUNTER0_SELECT1"
13397 },
13398 {
13399 "chips": ["gfx10"],
13400 "map": {"at": 217868, "to": "mm"},
13401 "name": "RMI_PERFCOUNTER1_HI",
13402 "type_ref": "CB_PERFCOUNTER0_HI"
13403 },
13404 {
13405 "chips": ["gfx10"],
13406 "map": {"at": 217864, "to": "mm"},
13407 "name": "RMI_PERFCOUNTER1_LO",
13408 "type_ref": "CB_PERFCOUNTER0_LO"
13409 },
13410 {
13411 "chips": ["gfx10"],
13412 "map": {"at": 226312, "to": "mm"},
13413 "name": "RMI_PERFCOUNTER1_SELECT",
13414 "type_ref": "RMI_PERFCOUNTER1_SELECT"
13415 },
13416 {
13417 "chips": ["gfx10"],
13418 "map": {"at": 217876, "to": "mm"},
13419 "name": "RMI_PERFCOUNTER2_HI",
13420 "type_ref": "CB_PERFCOUNTER0_HI"
13421 },
13422 {
13423 "chips": ["gfx10"],
13424 "map": {"at": 217872, "to": "mm"},
13425 "name": "RMI_PERFCOUNTER2_LO",
13426 "type_ref": "CB_PERFCOUNTER0_LO"
13427 },
13428 {
13429 "chips": ["gfx10"],
13430 "map": {"at": 226316, "to": "mm"},
13431 "name": "RMI_PERFCOUNTER2_SELECT",
13432 "type_ref": "RMI_PERFCOUNTER0_SELECT"
13433 },
13434 {
13435 "chips": ["gfx10"],
13436 "map": {"at": 226320, "to": "mm"},
13437 "name": "RMI_PERFCOUNTER2_SELECT1",
13438 "type_ref": "RMI_PERFCOUNTER0_SELECT1"
13439 },
13440 {
13441 "chips": ["gfx10"],
13442 "map": {"at": 217884, "to": "mm"},
13443 "name": "RMI_PERFCOUNTER3_HI",
13444 "type_ref": "CB_PERFCOUNTER0_HI"
13445 },
13446 {
13447 "chips": ["gfx10"],
13448 "map": {"at": 217880, "to": "mm"},
13449 "name": "RMI_PERFCOUNTER3_LO",
13450 "type_ref": "CB_PERFCOUNTER0_LO"
13451 },
13452 {
13453 "chips": ["gfx10"],
13454 "map": {"at": 226324, "to": "mm"},
13455 "name": "RMI_PERFCOUNTER3_SELECT",
13456 "type_ref": "RMI_PERFCOUNTER1_SELECT"
13457 },
13458 {
13459 "chips": ["gfx10"],
13460 "map": {"at": 226328, "to": "mm"},
13461 "name": "RMI_PERF_COUNTER_CNTL",
13462 "type_ref": "RMI_PERF_COUNTER_CNTL"
13463 },
13464 {
13465 "chips": ["gfx10"],
13466 "map": {"at": 196932, "to": "mm"},
13467 "name": "SCRATCH_ADDR",
13468 "type_ref": "SCRATCH_ADDR"
13469 },
13470 {
13471 "chips": ["gfx10"],
13472 "map": {"at": 196864, "to": "mm"},
13473 "name": "SCRATCH_REG0",
13474 "type_ref": "SCRATCH_REG0"
13475 },
13476 {
13477 "chips": ["gfx10"],
13478 "map": {"at": 196868, "to": "mm"},
13479 "name": "SCRATCH_REG1",
13480 "type_ref": "SCRATCH_REG1"
13481 },
13482 {
13483 "chips": ["gfx10"],
13484 "map": {"at": 196872, "to": "mm"},
13485 "name": "SCRATCH_REG2",
13486 "type_ref": "SCRATCH_REG2"
13487 },
13488 {
13489 "chips": ["gfx10"],
13490 "map": {"at": 196876, "to": "mm"},
13491 "name": "SCRATCH_REG3",
13492 "type_ref": "SCRATCH_REG3"
13493 },
13494 {
13495 "chips": ["gfx10"],
13496 "map": {"at": 196880, "to": "mm"},
13497 "name": "SCRATCH_REG4",
13498 "type_ref": "SCRATCH_REG4"
13499 },
13500 {
13501 "chips": ["gfx10"],
13502 "map": {"at": 196884, "to": "mm"},
13503 "name": "SCRATCH_REG5",
13504 "type_ref": "SCRATCH_REG5"
13505 },
13506 {
13507 "chips": ["gfx10"],
13508 "map": {"at": 196888, "to": "mm"},
13509 "name": "SCRATCH_REG6",
13510 "type_ref": "SCRATCH_REG6"
13511 },
13512 {
13513 "chips": ["gfx10"],
13514 "map": {"at": 196892, "to": "mm"},
13515 "name": "SCRATCH_REG7",
13516 "type_ref": "SCRATCH_REG7"
13517 },
13518 {
13519 "chips": ["gfx10"],
13520 "map": {"at": 196928, "to": "mm"},
13521 "name": "SCRATCH_UMSK",
13522 "type_ref": "SCRATCH_UMSK"
13523 },
13524 {
13525 "chips": ["gfx10"],
13526 "map": {"at": 37144, "to": "mm"},
13527 "name": "SPIRA_DEBUG_READ",
13528 "type_ref": "COMPUTE_PGM_LO"
13529 },
13530 {
13531 "chips": ["gfx10"],
13532 "map": {"at": 37800, "to": "mm"},
13533 "name": "SPIS_DEBUG_READ",
13534 "type_ref": "COMPUTE_PGM_LO"
13535 },
13536 {
13537 "chips": ["gfx10"],
13538 "map": {"at": 165600, "to": "mm"},
13539 "name": "SPI_BARYC_CNTL",
13540 "type_ref": "SPI_BARYC_CNTL"
13541 },
13542 {
13543 "chips": ["gfx10"],
13544 "map": {"at": 37120, "to": "mm"},
13545 "name": "SPI_CONFIG_CNTL",
13546 "type_ref": "SPI_CONFIG_CNTL"
13547 },
13548 {
13549 "chips": ["gfx10"],
13550 "map": {"at": 37180, "to": "mm"},
13551 "name": "SPI_CONFIG_CNTL_1",
13552 "type_ref": "SPI_CONFIG_CNTL_1"
13553 },
13554 {
13555 "chips": ["gfx10"],
13556 "map": {"at": 200964, "to": "mm"},
13557 "name": "SPI_CONFIG_CNTL_1_REMAP",
13558 "type_ref": "SPI_CONFIG_CNTL_REMAP"
13559 },
13560 {
13561 "chips": ["gfx10"],
13562 "map": {"at": 37176, "to": "mm"},
13563 "name": "SPI_CONFIG_CNTL_2",
13564 "type_ref": "SPI_CONFIG_CNTL_2"
13565 },
13566 {
13567 "chips": ["gfx10"],
13568 "map": {"at": 200968, "to": "mm"},
13569 "name": "SPI_CONFIG_CNTL_2_REMAP",
13570 "type_ref": "SPI_CONFIG_CNTL_REMAP"
13571 },
13572 {
13573 "chips": ["gfx10"],
13574 "map": {"at": 200960, "to": "mm"},
13575 "name": "SPI_CONFIG_CNTL_REMAP",
13576 "type_ref": "SPI_CONFIG_CNTL_REMAP"
13577 },
13578 {
13579 "chips": ["gfx10"],
13580 "map": {"at": 37744, "to": "mm"},
13581 "name": "SPI_CSQ_WF_ACTIVE_COUNT_0",
13582 "type_ref": "SPI_CSQ_WF_ACTIVE_COUNT_0"
13583 },
13584 {
13585 "chips": ["gfx10"],
13586 "map": {"at": 37748, "to": "mm"},
13587 "name": "SPI_CSQ_WF_ACTIVE_COUNT_1",
13588 "type_ref": "SPI_CSQ_WF_ACTIVE_COUNT_0"
13589 },
13590 {
13591 "chips": ["gfx10"],
13592 "map": {"at": 37752, "to": "mm"},
13593 "name": "SPI_CSQ_WF_ACTIVE_COUNT_2",
13594 "type_ref": "SPI_CSQ_WF_ACTIVE_COUNT_0"
13595 },
13596 {
13597 "chips": ["gfx10"],
13598 "map": {"at": 37756, "to": "mm"},
13599 "name": "SPI_CSQ_WF_ACTIVE_COUNT_3",
13600 "type_ref": "SPI_CSQ_WF_ACTIVE_COUNT_0"
13601 },
13602 {
13603 "chips": ["gfx10"],
13604 "map": {"at": 37760, "to": "mm"},
13605 "name": "SPI_CSQ_WF_ACTIVE_COUNT_4",
13606 "type_ref": "SPI_CSQ_WF_ACTIVE_COUNT_0"
13607 },
13608 {
13609 "chips": ["gfx10"],
13610 "map": {"at": 37764, "to": "mm"},
13611 "name": "SPI_CSQ_WF_ACTIVE_COUNT_5",
13612 "type_ref": "SPI_CSQ_WF_ACTIVE_COUNT_0"
13613 },
13614 {
13615 "chips": ["gfx10"],
13616 "map": {"at": 37768, "to": "mm"},
13617 "name": "SPI_CSQ_WF_ACTIVE_COUNT_6",
13618 "type_ref": "SPI_CSQ_WF_ACTIVE_COUNT_0"
13619 },
13620 {
13621 "chips": ["gfx10"],
13622 "map": {"at": 37772, "to": "mm"},
13623 "name": "SPI_CSQ_WF_ACTIVE_COUNT_7",
13624 "type_ref": "SPI_CSQ_WF_ACTIVE_COUNT_0"
13625 },
13626 {
13627 "chips": ["gfx10"],
13628 "map": {"at": 37740, "to": "mm"},
13629 "name": "SPI_CSQ_WF_ACTIVE_STATUS",
13630 "type_ref": "SPI_CSQ_WF_ACTIVE_STATUS"
13631 },
13632 {
13633 "chips": ["gfx10"],
13634 "map": {"at": 37184, "to": "mm"},
13635 "name": "SPI_DEBUG_BUSY",
13636 "type_ref": "SPI_DEBUG_BUSY"
13637 },
13638 {
13639 "chips": ["gfx10"],
13640 "map": {"at": 37124, "to": "mm"},
13641 "name": "SPI_DEBUG_CNTL",
13642 "type_ref": "SPI_DEBUG_CNTL"
13643 },
13644 {
13645 "chips": ["gfx10"],
13646 "map": {"at": 37112, "to": "mm"},
13647 "name": "SPI_DEBUG_CNTL_2",
13648 "type_ref": "SPI_DEBUG_CNTL_2"
13649 },
13650 {
13651 "chips": ["gfx10"],
13652 "map": {"at": 37128, "to": "mm"},
13653 "name": "SPI_DEBUG_READ",
13654 "type_ref": "COMPUTE_PGM_LO"
13655 },
13656 {
13657 "chips": ["gfx10"],
13658 "map": {"at": 37132, "to": "mm"},
13659 "name": "SPI_DSM_CNTL",
13660 "type_ref": "SPI_DSM_CNTL"
13661 },
13662 {
13663 "chips": ["gfx10"],
13664 "map": {"at": 37136, "to": "mm"},
13665 "name": "SPI_DSM_CNTL2",
13666 "type_ref": "SPI_DSM_CNTL2"
13667 },
13668 {
13669 "chips": ["gfx10"],
13670 "map": {"at": 37140, "to": "mm"},
13671 "name": "SPI_EDC_CNT",
13672 "type_ref": "SPI_EDC_CNT"
13673 },
13674 {
13675 "chips": ["gfx10"],
13676 "map": {"at": 37728, "to": "mm"},
13677 "name": "SPI_GDS_CREDITS",
13678 "type_ref": "SPI_GDS_CREDITS"
13679 },
13680 {
13681 "chips": ["gfx10"],
13682 "map": {"at": 37104, "to": "mm"},
13683 "name": "SPI_GFX_CNTL",
13684 "type_ref": "SPI_GFX_CNTL"
13685 },
13686 {
13687 "chips": ["gfx10"],
13688 "map": {"at": 165588, "to": "mm"},
13689 "name": "SPI_INTERP_CONTROL_0",
13690 "type_ref": "SPI_INTERP_CONTROL_0"
13691 },
13692 {
13693 "chips": ["gfx10"],
13694 "map": {"at": 37712, "to": "mm"},
13695 "name": "SPI_LB_CTR_CTRL",
13696 "type_ref": "SPI_LB_CTR_CTRL"
13697 },
13698 {
13699 "chips": ["gfx10"],
13700 "map": {"at": 37788, "to": "mm"},
13701 "name": "SPI_LB_DATA_PERWGP_WAVE_CS",
13702 "type_ref": "SPI_LB_DATA_PERWGP_WAVE_CS"
13703 },
13704 {
13705 "chips": ["gfx10"],
13706 "map": {"at": 37780, "to": "mm"},
13707 "name": "SPI_LB_DATA_PERWGP_WAVE_HSGS",
13708 "type_ref": "SPI_LB_DATA_PERWGP_WAVE_HSGS"
13709 },
13710 {
13711 "chips": ["gfx10"],
13712 "map": {"at": 37784, "to": "mm"},
13713 "name": "SPI_LB_DATA_PERWGP_WAVE_VSPS",
13714 "type_ref": "SPI_LB_DATA_PERWGP_WAVE_VSPS"
13715 },
13716 {
13717 "chips": ["gfx10"],
13718 "map": {"at": 37720, "to": "mm"},
13719 "name": "SPI_LB_DATA_REG",
13720 "type_ref": "SPI_LB_DATA_REG"
13721 },
13722 {
13723 "chips": ["gfx10"],
13724 "map": {"at": 37776, "to": "mm"},
13725 "name": "SPI_LB_DATA_WAVES",
13726 "type_ref": "SPI_LB_DATA_WAVES"
13727 },
13728 {
13729 "chips": ["gfx10"],
13730 "map": {"at": 37716, "to": "mm"},
13731 "name": "SPI_LB_WGP_MASK",
13732 "type_ref": "SPI_LB_WGP_MASK"
13733 },
13734 {
13735 "chips": ["gfx10"],
13736 "map": {"at": 37824, "to": "mm"},
13737 "name": "SPI_P0_TRAP_SCREEN_GPR_MIN",
13738 "type_ref": "SPI_P0_TRAP_SCREEN_GPR_MIN"
13739 },
13740 {
13741 "chips": ["gfx10"],
13742 "map": {"at": 37812, "to": "mm"},
13743 "name": "SPI_P0_TRAP_SCREEN_PSBA_HI",
13744 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_HI"
13745 },
13746 {
13747 "chips": ["gfx10"],
13748 "map": {"at": 37808, "to": "mm"},
13749 "name": "SPI_P0_TRAP_SCREEN_PSBA_LO",
13750 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO"
13751 },
13752 {
13753 "chips": ["gfx10"],
13754 "map": {"at": 37820, "to": "mm"},
13755 "name": "SPI_P0_TRAP_SCREEN_PSMA_HI",
13756 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_HI"
13757 },
13758 {
13759 "chips": ["gfx10"],
13760 "map": {"at": 37816, "to": "mm"},
13761 "name": "SPI_P0_TRAP_SCREEN_PSMA_LO",
13762 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO"
13763 },
13764 {
13765 "chips": ["gfx10"],
13766 "map": {"at": 37844, "to": "mm"},
13767 "name": "SPI_P1_TRAP_SCREEN_GPR_MIN",
13768 "type_ref": "SPI_P0_TRAP_SCREEN_GPR_MIN"
13769 },
13770 {
13771 "chips": ["gfx10"],
13772 "map": {"at": 37832, "to": "mm"},
13773 "name": "SPI_P1_TRAP_SCREEN_PSBA_HI",
13774 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_HI"
13775 },
13776 {
13777 "chips": ["gfx10"],
13778 "map": {"at": 37828, "to": "mm"},
13779 "name": "SPI_P1_TRAP_SCREEN_PSBA_LO",
13780 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO"
13781 },
13782 {
13783 "chips": ["gfx10"],
13784 "map": {"at": 37840, "to": "mm"},
13785 "name": "SPI_P1_TRAP_SCREEN_PSMA_HI",
13786 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_HI"
13787 },
13788 {
13789 "chips": ["gfx10"],
13790 "map": {"at": 37836, "to": "mm"},
13791 "name": "SPI_P1_TRAP_SCREEN_PSMA_LO",
13792 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO"
13793 },
13794 {
13795 "chips": ["gfx10"],
13796 "map": {"at": 214528, "to": "mm"},
13797 "name": "SPI_PERFCOUNTER0_HI",
13798 "type_ref": "CB_PERFCOUNTER0_HI"
13799 },
13800 {
13801 "chips": ["gfx10"],
13802 "map": {"at": 214532, "to": "mm"},
13803 "name": "SPI_PERFCOUNTER0_LO",
13804 "type_ref": "CB_PERFCOUNTER0_LO"
13805 },
13806 {
13807 "chips": ["gfx10"],
13808 "map": {"at": 222720, "to": "mm"},
13809 "name": "SPI_PERFCOUNTER0_SELECT",
13810 "type_ref": "SPI_PERFCOUNTER0_SELECT"
13811 },
13812 {
13813 "chips": ["gfx10"],
13814 "map": {"at": 222736, "to": "mm"},
13815 "name": "SPI_PERFCOUNTER0_SELECT1",
13816 "type_ref": "SPI_PERFCOUNTER0_SELECT1"
13817 },
13818 {
13819 "chips": ["gfx10"],
13820 "map": {"at": 214536, "to": "mm"},
13821 "name": "SPI_PERFCOUNTER1_HI",
13822 "type_ref": "CB_PERFCOUNTER0_HI"
13823 },
13824 {
13825 "chips": ["gfx10"],
13826 "map": {"at": 214540, "to": "mm"},
13827 "name": "SPI_PERFCOUNTER1_LO",
13828 "type_ref": "CB_PERFCOUNTER0_LO"
13829 },
13830 {
13831 "chips": ["gfx10"],
13832 "map": {"at": 222724, "to": "mm"},
13833 "name": "SPI_PERFCOUNTER1_SELECT",
13834 "type_ref": "SPI_PERFCOUNTER0_SELECT"
13835 },
13836 {
13837 "chips": ["gfx10"],
13838 "map": {"at": 222740, "to": "mm"},
13839 "name": "SPI_PERFCOUNTER1_SELECT1",
13840 "type_ref": "SPI_PERFCOUNTER0_SELECT1"
13841 },
13842 {
13843 "chips": ["gfx10"],
13844 "map": {"at": 214544, "to": "mm"},
13845 "name": "SPI_PERFCOUNTER2_HI",
13846 "type_ref": "CB_PERFCOUNTER0_HI"
13847 },
13848 {
13849 "chips": ["gfx10"],
13850 "map": {"at": 214548, "to": "mm"},
13851 "name": "SPI_PERFCOUNTER2_LO",
13852 "type_ref": "CB_PERFCOUNTER0_LO"
13853 },
13854 {
13855 "chips": ["gfx10"],
13856 "map": {"at": 222728, "to": "mm"},
13857 "name": "SPI_PERFCOUNTER2_SELECT",
13858 "type_ref": "SPI_PERFCOUNTER0_SELECT"
13859 },
13860 {
13861 "chips": ["gfx10"],
13862 "map": {"at": 222744, "to": "mm"},
13863 "name": "SPI_PERFCOUNTER2_SELECT1",
13864 "type_ref": "SPI_PERFCOUNTER0_SELECT1"
13865 },
13866 {
13867 "chips": ["gfx10"],
13868 "map": {"at": 214552, "to": "mm"},
13869 "name": "SPI_PERFCOUNTER3_HI",
13870 "type_ref": "CB_PERFCOUNTER0_HI"
13871 },
13872 {
13873 "chips": ["gfx10"],
13874 "map": {"at": 214556, "to": "mm"},
13875 "name": "SPI_PERFCOUNTER3_LO",
13876 "type_ref": "CB_PERFCOUNTER0_LO"
13877 },
13878 {
13879 "chips": ["gfx10"],
13880 "map": {"at": 222732, "to": "mm"},
13881 "name": "SPI_PERFCOUNTER3_SELECT",
13882 "type_ref": "SPI_PERFCOUNTER0_SELECT"
13883 },
13884 {
13885 "chips": ["gfx10"],
13886 "map": {"at": 222748, "to": "mm"},
13887 "name": "SPI_PERFCOUNTER3_SELECT1",
13888 "type_ref": "SPI_PERFCOUNTER0_SELECT1"
13889 },
13890 {
13891 "chips": ["gfx10"],
13892 "map": {"at": 214560, "to": "mm"},
13893 "name": "SPI_PERFCOUNTER4_HI",
13894 "type_ref": "CB_PERFCOUNTER0_HI"
13895 },
13896 {
13897 "chips": ["gfx10"],
13898 "map": {"at": 214564, "to": "mm"},
13899 "name": "SPI_PERFCOUNTER4_LO",
13900 "type_ref": "CB_PERFCOUNTER0_LO"
13901 },
13902 {
13903 "chips": ["gfx10"],
13904 "map": {"at": 222752, "to": "mm"},
13905 "name": "SPI_PERFCOUNTER4_SELECT",
13906 "type_ref": "SPI_PERFCOUNTER4_SELECT"
13907 },
13908 {
13909 "chips": ["gfx10"],
13910 "map": {"at": 214568, "to": "mm"},
13911 "name": "SPI_PERFCOUNTER5_HI",
13912 "type_ref": "CB_PERFCOUNTER0_HI"
13913 },
13914 {
13915 "chips": ["gfx10"],
13916 "map": {"at": 214572, "to": "mm"},
13917 "name": "SPI_PERFCOUNTER5_LO",
13918 "type_ref": "CB_PERFCOUNTER0_LO"
13919 },
13920 {
13921 "chips": ["gfx10"],
13922 "map": {"at": 222756, "to": "mm"},
13923 "name": "SPI_PERFCOUNTER5_SELECT",
13924 "type_ref": "SPI_PERFCOUNTER4_SELECT"
13925 },
13926 {
13927 "chips": ["gfx10"],
13928 "map": {"at": 222760, "to": "mm"},
13929 "name": "SPI_PERFCOUNTER_BINS",
13930 "type_ref": "SPI_PERFCOUNTER_BINS"
13931 },
13932 {
13933 "chips": ["gfx10"],
13934 "map": {"at": 37724, "to": "mm"},
13935 "name": "SPI_PG_ENABLE_STATIC_WGP_MASK",
13936 "type_ref": "SPI_LB_WGP_MASK"
13937 },
13938 {
13939 "chips": ["gfx10"],
13940 "map": {"at": 165584, "to": "mm"},
13941 "name": "SPI_PS_INPUT_ADDR",
13942 "type_ref": "SPI_PS_INPUT_ENA"
13943 },
13944 {
13945 "chips": ["gfx10"],
13946 "map": {"at": 165444, "to": "mm"},
13947 "name": "SPI_PS_INPUT_CNTL_0",
13948 "type_ref": "SPI_PS_INPUT_CNTL_0"
13949 },
13950 {
13951 "chips": ["gfx10"],
13952 "map": {"at": 165448, "to": "mm"},
13953 "name": "SPI_PS_INPUT_CNTL_1",
13954 "type_ref": "SPI_PS_INPUT_CNTL_0"
13955 },
13956 {
13957 "chips": ["gfx10"],
13958 "map": {"at": 165484, "to": "mm"},
13959 "name": "SPI_PS_INPUT_CNTL_10",
13960 "type_ref": "SPI_PS_INPUT_CNTL_0"
13961 },
13962 {
13963 "chips": ["gfx10"],
13964 "map": {"at": 165488, "to": "mm"},
13965 "name": "SPI_PS_INPUT_CNTL_11",
13966 "type_ref": "SPI_PS_INPUT_CNTL_0"
13967 },
13968 {
13969 "chips": ["gfx10"],
13970 "map": {"at": 165492, "to": "mm"},
13971 "name": "SPI_PS_INPUT_CNTL_12",
13972 "type_ref": "SPI_PS_INPUT_CNTL_0"
13973 },
13974 {
13975 "chips": ["gfx10"],
13976 "map": {"at": 165496, "to": "mm"},
13977 "name": "SPI_PS_INPUT_CNTL_13",
13978 "type_ref": "SPI_PS_INPUT_CNTL_0"
13979 },
13980 {
13981 "chips": ["gfx10"],
13982 "map": {"at": 165500, "to": "mm"},
13983 "name": "SPI_PS_INPUT_CNTL_14",
13984 "type_ref": "SPI_PS_INPUT_CNTL_0"
13985 },
13986 {
13987 "chips": ["gfx10"],
13988 "map": {"at": 165504, "to": "mm"},
13989 "name": "SPI_PS_INPUT_CNTL_15",
13990 "type_ref": "SPI_PS_INPUT_CNTL_0"
13991 },
13992 {
13993 "chips": ["gfx10"],
13994 "map": {"at": 165508, "to": "mm"},
13995 "name": "SPI_PS_INPUT_CNTL_16",
13996 "type_ref": "SPI_PS_INPUT_CNTL_0"
13997 },
13998 {
13999 "chips": ["gfx10"],
14000 "map": {"at": 165512, "to": "mm"},
14001 "name": "SPI_PS_INPUT_CNTL_17",
14002 "type_ref": "SPI_PS_INPUT_CNTL_0"
14003 },
14004 {
14005 "chips": ["gfx10"],
14006 "map": {"at": 165516, "to": "mm"},
14007 "name": "SPI_PS_INPUT_CNTL_18",
14008 "type_ref": "SPI_PS_INPUT_CNTL_0"
14009 },
14010 {
14011 "chips": ["gfx10"],
14012 "map": {"at": 165520, "to": "mm"},
14013 "name": "SPI_PS_INPUT_CNTL_19",
14014 "type_ref": "SPI_PS_INPUT_CNTL_0"
14015 },
14016 {
14017 "chips": ["gfx10"],
14018 "map": {"at": 165452, "to": "mm"},
14019 "name": "SPI_PS_INPUT_CNTL_2",
14020 "type_ref": "SPI_PS_INPUT_CNTL_0"
14021 },
14022 {
14023 "chips": ["gfx10"],
14024 "map": {"at": 165524, "to": "mm"},
14025 "name": "SPI_PS_INPUT_CNTL_20",
14026 "type_ref": "SPI_PS_INPUT_CNTL_20"
14027 },
14028 {
14029 "chips": ["gfx10"],
14030 "map": {"at": 165528, "to": "mm"},
14031 "name": "SPI_PS_INPUT_CNTL_21",
14032 "type_ref": "SPI_PS_INPUT_CNTL_20"
14033 },
14034 {
14035 "chips": ["gfx10"],
14036 "map": {"at": 165532, "to": "mm"},
14037 "name": "SPI_PS_INPUT_CNTL_22",
14038 "type_ref": "SPI_PS_INPUT_CNTL_20"
14039 },
14040 {
14041 "chips": ["gfx10"],
14042 "map": {"at": 165536, "to": "mm"},
14043 "name": "SPI_PS_INPUT_CNTL_23",
14044 "type_ref": "SPI_PS_INPUT_CNTL_20"
14045 },
14046 {
14047 "chips": ["gfx10"],
14048 "map": {"at": 165540, "to": "mm"},
14049 "name": "SPI_PS_INPUT_CNTL_24",
14050 "type_ref": "SPI_PS_INPUT_CNTL_20"
14051 },
14052 {
14053 "chips": ["gfx10"],
14054 "map": {"at": 165544, "to": "mm"},
14055 "name": "SPI_PS_INPUT_CNTL_25",
14056 "type_ref": "SPI_PS_INPUT_CNTL_20"
14057 },
14058 {
14059 "chips": ["gfx10"],
14060 "map": {"at": 165548, "to": "mm"},
14061 "name": "SPI_PS_INPUT_CNTL_26",
14062 "type_ref": "SPI_PS_INPUT_CNTL_20"
14063 },
14064 {
14065 "chips": ["gfx10"],
14066 "map": {"at": 165552, "to": "mm"},
14067 "name": "SPI_PS_INPUT_CNTL_27",
14068 "type_ref": "SPI_PS_INPUT_CNTL_20"
14069 },
14070 {
14071 "chips": ["gfx10"],
14072 "map": {"at": 165556, "to": "mm"},
14073 "name": "SPI_PS_INPUT_CNTL_28",
14074 "type_ref": "SPI_PS_INPUT_CNTL_20"
14075 },
14076 {
14077 "chips": ["gfx10"],
14078 "map": {"at": 165560, "to": "mm"},
14079 "name": "SPI_PS_INPUT_CNTL_29",
14080 "type_ref": "SPI_PS_INPUT_CNTL_20"
14081 },
14082 {
14083 "chips": ["gfx10"],
14084 "map": {"at": 165456, "to": "mm"},
14085 "name": "SPI_PS_INPUT_CNTL_3",
14086 "type_ref": "SPI_PS_INPUT_CNTL_0"
14087 },
14088 {
14089 "chips": ["gfx10"],
14090 "map": {"at": 165564, "to": "mm"},
14091 "name": "SPI_PS_INPUT_CNTL_30",
14092 "type_ref": "SPI_PS_INPUT_CNTL_20"
14093 },
14094 {
14095 "chips": ["gfx10"],
14096 "map": {"at": 165568, "to": "mm"},
14097 "name": "SPI_PS_INPUT_CNTL_31",
14098 "type_ref": "SPI_PS_INPUT_CNTL_20"
14099 },
14100 {
14101 "chips": ["gfx10"],
14102 "map": {"at": 165460, "to": "mm"},
14103 "name": "SPI_PS_INPUT_CNTL_4",
14104 "type_ref": "SPI_PS_INPUT_CNTL_0"
14105 },
14106 {
14107 "chips": ["gfx10"],
14108 "map": {"at": 165464, "to": "mm"},
14109 "name": "SPI_PS_INPUT_CNTL_5",
14110 "type_ref": "SPI_PS_INPUT_CNTL_0"
14111 },
14112 {
14113 "chips": ["gfx10"],
14114 "map": {"at": 165468, "to": "mm"},
14115 "name": "SPI_PS_INPUT_CNTL_6",
14116 "type_ref": "SPI_PS_INPUT_CNTL_0"
14117 },
14118 {
14119 "chips": ["gfx10"],
14120 "map": {"at": 165472, "to": "mm"},
14121 "name": "SPI_PS_INPUT_CNTL_7",
14122 "type_ref": "SPI_PS_INPUT_CNTL_0"
14123 },
14124 {
14125 "chips": ["gfx10"],
14126 "map": {"at": 165476, "to": "mm"},
14127 "name": "SPI_PS_INPUT_CNTL_8",
14128 "type_ref": "SPI_PS_INPUT_CNTL_0"
14129 },
14130 {
14131 "chips": ["gfx10"],
14132 "map": {"at": 165480, "to": "mm"},
14133 "name": "SPI_PS_INPUT_CNTL_9",
14134 "type_ref": "SPI_PS_INPUT_CNTL_0"
14135 },
14136 {
14137 "chips": ["gfx10"],
14138 "map": {"at": 165580, "to": "mm"},
14139 "name": "SPI_PS_INPUT_ENA",
14140 "type_ref": "SPI_PS_INPUT_ENA"
14141 },
14142 {
14143 "chips": ["gfx10"],
14144 "map": {"at": 165592, "to": "mm"},
14145 "name": "SPI_PS_IN_CONTROL",
14146 "type_ref": "SPI_PS_IN_CONTROL"
14147 },
14148 {
14149 "chips": ["gfx10"],
14150 "map": {"at": 37096, "to": "mm"},
14151 "name": "SPI_PS_MAX_WAVE_ID",
14152 "type_ref": "SPI_PS_MAX_WAVE_ID"
14153 },
14154 {
14155 "chips": ["gfx10"],
14156 "map": {"at": 165652, "to": "mm"},
14157 "name": "SPI_SHADER_COL_FORMAT",
14158 "type_ref": "SPI_SHADER_COL_FORMAT"
14159 },
14160 {
14161 "chips": ["gfx10"],
14162 "map": {"at": 165640, "to": "mm"},
14163 "name": "SPI_SHADER_IDX_FORMAT",
14164 "type_ref": "SPI_SHADER_IDX_FORMAT"
14165 },
14166 {
14167 "chips": ["gfx10"],
14168 "map": {"at": 45340, "to": "mm"},
14169 "name": "SPI_SHADER_LATE_ALLOC_VS",
14170 "type_ref": "SPI_SHADER_LATE_ALLOC_VS"
14171 },
14172 {
14173 "chips": ["gfx10"],
14174 "map": {"at": 45568, "to": "mm"},
14175 "name": "SPI_SHADER_PGM_CHKSUM_GS",
14176 "type_ref": "COMPUTE_SHADER_CHKSUM"
14177 },
14178 {
14179 "chips": ["gfx10"],
14180 "map": {"at": 46080, "to": "mm"},
14181 "name": "SPI_SHADER_PGM_CHKSUM_HS",
14182 "type_ref": "COMPUTE_SHADER_CHKSUM"
14183 },
14184 {
14185 "chips": ["gfx10"],
14186 "map": {"at": 45080, "to": "mm"},
14187 "name": "SPI_SHADER_PGM_CHKSUM_PS",
14188 "type_ref": "COMPUTE_SHADER_CHKSUM"
14189 },
14190 {
14191 "chips": ["gfx10"],
14192 "map": {"at": 45332, "to": "mm"},
14193 "name": "SPI_SHADER_PGM_CHKSUM_VS",
14194 "type_ref": "COMPUTE_SHADER_CHKSUM"
14195 },
14196 {
14197 "chips": ["gfx10"],
14198 "map": {"at": 45860, "to": "mm"},
14199 "name": "SPI_SHADER_PGM_HI_ES",
14200 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_HI"
14201 },
14202 {
14203 "chips": ["gfx10"],
14204 "map": {"at": 45588, "to": "mm"},
14205 "name": "SPI_SHADER_PGM_HI_ES_GS",
14206 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_HI"
14207 },
14208 {
14209 "chips": ["gfx10"],
14210 "map": {"at": 45604, "to": "mm"},
14211 "name": "SPI_SHADER_PGM_HI_GS",
14212 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_HI"
14213 },
14214 {
14215 "chips": ["gfx10"],
14216 "map": {"at": 46116, "to": "mm"},
14217 "name": "SPI_SHADER_PGM_HI_HS",
14218 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_HI"
14219 },
14220 {
14221 "chips": ["gfx10"],
14222 "map": {"at": 46372, "to": "mm"},
14223 "name": "SPI_SHADER_PGM_HI_LS",
14224 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_HI"
14225 },
14226 {
14227 "chips": ["gfx10"],
14228 "map": {"at": 46100, "to": "mm"},
14229 "name": "SPI_SHADER_PGM_HI_LS_HS",
14230 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_HI"
14231 },
14232 {
14233 "chips": ["gfx10"],
14234 "map": {"at": 45092, "to": "mm"},
14235 "name": "SPI_SHADER_PGM_HI_PS",
14236 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_HI"
14237 },
14238 {
14239 "chips": ["gfx10"],
14240 "map": {"at": 45348, "to": "mm"},
14241 "name": "SPI_SHADER_PGM_HI_VS",
14242 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_HI"
14243 },
14244 {
14245 "chips": ["gfx10"],
14246 "map": {"at": 45856, "to": "mm"},
14247 "name": "SPI_SHADER_PGM_LO_ES",
14248 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO"
14249 },
14250 {
14251 "chips": ["gfx10"],
14252 "map": {"at": 45584, "to": "mm"},
14253 "name": "SPI_SHADER_PGM_LO_ES_GS",
14254 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO"
14255 },
14256 {
14257 "chips": ["gfx10"],
14258 "map": {"at": 45600, "to": "mm"},
14259 "name": "SPI_SHADER_PGM_LO_GS",
14260 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO"
14261 },
14262 {
14263 "chips": ["gfx10"],
14264 "map": {"at": 46112, "to": "mm"},
14265 "name": "SPI_SHADER_PGM_LO_HS",
14266 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO"
14267 },
14268 {
14269 "chips": ["gfx10"],
14270 "map": {"at": 46368, "to": "mm"},
14271 "name": "SPI_SHADER_PGM_LO_LS",
14272 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO"
14273 },
14274 {
14275 "chips": ["gfx10"],
14276 "map": {"at": 46096, "to": "mm"},
14277 "name": "SPI_SHADER_PGM_LO_LS_HS",
14278 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO"
14279 },
14280 {
14281 "chips": ["gfx10"],
14282 "map": {"at": 45088, "to": "mm"},
14283 "name": "SPI_SHADER_PGM_LO_PS",
14284 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO"
14285 },
14286 {
14287 "chips": ["gfx10"],
14288 "map": {"at": 45344, "to": "mm"},
14289 "name": "SPI_SHADER_PGM_LO_VS",
14290 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO"
14291 },
14292 {
14293 "chips": ["gfx10"],
14294 "map": {"at": 45864, "to": "mm"},
14295 "name": "SPI_SHADER_PGM_RSRC1_ES",
14296 "type_ref": "SPI_SHADER_PGM_RSRC1_ES"
14297 },
14298 {
14299 "chips": ["gfx10"],
14300 "map": {"at": 45608, "to": "mm"},
14301 "name": "SPI_SHADER_PGM_RSRC1_GS",
14302 "type_ref": "SPI_SHADER_PGM_RSRC1_GS"
14303 },
14304 {
14305 "chips": ["gfx10"],
14306 "map": {"at": 46120, "to": "mm"},
14307 "name": "SPI_SHADER_PGM_RSRC1_HS",
14308 "type_ref": "SPI_SHADER_PGM_RSRC1_HS"
14309 },
14310 {
14311 "chips": ["gfx10"],
14312 "map": {"at": 46376, "to": "mm"},
14313 "name": "SPI_SHADER_PGM_RSRC1_LS",
14314 "type_ref": "SPI_SHADER_PGM_RSRC1_LS"
14315 },
14316 {
14317 "chips": ["gfx10"],
14318 "map": {"at": 45096, "to": "mm"},
14319 "name": "SPI_SHADER_PGM_RSRC1_PS",
14320 "type_ref": "SPI_SHADER_PGM_RSRC1_PS"
14321 },
14322 {
14323 "chips": ["gfx10"],
14324 "map": {"at": 45352, "to": "mm"},
14325 "name": "SPI_SHADER_PGM_RSRC1_VS",
14326 "type_ref": "SPI_SHADER_PGM_RSRC1_VS"
14327 },
14328 {
14329 "chips": ["gfx10"],
14330 "map": {"at": 45868, "to": "mm"},
14331 "name": "SPI_SHADER_PGM_RSRC2_ES",
14332 "type_ref": "SPI_SHADER_PGM_RSRC2_ES_VS"
14333 },
14334 {
14335 "chips": ["gfx10"],
14336 "map": {"at": 45808, "to": "mm"},
14337 "name": "SPI_SHADER_PGM_RSRC2_ES_GS",
14338 "type_ref": "SPI_SHADER_PGM_RSRC2_ES_VS"
14339 },
14340 {
14341 "chips": ["gfx10"],
14342 "map": {"at": 45552, "to": "mm"},
14343 "name": "SPI_SHADER_PGM_RSRC2_ES_VS",
14344 "type_ref": "SPI_SHADER_PGM_RSRC2_ES_VS"
14345 },
14346 {
14347 "chips": ["gfx10"],
14348 "map": {"at": 45612, "to": "mm"},
14349 "name": "SPI_SHADER_PGM_RSRC2_GS",
14350 "type_ref": "SPI_SHADER_PGM_RSRC2_GS"
14351 },
14352 {
14353 "chips": ["gfx10"],
14354 "map": {"at": 45548, "to": "mm"},
14355 "name": "SPI_SHADER_PGM_RSRC2_GS_VS",
14356 "type_ref": "SPI_SHADER_PGM_RSRC2_GS_VS"
14357 },
14358 {
14359 "chips": ["gfx10"],
14360 "map": {"at": 46124, "to": "mm"},
14361 "name": "SPI_SHADER_PGM_RSRC2_HS",
14362 "type_ref": "SPI_SHADER_PGM_RSRC2_HS"
14363 },
14364 {
14365 "chips": ["gfx10"],
14366 "map": {"at": 46380, "to": "mm"},
14367 "name": "SPI_SHADER_PGM_RSRC2_LS",
14368 "type_ref": "SPI_SHADER_PGM_RSRC2_LS_VS"
14369 },
14370 {
14371 "chips": ["gfx10"],
14372 "map": {"at": 46068, "to": "mm"},
14373 "name": "SPI_SHADER_PGM_RSRC2_LS_ES",
14374 "type_ref": "SPI_SHADER_PGM_RSRC2_LS_VS"
14375 },
14376 {
14377 "chips": ["gfx10"],
14378 "map": {"at": 46324, "to": "mm"},
14379 "name": "SPI_SHADER_PGM_RSRC2_LS_HS",
14380 "type_ref": "SPI_SHADER_PGM_RSRC2_LS_VS"
14381 },
14382 {
14383 "chips": ["gfx10"],
14384 "map": {"at": 45556, "to": "mm"},
14385 "name": "SPI_SHADER_PGM_RSRC2_LS_VS",
14386 "type_ref": "SPI_SHADER_PGM_RSRC2_LS_VS"
14387 },
14388 {
14389 "chips": ["gfx10"],
14390 "map": {"at": 45100, "to": "mm"},
14391 "name": "SPI_SHADER_PGM_RSRC2_PS",
14392 "type_ref": "SPI_SHADER_PGM_RSRC2_PS"
14393 },
14394 {
14395 "chips": ["gfx10"],
14396 "map": {"at": 45356, "to": "mm"},
14397 "name": "SPI_SHADER_PGM_RSRC2_VS",
14398 "type_ref": "SPI_SHADER_PGM_RSRC2_VS"
14399 },
14400 {
14401 "chips": ["gfx10"],
14402 "map": {"at": 45852, "to": "mm"},
14403 "name": "SPI_SHADER_PGM_RSRC3_ES",
14404 "type_ref": "SPI_SHADER_PGM_RSRC3_GS"
14405 },
14406 {
14407 "chips": ["gfx10"],
14408 "map": {"at": 45596, "to": "mm"},
14409 "name": "SPI_SHADER_PGM_RSRC3_GS",
14410 "type_ref": "SPI_SHADER_PGM_RSRC3_GS"
14411 },
14412 {
14413 "chips": ["gfx10"],
14414 "map": {"at": 46108, "to": "mm"},
14415 "name": "SPI_SHADER_PGM_RSRC3_HS",
14416 "type_ref": "SPI_SHADER_PGM_RSRC3_HS"
14417 },
14418 {
14419 "chips": ["gfx10"],
14420 "map": {"at": 46364, "to": "mm"},
14421 "name": "SPI_SHADER_PGM_RSRC3_LS",
14422 "type_ref": "SPI_SHADER_PGM_RSRC3_GS"
14423 },
14424 {
14425 "chips": ["gfx10"],
14426 "map": {"at": 45084, "to": "mm"},
14427 "name": "SPI_SHADER_PGM_RSRC3_PS",
14428 "type_ref": "SPI_SHADER_PGM_RSRC3_PS"
14429 },
14430 {
14431 "chips": ["gfx10"],
14432 "map": {"at": 45336, "to": "mm"},
14433 "name": "SPI_SHADER_PGM_RSRC3_VS",
14434 "type_ref": "SPI_SHADER_PGM_RSRC3_PS"
14435 },
14436 {
14437 "chips": ["gfx10"],
14438 "map": {"at": 45572, "to": "mm"},
14439 "name": "SPI_SHADER_PGM_RSRC4_GS",
14440 "type_ref": "SPI_SHADER_PGM_RSRC4_GS"
14441 },
14442 {
14443 "chips": ["gfx10"],
14444 "map": {"at": 46084, "to": "mm"},
14445 "name": "SPI_SHADER_PGM_RSRC4_HS",
14446 "type_ref": "SPI_SHADER_PGM_RSRC4_PS"
14447 },
14448 {
14449 "chips": ["gfx10"],
14450 "map": {"at": 45060, "to": "mm"},
14451 "name": "SPI_SHADER_PGM_RSRC4_PS",
14452 "type_ref": "SPI_SHADER_PGM_RSRC4_PS"
14453 },
14454 {
14455 "chips": ["gfx10"],
14456 "map": {"at": 45316, "to": "mm"},
14457 "name": "SPI_SHADER_PGM_RSRC4_VS",
14458 "type_ref": "SPI_SHADER_PGM_RSRC4_PS"
14459 },
14460 {
14461 "chips": ["gfx10"],
14462 "map": {"at": 165644, "to": "mm"},
14463 "name": "SPI_SHADER_POS_FORMAT",
14464 "type_ref": "SPI_SHADER_POS_FORMAT"
14465 },
14466 {
14467 "chips": ["gfx10"],
14468 "map": {"at": 45768, "to": "mm"},
14469 "name": "SPI_SHADER_USER_ACCUM_ESGS_0",
14470 "type_ref": "COMPUTE_USER_ACCUM_0"
14471 },
14472 {
14473 "chips": ["gfx10"],
14474 "map": {"at": 45772, "to": "mm"},
14475 "name": "SPI_SHADER_USER_ACCUM_ESGS_1",
14476 "type_ref": "COMPUTE_USER_ACCUM_0"
14477 },
14478 {
14479 "chips": ["gfx10"],
14480 "map": {"at": 45776, "to": "mm"},
14481 "name": "SPI_SHADER_USER_ACCUM_ESGS_2",
14482 "type_ref": "COMPUTE_USER_ACCUM_0"
14483 },
14484 {
14485 "chips": ["gfx10"],
14486 "map": {"at": 45780, "to": "mm"},
14487 "name": "SPI_SHADER_USER_ACCUM_ESGS_3",
14488 "type_ref": "COMPUTE_USER_ACCUM_0"
14489 },
14490 {
14491 "chips": ["gfx10"],
14492 "map": {"at": 46280, "to": "mm"},
14493 "name": "SPI_SHADER_USER_ACCUM_LSHS_0",
14494 "type_ref": "COMPUTE_USER_ACCUM_0"
14495 },
14496 {
14497 "chips": ["gfx10"],
14498 "map": {"at": 46284, "to": "mm"},
14499 "name": "SPI_SHADER_USER_ACCUM_LSHS_1",
14500 "type_ref": "COMPUTE_USER_ACCUM_0"
14501 },
14502 {
14503 "chips": ["gfx10"],
14504 "map": {"at": 46288, "to": "mm"},
14505 "name": "SPI_SHADER_USER_ACCUM_LSHS_2",
14506 "type_ref": "COMPUTE_USER_ACCUM_0"
14507 },
14508 {
14509 "chips": ["gfx10"],
14510 "map": {"at": 46292, "to": "mm"},
14511 "name": "SPI_SHADER_USER_ACCUM_LSHS_3",
14512 "type_ref": "COMPUTE_USER_ACCUM_0"
14513 },
14514 {
14515 "chips": ["gfx10"],
14516 "map": {"at": 45256, "to": "mm"},
14517 "name": "SPI_SHADER_USER_ACCUM_PS_0",
14518 "type_ref": "COMPUTE_USER_ACCUM_0"
14519 },
14520 {
14521 "chips": ["gfx10"],
14522 "map": {"at": 45260, "to": "mm"},
14523 "name": "SPI_SHADER_USER_ACCUM_PS_1",
14524 "type_ref": "COMPUTE_USER_ACCUM_0"
14525 },
14526 {
14527 "chips": ["gfx10"],
14528 "map": {"at": 45264, "to": "mm"},
14529 "name": "SPI_SHADER_USER_ACCUM_PS_2",
14530 "type_ref": "COMPUTE_USER_ACCUM_0"
14531 },
14532 {
14533 "chips": ["gfx10"],
14534 "map": {"at": 45268, "to": "mm"},
14535 "name": "SPI_SHADER_USER_ACCUM_PS_3",
14536 "type_ref": "COMPUTE_USER_ACCUM_0"
14537 },
14538 {
14539 "chips": ["gfx10"],
14540 "map": {"at": 45512, "to": "mm"},
14541 "name": "SPI_SHADER_USER_ACCUM_VS_0",
14542 "type_ref": "COMPUTE_USER_ACCUM_0"
14543 },
14544 {
14545 "chips": ["gfx10"],
14546 "map": {"at": 45516, "to": "mm"},
14547 "name": "SPI_SHADER_USER_ACCUM_VS_1",
14548 "type_ref": "COMPUTE_USER_ACCUM_0"
14549 },
14550 {
14551 "chips": ["gfx10"],
14552 "map": {"at": 45520, "to": "mm"},
14553 "name": "SPI_SHADER_USER_ACCUM_VS_2",
14554 "type_ref": "COMPUTE_USER_ACCUM_0"
14555 },
14556 {
14557 "chips": ["gfx10"],
14558 "map": {"at": 45524, "to": "mm"},
14559 "name": "SPI_SHADER_USER_ACCUM_VS_3",
14560 "type_ref": "COMPUTE_USER_ACCUM_0"
14561 },
14562 {
14563 "chips": ["gfx10"],
14564 "map": {"at": 45764, "to": "mm"},
14565 "name": "SPI_SHADER_PREF_PRI_CNTR_CTRL_ESGS",
14566 "type_ref": "COMPUTE_PREF_PRI_CNTR_CTRL"
14567 },
14568 {
14569 "chips": ["gfx10"],
14570 "map": {"at": 46276, "to": "mm"},
14571 "name": "SPI_SHADER_PREF_PRI_CNTR_CTRL_LSHS",
14572 "type_ref": "COMPUTE_PREF_PRI_CNTR_CTRL"
14573 },
14574 {
14575 "chips": ["gfx10"],
14576 "map": {"at": 45252, "to": "mm"},
14577 "name": "SPI_SHADER_PREF_PRI_CNTR_CTRL_PS",
14578 "type_ref": "COMPUTE_PREF_PRI_CNTR_CTRL"
14579 },
14580 {
14581 "chips": ["gfx10"],
14582 "map": {"at": 45508, "to": "mm"},
14583 "name": "SPI_SHADER_PREF_PRI_CNTR_CTRL_VS",
14584 "type_ref": "COMPUTE_PREF_PRI_CNTR_CTRL"
14585 },
14586 {
14587 "chips": ["gfx10"],
14588 "map": {"at": 45760, "to": "mm"},
14589 "name": "SPI_SHADER_REQ_CTRL_ESGS",
14590 "type_ref": "SPI_SHADER_REQ_CTRL_ESGS"
14591 },
14592 {
14593 "chips": ["gfx10"],
14594 "map": {"at": 46272, "to": "mm"},
14595 "name": "SPI_SHADER_REQ_CTRL_LSHS",
14596 "type_ref": "SPI_SHADER_REQ_CTRL_ESGS"
14597 },
14598 {
14599 "chips": ["gfx10"],
14600 "map": {"at": 45248, "to": "mm"},
14601 "name": "SPI_SHADER_REQ_CTRL_PS",
14602 "type_ref": "SPI_SHADER_REQ_CTRL_ESGS"
14603 },
14604 {
14605 "chips": ["gfx10"],
14606 "map": {"at": 45504, "to": "mm"},
14607 "name": "SPI_SHADER_REQ_CTRL_VS",
14608 "type_ref": "SPI_SHADER_REQ_CTRL_ESGS"
14609 },
14610 {
14611 "chips": ["gfx10"],
14612 "map": {"at": 45580, "to": "mm"},
14613 "name": "SPI_SHADER_USER_DATA_ADDR_HI_GS",
14614 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO"
14615 },
14616 {
14617 "chips": ["gfx10"],
14618 "map": {"at": 46092, "to": "mm"},
14619 "name": "SPI_SHADER_USER_DATA_ADDR_HI_HS",
14620 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO"
14621 },
14622 {
14623 "chips": ["gfx10"],
14624 "map": {"at": 45576, "to": "mm"},
14625 "name": "SPI_SHADER_USER_DATA_ADDR_LO_GS",
14626 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO"
14627 },
14628 {
14629 "chips": ["gfx10"],
14630 "map": {"at": 46088, "to": "mm"},
14631 "name": "SPI_SHADER_USER_DATA_ADDR_LO_HS",
14632 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO"
14633 },
14634 {
14635 "chips": ["gfx10"],
14636 "map": {"at": 45872, "to": "mm"},
14637 "name": "SPI_SHADER_USER_DATA_ES_0",
14638 "type_ref": "COMPUTE_PGM_LO"
14639 },
14640 {
14641 "chips": ["gfx10"],
14642 "map": {"at": 45876, "to": "mm"},
14643 "name": "SPI_SHADER_USER_DATA_ES_1",
14644 "type_ref": "COMPUTE_PGM_LO"
14645 },
14646 {
14647 "chips": ["gfx10"],
14648 "map": {"at": 45912, "to": "mm"},
14649 "name": "SPI_SHADER_USER_DATA_ES_10",
14650 "type_ref": "COMPUTE_PGM_LO"
14651 },
14652 {
14653 "chips": ["gfx10"],
14654 "map": {"at": 45916, "to": "mm"},
14655 "name": "SPI_SHADER_USER_DATA_ES_11",
14656 "type_ref": "COMPUTE_PGM_LO"
14657 },
14658 {
14659 "chips": ["gfx10"],
14660 "map": {"at": 45920, "to": "mm"},
14661 "name": "SPI_SHADER_USER_DATA_ES_12",
14662 "type_ref": "COMPUTE_PGM_LO"
14663 },
14664 {
14665 "chips": ["gfx10"],
14666 "map": {"at": 45924, "to": "mm"},
14667 "name": "SPI_SHADER_USER_DATA_ES_13",
14668 "type_ref": "COMPUTE_PGM_LO"
14669 },
14670 {
14671 "chips": ["gfx10"],
14672 "map": {"at": 45928, "to": "mm"},
14673 "name": "SPI_SHADER_USER_DATA_ES_14",
14674 "type_ref": "COMPUTE_PGM_LO"
14675 },
14676 {
14677 "chips": ["gfx10"],
14678 "map": {"at": 45932, "to": "mm"},
14679 "name": "SPI_SHADER_USER_DATA_ES_15",
14680 "type_ref": "COMPUTE_PGM_LO"
14681 },
14682 {
14683 "chips": ["gfx10"],
14684 "map": {"at": 45880, "to": "mm"},
14685 "name": "SPI_SHADER_USER_DATA_ES_2",
14686 "type_ref": "COMPUTE_PGM_LO"
14687 },
14688 {
14689 "chips": ["gfx10"],
14690 "map": {"at": 45884, "to": "mm"},
14691 "name": "SPI_SHADER_USER_DATA_ES_3",
14692 "type_ref": "COMPUTE_PGM_LO"
14693 },
14694 {
14695 "chips": ["gfx10"],
14696 "map": {"at": 45888, "to": "mm"},
14697 "name": "SPI_SHADER_USER_DATA_ES_4",
14698 "type_ref": "COMPUTE_PGM_LO"
14699 },
14700 {
14701 "chips": ["gfx10"],
14702 "map": {"at": 45892, "to": "mm"},
14703 "name": "SPI_SHADER_USER_DATA_ES_5",
14704 "type_ref": "COMPUTE_PGM_LO"
14705 },
14706 {
14707 "chips": ["gfx10"],
14708 "map": {"at": 45896, "to": "mm"},
14709 "name": "SPI_SHADER_USER_DATA_ES_6",
14710 "type_ref": "COMPUTE_PGM_LO"
14711 },
14712 {
14713 "chips": ["gfx10"],
14714 "map": {"at": 45900, "to": "mm"},
14715 "name": "SPI_SHADER_USER_DATA_ES_7",
14716 "type_ref": "COMPUTE_PGM_LO"
14717 },
14718 {
14719 "chips": ["gfx10"],
14720 "map": {"at": 45904, "to": "mm"},
14721 "name": "SPI_SHADER_USER_DATA_ES_8",
14722 "type_ref": "COMPUTE_PGM_LO"
14723 },
14724 {
14725 "chips": ["gfx10"],
14726 "map": {"at": 45908, "to": "mm"},
14727 "name": "SPI_SHADER_USER_DATA_ES_9",
14728 "type_ref": "COMPUTE_PGM_LO"
14729 },
14730 {
14731 "chips": ["gfx10"],
14732 "map": {"at": 45616, "to": "mm"},
14733 "name": "SPI_SHADER_USER_DATA_GS_0",
14734 "type_ref": "COMPUTE_PGM_LO"
14735 },
14736 {
14737 "chips": ["gfx10"],
14738 "map": {"at": 45620, "to": "mm"},
14739 "name": "SPI_SHADER_USER_DATA_GS_1",
14740 "type_ref": "COMPUTE_PGM_LO"
14741 },
14742 {
14743 "chips": ["gfx10"],
14744 "map": {"at": 45656, "to": "mm"},
14745 "name": "SPI_SHADER_USER_DATA_GS_10",
14746 "type_ref": "COMPUTE_PGM_LO"
14747 },
14748 {
14749 "chips": ["gfx10"],
14750 "map": {"at": 45660, "to": "mm"},
14751 "name": "SPI_SHADER_USER_DATA_GS_11",
14752 "type_ref": "COMPUTE_PGM_LO"
14753 },
14754 {
14755 "chips": ["gfx10"],
14756 "map": {"at": 45664, "to": "mm"},
14757 "name": "SPI_SHADER_USER_DATA_GS_12",
14758 "type_ref": "COMPUTE_PGM_LO"
14759 },
14760 {
14761 "chips": ["gfx10"],
14762 "map": {"at": 45668, "to": "mm"},
14763 "name": "SPI_SHADER_USER_DATA_GS_13",
14764 "type_ref": "COMPUTE_PGM_LO"
14765 },
14766 {
14767 "chips": ["gfx10"],
14768 "map": {"at": 45672, "to": "mm"},
14769 "name": "SPI_SHADER_USER_DATA_GS_14",
14770 "type_ref": "COMPUTE_PGM_LO"
14771 },
14772 {
14773 "chips": ["gfx10"],
14774 "map": {"at": 45676, "to": "mm"},
14775 "name": "SPI_SHADER_USER_DATA_GS_15",
14776 "type_ref": "COMPUTE_PGM_LO"
14777 },
14778 {
14779 "chips": ["gfx10"],
14780 "map": {"at": 45680, "to": "mm"},
14781 "name": "SPI_SHADER_USER_DATA_GS_16",
14782 "type_ref": "COMPUTE_PGM_LO"
14783 },
14784 {
14785 "chips": ["gfx10"],
14786 "map": {"at": 45684, "to": "mm"},
14787 "name": "SPI_SHADER_USER_DATA_GS_17",
14788 "type_ref": "COMPUTE_PGM_LO"
14789 },
14790 {
14791 "chips": ["gfx10"],
14792 "map": {"at": 45688, "to": "mm"},
14793 "name": "SPI_SHADER_USER_DATA_GS_18",
14794 "type_ref": "COMPUTE_PGM_LO"
14795 },
14796 {
14797 "chips": ["gfx10"],
14798 "map": {"at": 45692, "to": "mm"},
14799 "name": "SPI_SHADER_USER_DATA_GS_19",
14800 "type_ref": "COMPUTE_PGM_LO"
14801 },
14802 {
14803 "chips": ["gfx10"],
14804 "map": {"at": 45624, "to": "mm"},
14805 "name": "SPI_SHADER_USER_DATA_GS_2",
14806 "type_ref": "COMPUTE_PGM_LO"
14807 },
14808 {
14809 "chips": ["gfx10"],
14810 "map": {"at": 45696, "to": "mm"},
14811 "name": "SPI_SHADER_USER_DATA_GS_20",
14812 "type_ref": "COMPUTE_PGM_LO"
14813 },
14814 {
14815 "chips": ["gfx10"],
14816 "map": {"at": 45700, "to": "mm"},
14817 "name": "SPI_SHADER_USER_DATA_GS_21",
14818 "type_ref": "COMPUTE_PGM_LO"
14819 },
14820 {
14821 "chips": ["gfx10"],
14822 "map": {"at": 45704, "to": "mm"},
14823 "name": "SPI_SHADER_USER_DATA_GS_22",
14824 "type_ref": "COMPUTE_PGM_LO"
14825 },
14826 {
14827 "chips": ["gfx10"],
14828 "map": {"at": 45708, "to": "mm"},
14829 "name": "SPI_SHADER_USER_DATA_GS_23",
14830 "type_ref": "COMPUTE_PGM_LO"
14831 },
14832 {
14833 "chips": ["gfx10"],
14834 "map": {"at": 45712, "to": "mm"},
14835 "name": "SPI_SHADER_USER_DATA_GS_24",
14836 "type_ref": "COMPUTE_PGM_LO"
14837 },
14838 {
14839 "chips": ["gfx10"],
14840 "map": {"at": 45716, "to": "mm"},
14841 "name": "SPI_SHADER_USER_DATA_GS_25",
14842 "type_ref": "COMPUTE_PGM_LO"
14843 },
14844 {
14845 "chips": ["gfx10"],
14846 "map": {"at": 45720, "to": "mm"},
14847 "name": "SPI_SHADER_USER_DATA_GS_26",
14848 "type_ref": "COMPUTE_PGM_LO"
14849 },
14850 {
14851 "chips": ["gfx10"],
14852 "map": {"at": 45724, "to": "mm"},
14853 "name": "SPI_SHADER_USER_DATA_GS_27",
14854 "type_ref": "COMPUTE_PGM_LO"
14855 },
14856 {
14857 "chips": ["gfx10"],
14858 "map": {"at": 45728, "to": "mm"},
14859 "name": "SPI_SHADER_USER_DATA_GS_28",
14860 "type_ref": "COMPUTE_PGM_LO"
14861 },
14862 {
14863 "chips": ["gfx10"],
14864 "map": {"at": 45732, "to": "mm"},
14865 "name": "SPI_SHADER_USER_DATA_GS_29",
14866 "type_ref": "COMPUTE_PGM_LO"
14867 },
14868 {
14869 "chips": ["gfx10"],
14870 "map": {"at": 45628, "to": "mm"},
14871 "name": "SPI_SHADER_USER_DATA_GS_3",
14872 "type_ref": "COMPUTE_PGM_LO"
14873 },
14874 {
14875 "chips": ["gfx10"],
14876 "map": {"at": 45736, "to": "mm"},
14877 "name": "SPI_SHADER_USER_DATA_GS_30",
14878 "type_ref": "COMPUTE_PGM_LO"
14879 },
14880 {
14881 "chips": ["gfx10"],
14882 "map": {"at": 45740, "to": "mm"},
14883 "name": "SPI_SHADER_USER_DATA_GS_31",
14884 "type_ref": "COMPUTE_PGM_LO"
14885 },
14886 {
14887 "chips": ["gfx10"],
14888 "map": {"at": 45632, "to": "mm"},
14889 "name": "SPI_SHADER_USER_DATA_GS_4",
14890 "type_ref": "COMPUTE_PGM_LO"
14891 },
14892 {
14893 "chips": ["gfx10"],
14894 "map": {"at": 45636, "to": "mm"},
14895 "name": "SPI_SHADER_USER_DATA_GS_5",
14896 "type_ref": "COMPUTE_PGM_LO"
14897 },
14898 {
14899 "chips": ["gfx10"],
14900 "map": {"at": 45640, "to": "mm"},
14901 "name": "SPI_SHADER_USER_DATA_GS_6",
14902 "type_ref": "COMPUTE_PGM_LO"
14903 },
14904 {
14905 "chips": ["gfx10"],
14906 "map": {"at": 45644, "to": "mm"},
14907 "name": "SPI_SHADER_USER_DATA_GS_7",
14908 "type_ref": "COMPUTE_PGM_LO"
14909 },
14910 {
14911 "chips": ["gfx10"],
14912 "map": {"at": 45648, "to": "mm"},
14913 "name": "SPI_SHADER_USER_DATA_GS_8",
14914 "type_ref": "COMPUTE_PGM_LO"
14915 },
14916 {
14917 "chips": ["gfx10"],
14918 "map": {"at": 45652, "to": "mm"},
14919 "name": "SPI_SHADER_USER_DATA_GS_9",
14920 "type_ref": "COMPUTE_PGM_LO"
14921 },
14922 {
14923 "chips": ["gfx10"],
14924 "map": {"at": 46128, "to": "mm"},
14925 "name": "SPI_SHADER_USER_DATA_HS_0",
14926 "type_ref": "COMPUTE_PGM_LO"
14927 },
14928 {
14929 "chips": ["gfx10"],
14930 "map": {"at": 46132, "to": "mm"},
14931 "name": "SPI_SHADER_USER_DATA_HS_1",
14932 "type_ref": "COMPUTE_PGM_LO"
14933 },
14934 {
14935 "chips": ["gfx10"],
14936 "map": {"at": 46168, "to": "mm"},
14937 "name": "SPI_SHADER_USER_DATA_HS_10",
14938 "type_ref": "COMPUTE_PGM_LO"
14939 },
14940 {
14941 "chips": ["gfx10"],
14942 "map": {"at": 46172, "to": "mm"},
14943 "name": "SPI_SHADER_USER_DATA_HS_11",
14944 "type_ref": "COMPUTE_PGM_LO"
14945 },
14946 {
14947 "chips": ["gfx10"],
14948 "map": {"at": 46176, "to": "mm"},
14949 "name": "SPI_SHADER_USER_DATA_HS_12",
14950 "type_ref": "COMPUTE_PGM_LO"
14951 },
14952 {
14953 "chips": ["gfx10"],
14954 "map": {"at": 46180, "to": "mm"},
14955 "name": "SPI_SHADER_USER_DATA_HS_13",
14956 "type_ref": "COMPUTE_PGM_LO"
14957 },
14958 {
14959 "chips": ["gfx10"],
14960 "map": {"at": 46184, "to": "mm"},
14961 "name": "SPI_SHADER_USER_DATA_HS_14",
14962 "type_ref": "COMPUTE_PGM_LO"
14963 },
14964 {
14965 "chips": ["gfx10"],
14966 "map": {"at": 46188, "to": "mm"},
14967 "name": "SPI_SHADER_USER_DATA_HS_15",
14968 "type_ref": "COMPUTE_PGM_LO"
14969 },
14970 {
14971 "chips": ["gfx10"],
14972 "map": {"at": 46192, "to": "mm"},
14973 "name": "SPI_SHADER_USER_DATA_HS_16",
14974 "type_ref": "COMPUTE_PGM_LO"
14975 },
14976 {
14977 "chips": ["gfx10"],
14978 "map": {"at": 46196, "to": "mm"},
14979 "name": "SPI_SHADER_USER_DATA_HS_17",
14980 "type_ref": "COMPUTE_PGM_LO"
14981 },
14982 {
14983 "chips": ["gfx10"],
14984 "map": {"at": 46200, "to": "mm"},
14985 "name": "SPI_SHADER_USER_DATA_HS_18",
14986 "type_ref": "COMPUTE_PGM_LO"
14987 },
14988 {
14989 "chips": ["gfx10"],
14990 "map": {"at": 46204, "to": "mm"},
14991 "name": "SPI_SHADER_USER_DATA_HS_19",
14992 "type_ref": "COMPUTE_PGM_LO"
14993 },
14994 {
14995 "chips": ["gfx10"],
14996 "map": {"at": 46136, "to": "mm"},
14997 "name": "SPI_SHADER_USER_DATA_HS_2",
14998 "type_ref": "COMPUTE_PGM_LO"
14999 },
15000 {
15001 "chips": ["gfx10"],
15002 "map": {"at": 46208, "to": "mm"},
15003 "name": "SPI_SHADER_USER_DATA_HS_20",
15004 "type_ref": "COMPUTE_PGM_LO"
15005 },
15006 {
15007 "chips": ["gfx10"],
15008 "map": {"at": 46212, "to": "mm"},
15009 "name": "SPI_SHADER_USER_DATA_HS_21",
15010 "type_ref": "COMPUTE_PGM_LO"
15011 },
15012 {
15013 "chips": ["gfx10"],
15014 "map": {"at": 46216, "to": "mm"},
15015 "name": "SPI_SHADER_USER_DATA_HS_22",
15016 "type_ref": "COMPUTE_PGM_LO"
15017 },
15018 {
15019 "chips": ["gfx10"],
15020 "map": {"at": 46220, "to": "mm"},
15021 "name": "SPI_SHADER_USER_DATA_HS_23",
15022 "type_ref": "COMPUTE_PGM_LO"
15023 },
15024 {
15025 "chips": ["gfx10"],
15026 "map": {"at": 46224, "to": "mm"},
15027 "name": "SPI_SHADER_USER_DATA_HS_24",
15028 "type_ref": "COMPUTE_PGM_LO"
15029 },
15030 {
15031 "chips": ["gfx10"],
15032 "map": {"at": 46228, "to": "mm"},
15033 "name": "SPI_SHADER_USER_DATA_HS_25",
15034 "type_ref": "COMPUTE_PGM_LO"
15035 },
15036 {
15037 "chips": ["gfx10"],
15038 "map": {"at": 46232, "to": "mm"},
15039 "name": "SPI_SHADER_USER_DATA_HS_26",
15040 "type_ref": "COMPUTE_PGM_LO"
15041 },
15042 {
15043 "chips": ["gfx10"],
15044 "map": {"at": 46236, "to": "mm"},
15045 "name": "SPI_SHADER_USER_DATA_HS_27",
15046 "type_ref": "COMPUTE_PGM_LO"
15047 },
15048 {
15049 "chips": ["gfx10"],
15050 "map": {"at": 46240, "to": "mm"},
15051 "name": "SPI_SHADER_USER_DATA_HS_28",
15052 "type_ref": "COMPUTE_PGM_LO"
15053 },
15054 {
15055 "chips": ["gfx10"],
15056 "map": {"at": 46244, "to": "mm"},
15057 "name": "SPI_SHADER_USER_DATA_HS_29",
15058 "type_ref": "COMPUTE_PGM_LO"
15059 },
15060 {
15061 "chips": ["gfx10"],
15062 "map": {"at": 46140, "to": "mm"},
15063 "name": "SPI_SHADER_USER_DATA_HS_3",
15064 "type_ref": "COMPUTE_PGM_LO"
15065 },
15066 {
15067 "chips": ["gfx10"],
15068 "map": {"at": 46248, "to": "mm"},
15069 "name": "SPI_SHADER_USER_DATA_HS_30",
15070 "type_ref": "COMPUTE_PGM_LO"
15071 },
15072 {
15073 "chips": ["gfx10"],
15074 "map": {"at": 46252, "to": "mm"},
15075 "name": "SPI_SHADER_USER_DATA_HS_31",
15076 "type_ref": "COMPUTE_PGM_LO"
15077 },
15078 {
15079 "chips": ["gfx10"],
15080 "map": {"at": 46144, "to": "mm"},
15081 "name": "SPI_SHADER_USER_DATA_HS_4",
15082 "type_ref": "COMPUTE_PGM_LO"
15083 },
15084 {
15085 "chips": ["gfx10"],
15086 "map": {"at": 46148, "to": "mm"},
15087 "name": "SPI_SHADER_USER_DATA_HS_5",
15088 "type_ref": "COMPUTE_PGM_LO"
15089 },
15090 {
15091 "chips": ["gfx10"],
15092 "map": {"at": 46152, "to": "mm"},
15093 "name": "SPI_SHADER_USER_DATA_HS_6",
15094 "type_ref": "COMPUTE_PGM_LO"
15095 },
15096 {
15097 "chips": ["gfx10"],
15098 "map": {"at": 46156, "to": "mm"},
15099 "name": "SPI_SHADER_USER_DATA_HS_7",
15100 "type_ref": "COMPUTE_PGM_LO"
15101 },
15102 {
15103 "chips": ["gfx10"],
15104 "map": {"at": 46160, "to": "mm"},
15105 "name": "SPI_SHADER_USER_DATA_HS_8",
15106 "type_ref": "COMPUTE_PGM_LO"
15107 },
15108 {
15109 "chips": ["gfx10"],
15110 "map": {"at": 46164, "to": "mm"},
15111 "name": "SPI_SHADER_USER_DATA_HS_9",
15112 "type_ref": "COMPUTE_PGM_LO"
15113 },
15114 {
15115 "chips": ["gfx10"],
15116 "map": {"at": 46384, "to": "mm"},
15117 "name": "SPI_SHADER_USER_DATA_LS_0",
15118 "type_ref": "COMPUTE_PGM_LO"
15119 },
15120 {
15121 "chips": ["gfx10"],
15122 "map": {"at": 46388, "to": "mm"},
15123 "name": "SPI_SHADER_USER_DATA_LS_1",
15124 "type_ref": "COMPUTE_PGM_LO"
15125 },
15126 {
15127 "chips": ["gfx10"],
15128 "map": {"at": 46424, "to": "mm"},
15129 "name": "SPI_SHADER_USER_DATA_LS_10",
15130 "type_ref": "COMPUTE_PGM_LO"
15131 },
15132 {
15133 "chips": ["gfx10"],
15134 "map": {"at": 46428, "to": "mm"},
15135 "name": "SPI_SHADER_USER_DATA_LS_11",
15136 "type_ref": "COMPUTE_PGM_LO"
15137 },
15138 {
15139 "chips": ["gfx10"],
15140 "map": {"at": 46432, "to": "mm"},
15141 "name": "SPI_SHADER_USER_DATA_LS_12",
15142 "type_ref": "COMPUTE_PGM_LO"
15143 },
15144 {
15145 "chips": ["gfx10"],
15146 "map": {"at": 46436, "to": "mm"},
15147 "name": "SPI_SHADER_USER_DATA_LS_13",
15148 "type_ref": "COMPUTE_PGM_LO"
15149 },
15150 {
15151 "chips": ["gfx10"],
15152 "map": {"at": 46440, "to": "mm"},
15153 "name": "SPI_SHADER_USER_DATA_LS_14",
15154 "type_ref": "COMPUTE_PGM_LO"
15155 },
15156 {
15157 "chips": ["gfx10"],
15158 "map": {"at": 46444, "to": "mm"},
15159 "name": "SPI_SHADER_USER_DATA_LS_15",
15160 "type_ref": "COMPUTE_PGM_LO"
15161 },
15162 {
15163 "chips": ["gfx10"],
15164 "map": {"at": 46392, "to": "mm"},
15165 "name": "SPI_SHADER_USER_DATA_LS_2",
15166 "type_ref": "COMPUTE_PGM_LO"
15167 },
15168 {
15169 "chips": ["gfx10"],
15170 "map": {"at": 46396, "to": "mm"},
15171 "name": "SPI_SHADER_USER_DATA_LS_3",
15172 "type_ref": "COMPUTE_PGM_LO"
15173 },
15174 {
15175 "chips": ["gfx10"],
15176 "map": {"at": 46400, "to": "mm"},
15177 "name": "SPI_SHADER_USER_DATA_LS_4",
15178 "type_ref": "COMPUTE_PGM_LO"
15179 },
15180 {
15181 "chips": ["gfx10"],
15182 "map": {"at": 46404, "to": "mm"},
15183 "name": "SPI_SHADER_USER_DATA_LS_5",
15184 "type_ref": "COMPUTE_PGM_LO"
15185 },
15186 {
15187 "chips": ["gfx10"],
15188 "map": {"at": 46408, "to": "mm"},
15189 "name": "SPI_SHADER_USER_DATA_LS_6",
15190 "type_ref": "COMPUTE_PGM_LO"
15191 },
15192 {
15193 "chips": ["gfx10"],
15194 "map": {"at": 46412, "to": "mm"},
15195 "name": "SPI_SHADER_USER_DATA_LS_7",
15196 "type_ref": "COMPUTE_PGM_LO"
15197 },
15198 {
15199 "chips": ["gfx10"],
15200 "map": {"at": 46416, "to": "mm"},
15201 "name": "SPI_SHADER_USER_DATA_LS_8",
15202 "type_ref": "COMPUTE_PGM_LO"
15203 },
15204 {
15205 "chips": ["gfx10"],
15206 "map": {"at": 46420, "to": "mm"},
15207 "name": "SPI_SHADER_USER_DATA_LS_9",
15208 "type_ref": "COMPUTE_PGM_LO"
15209 },
15210 {
15211 "chips": ["gfx10"],
15212 "map": {"at": 45104, "to": "mm"},
15213 "name": "SPI_SHADER_USER_DATA_PS_0",
15214 "type_ref": "COMPUTE_PGM_LO"
15215 },
15216 {
15217 "chips": ["gfx10"],
15218 "map": {"at": 45108, "to": "mm"},
15219 "name": "SPI_SHADER_USER_DATA_PS_1",
15220 "type_ref": "COMPUTE_PGM_LO"
15221 },
15222 {
15223 "chips": ["gfx10"],
15224 "map": {"at": 45144, "to": "mm"},
15225 "name": "SPI_SHADER_USER_DATA_PS_10",
15226 "type_ref": "COMPUTE_PGM_LO"
15227 },
15228 {
15229 "chips": ["gfx10"],
15230 "map": {"at": 45148, "to": "mm"},
15231 "name": "SPI_SHADER_USER_DATA_PS_11",
15232 "type_ref": "COMPUTE_PGM_LO"
15233 },
15234 {
15235 "chips": ["gfx10"],
15236 "map": {"at": 45152, "to": "mm"},
15237 "name": "SPI_SHADER_USER_DATA_PS_12",
15238 "type_ref": "COMPUTE_PGM_LO"
15239 },
15240 {
15241 "chips": ["gfx10"],
15242 "map": {"at": 45156, "to": "mm"},
15243 "name": "SPI_SHADER_USER_DATA_PS_13",
15244 "type_ref": "COMPUTE_PGM_LO"
15245 },
15246 {
15247 "chips": ["gfx10"],
15248 "map": {"at": 45160, "to": "mm"},
15249 "name": "SPI_SHADER_USER_DATA_PS_14",
15250 "type_ref": "COMPUTE_PGM_LO"
15251 },
15252 {
15253 "chips": ["gfx10"],
15254 "map": {"at": 45164, "to": "mm"},
15255 "name": "SPI_SHADER_USER_DATA_PS_15",
15256 "type_ref": "COMPUTE_PGM_LO"
15257 },
15258 {
15259 "chips": ["gfx10"],
15260 "map": {"at": 45168, "to": "mm"},
15261 "name": "SPI_SHADER_USER_DATA_PS_16",
15262 "type_ref": "COMPUTE_PGM_LO"
15263 },
15264 {
15265 "chips": ["gfx10"],
15266 "map": {"at": 45172, "to": "mm"},
15267 "name": "SPI_SHADER_USER_DATA_PS_17",
15268 "type_ref": "COMPUTE_PGM_LO"
15269 },
15270 {
15271 "chips": ["gfx10"],
15272 "map": {"at": 45176, "to": "mm"},
15273 "name": "SPI_SHADER_USER_DATA_PS_18",
15274 "type_ref": "COMPUTE_PGM_LO"
15275 },
15276 {
15277 "chips": ["gfx10"],
15278 "map": {"at": 45180, "to": "mm"},
15279 "name": "SPI_SHADER_USER_DATA_PS_19",
15280 "type_ref": "COMPUTE_PGM_LO"
15281 },
15282 {
15283 "chips": ["gfx10"],
15284 "map": {"at": 45112, "to": "mm"},
15285 "name": "SPI_SHADER_USER_DATA_PS_2",
15286 "type_ref": "COMPUTE_PGM_LO"
15287 },
15288 {
15289 "chips": ["gfx10"],
15290 "map": {"at": 45184, "to": "mm"},
15291 "name": "SPI_SHADER_USER_DATA_PS_20",
15292 "type_ref": "COMPUTE_PGM_LO"
15293 },
15294 {
15295 "chips": ["gfx10"],
15296 "map": {"at": 45188, "to": "mm"},
15297 "name": "SPI_SHADER_USER_DATA_PS_21",
15298 "type_ref": "COMPUTE_PGM_LO"
15299 },
15300 {
15301 "chips": ["gfx10"],
15302 "map": {"at": 45192, "to": "mm"},
15303 "name": "SPI_SHADER_USER_DATA_PS_22",
15304 "type_ref": "COMPUTE_PGM_LO"
15305 },
15306 {
15307 "chips": ["gfx10"],
15308 "map": {"at": 45196, "to": "mm"},
15309 "name": "SPI_SHADER_USER_DATA_PS_23",
15310 "type_ref": "COMPUTE_PGM_LO"
15311 },
15312 {
15313 "chips": ["gfx10"],
15314 "map": {"at": 45200, "to": "mm"},
15315 "name": "SPI_SHADER_USER_DATA_PS_24",
15316 "type_ref": "COMPUTE_PGM_LO"
15317 },
15318 {
15319 "chips": ["gfx10"],
15320 "map": {"at": 45204, "to": "mm"},
15321 "name": "SPI_SHADER_USER_DATA_PS_25",
15322 "type_ref": "COMPUTE_PGM_LO"
15323 },
15324 {
15325 "chips": ["gfx10"],
15326 "map": {"at": 45208, "to": "mm"},
15327 "name": "SPI_SHADER_USER_DATA_PS_26",
15328 "type_ref": "COMPUTE_PGM_LO"
15329 },
15330 {
15331 "chips": ["gfx10"],
15332 "map": {"at": 45212, "to": "mm"},
15333 "name": "SPI_SHADER_USER_DATA_PS_27",
15334 "type_ref": "COMPUTE_PGM_LO"
15335 },
15336 {
15337 "chips": ["gfx10"],
15338 "map": {"at": 45216, "to": "mm"},
15339 "name": "SPI_SHADER_USER_DATA_PS_28",
15340 "type_ref": "COMPUTE_PGM_LO"
15341 },
15342 {
15343 "chips": ["gfx10"],
15344 "map": {"at": 45220, "to": "mm"},
15345 "name": "SPI_SHADER_USER_DATA_PS_29",
15346 "type_ref": "COMPUTE_PGM_LO"
15347 },
15348 {
15349 "chips": ["gfx10"],
15350 "map": {"at": 45116, "to": "mm"},
15351 "name": "SPI_SHADER_USER_DATA_PS_3",
15352 "type_ref": "COMPUTE_PGM_LO"
15353 },
15354 {
15355 "chips": ["gfx10"],
15356 "map": {"at": 45224, "to": "mm"},
15357 "name": "SPI_SHADER_USER_DATA_PS_30",
15358 "type_ref": "COMPUTE_PGM_LO"
15359 },
15360 {
15361 "chips": ["gfx10"],
15362 "map": {"at": 45228, "to": "mm"},
15363 "name": "SPI_SHADER_USER_DATA_PS_31",
15364 "type_ref": "COMPUTE_PGM_LO"
15365 },
15366 {
15367 "chips": ["gfx10"],
15368 "map": {"at": 45120, "to": "mm"},
15369 "name": "SPI_SHADER_USER_DATA_PS_4",
15370 "type_ref": "COMPUTE_PGM_LO"
15371 },
15372 {
15373 "chips": ["gfx10"],
15374 "map": {"at": 45124, "to": "mm"},
15375 "name": "SPI_SHADER_USER_DATA_PS_5",
15376 "type_ref": "COMPUTE_PGM_LO"
15377 },
15378 {
15379 "chips": ["gfx10"],
15380 "map": {"at": 45128, "to": "mm"},
15381 "name": "SPI_SHADER_USER_DATA_PS_6",
15382 "type_ref": "COMPUTE_PGM_LO"
15383 },
15384 {
15385 "chips": ["gfx10"],
15386 "map": {"at": 45132, "to": "mm"},
15387 "name": "SPI_SHADER_USER_DATA_PS_7",
15388 "type_ref": "COMPUTE_PGM_LO"
15389 },
15390 {
15391 "chips": ["gfx10"],
15392 "map": {"at": 45136, "to": "mm"},
15393 "name": "SPI_SHADER_USER_DATA_PS_8",
15394 "type_ref": "COMPUTE_PGM_LO"
15395 },
15396 {
15397 "chips": ["gfx10"],
15398 "map": {"at": 45140, "to": "mm"},
15399 "name": "SPI_SHADER_USER_DATA_PS_9",
15400 "type_ref": "COMPUTE_PGM_LO"
15401 },
15402 {
15403 "chips": ["gfx10"],
15404 "map": {"at": 45360, "to": "mm"},
15405 "name": "SPI_SHADER_USER_DATA_VS_0",
15406 "type_ref": "COMPUTE_PGM_LO"
15407 },
15408 {
15409 "chips": ["gfx10"],
15410 "map": {"at": 45364, "to": "mm"},
15411 "name": "SPI_SHADER_USER_DATA_VS_1",
15412 "type_ref": "COMPUTE_PGM_LO"
15413 },
15414 {
15415 "chips": ["gfx10"],
15416 "map": {"at": 45400, "to": "mm"},
15417 "name": "SPI_SHADER_USER_DATA_VS_10",
15418 "type_ref": "COMPUTE_PGM_LO"
15419 },
15420 {
15421 "chips": ["gfx10"],
15422 "map": {"at": 45404, "to": "mm"},
15423 "name": "SPI_SHADER_USER_DATA_VS_11",
15424 "type_ref": "COMPUTE_PGM_LO"
15425 },
15426 {
15427 "chips": ["gfx10"],
15428 "map": {"at": 45408, "to": "mm"},
15429 "name": "SPI_SHADER_USER_DATA_VS_12",
15430 "type_ref": "COMPUTE_PGM_LO"
15431 },
15432 {
15433 "chips": ["gfx10"],
15434 "map": {"at": 45412, "to": "mm"},
15435 "name": "SPI_SHADER_USER_DATA_VS_13",
15436 "type_ref": "COMPUTE_PGM_LO"
15437 },
15438 {
15439 "chips": ["gfx10"],
15440 "map": {"at": 45416, "to": "mm"},
15441 "name": "SPI_SHADER_USER_DATA_VS_14",
15442 "type_ref": "COMPUTE_PGM_LO"
15443 },
15444 {
15445 "chips": ["gfx10"],
15446 "map": {"at": 45420, "to": "mm"},
15447 "name": "SPI_SHADER_USER_DATA_VS_15",
15448 "type_ref": "COMPUTE_PGM_LO"
15449 },
15450 {
15451 "chips": ["gfx10"],
15452 "map": {"at": 45424, "to": "mm"},
15453 "name": "SPI_SHADER_USER_DATA_VS_16",
15454 "type_ref": "COMPUTE_PGM_LO"
15455 },
15456 {
15457 "chips": ["gfx10"],
15458 "map": {"at": 45428, "to": "mm"},
15459 "name": "SPI_SHADER_USER_DATA_VS_17",
15460 "type_ref": "COMPUTE_PGM_LO"
15461 },
15462 {
15463 "chips": ["gfx10"],
15464 "map": {"at": 45432, "to": "mm"},
15465 "name": "SPI_SHADER_USER_DATA_VS_18",
15466 "type_ref": "COMPUTE_PGM_LO"
15467 },
15468 {
15469 "chips": ["gfx10"],
15470 "map": {"at": 45436, "to": "mm"},
15471 "name": "SPI_SHADER_USER_DATA_VS_19",
15472 "type_ref": "COMPUTE_PGM_LO"
15473 },
15474 {
15475 "chips": ["gfx10"],
15476 "map": {"at": 45368, "to": "mm"},
15477 "name": "SPI_SHADER_USER_DATA_VS_2",
15478 "type_ref": "COMPUTE_PGM_LO"
15479 },
15480 {
15481 "chips": ["gfx10"],
15482 "map": {"at": 45440, "to": "mm"},
15483 "name": "SPI_SHADER_USER_DATA_VS_20",
15484 "type_ref": "COMPUTE_PGM_LO"
15485 },
15486 {
15487 "chips": ["gfx10"],
15488 "map": {"at": 45444, "to": "mm"},
15489 "name": "SPI_SHADER_USER_DATA_VS_21",
15490 "type_ref": "COMPUTE_PGM_LO"
15491 },
15492 {
15493 "chips": ["gfx10"],
15494 "map": {"at": 45448, "to": "mm"},
15495 "name": "SPI_SHADER_USER_DATA_VS_22",
15496 "type_ref": "COMPUTE_PGM_LO"
15497 },
15498 {
15499 "chips": ["gfx10"],
15500 "map": {"at": 45452, "to": "mm"},
15501 "name": "SPI_SHADER_USER_DATA_VS_23",
15502 "type_ref": "COMPUTE_PGM_LO"
15503 },
15504 {
15505 "chips": ["gfx10"],
15506 "map": {"at": 45456, "to": "mm"},
15507 "name": "SPI_SHADER_USER_DATA_VS_24",
15508 "type_ref": "COMPUTE_PGM_LO"
15509 },
15510 {
15511 "chips": ["gfx10"],
15512 "map": {"at": 45460, "to": "mm"},
15513 "name": "SPI_SHADER_USER_DATA_VS_25",
15514 "type_ref": "COMPUTE_PGM_LO"
15515 },
15516 {
15517 "chips": ["gfx10"],
15518 "map": {"at": 45464, "to": "mm"},
15519 "name": "SPI_SHADER_USER_DATA_VS_26",
15520 "type_ref": "COMPUTE_PGM_LO"
15521 },
15522 {
15523 "chips": ["gfx10"],
15524 "map": {"at": 45468, "to": "mm"},
15525 "name": "SPI_SHADER_USER_DATA_VS_27",
15526 "type_ref": "COMPUTE_PGM_LO"
15527 },
15528 {
15529 "chips": ["gfx10"],
15530 "map": {"at": 45472, "to": "mm"},
15531 "name": "SPI_SHADER_USER_DATA_VS_28",
15532 "type_ref": "COMPUTE_PGM_LO"
15533 },
15534 {
15535 "chips": ["gfx10"],
15536 "map": {"at": 45476, "to": "mm"},
15537 "name": "SPI_SHADER_USER_DATA_VS_29",
15538 "type_ref": "COMPUTE_PGM_LO"
15539 },
15540 {
15541 "chips": ["gfx10"],
15542 "map": {"at": 45372, "to": "mm"},
15543 "name": "SPI_SHADER_USER_DATA_VS_3",
15544 "type_ref": "COMPUTE_PGM_LO"
15545 },
15546 {
15547 "chips": ["gfx10"],
15548 "map": {"at": 45480, "to": "mm"},
15549 "name": "SPI_SHADER_USER_DATA_VS_30",
15550 "type_ref": "COMPUTE_PGM_LO"
15551 },
15552 {
15553 "chips": ["gfx10"],
15554 "map": {"at": 45484, "to": "mm"},
15555 "name": "SPI_SHADER_USER_DATA_VS_31",
15556 "type_ref": "COMPUTE_PGM_LO"
15557 },
15558 {
15559 "chips": ["gfx10"],
15560 "map": {"at": 45376, "to": "mm"},
15561 "name": "SPI_SHADER_USER_DATA_VS_4",
15562 "type_ref": "COMPUTE_PGM_LO"
15563 },
15564 {
15565 "chips": ["gfx10"],
15566 "map": {"at": 45380, "to": "mm"},
15567 "name": "SPI_SHADER_USER_DATA_VS_5",
15568 "type_ref": "COMPUTE_PGM_LO"
15569 },
15570 {
15571 "chips": ["gfx10"],
15572 "map": {"at": 45384, "to": "mm"},
15573 "name": "SPI_SHADER_USER_DATA_VS_6",
15574 "type_ref": "COMPUTE_PGM_LO"
15575 },
15576 {
15577 "chips": ["gfx10"],
15578 "map": {"at": 45388, "to": "mm"},
15579 "name": "SPI_SHADER_USER_DATA_VS_7",
15580 "type_ref": "COMPUTE_PGM_LO"
15581 },
15582 {
15583 "chips": ["gfx10"],
15584 "map": {"at": 45392, "to": "mm"},
15585 "name": "SPI_SHADER_USER_DATA_VS_8",
15586 "type_ref": "COMPUTE_PGM_LO"
15587 },
15588 {
15589 "chips": ["gfx10"],
15590 "map": {"at": 45396, "to": "mm"},
15591 "name": "SPI_SHADER_USER_DATA_VS_9",
15592 "type_ref": "COMPUTE_PGM_LO"
15593 },
15594 {
15595 "chips": ["gfx10"],
15596 "map": {"at": 165648, "to": "mm"},
15597 "name": "SPI_SHADER_Z_FORMAT",
15598 "type_ref": "SPI_SHADER_Z_FORMAT"
15599 },
15600 {
15601 "chips": ["gfx10"],
15602 "map": {"at": 37708, "to": "mm"},
15603 "name": "SPI_SLAVE_DEBUG_BUSY",
15604 "type_ref": "SPI_SLAVE_DEBUG_BUSY"
15605 },
15606 {
15607 "chips": ["gfx10"],
15608 "map": {"at": 37100, "to": "mm"},
15609 "name": "SPI_START_PHASE",
15610 "type_ref": "SPI_START_PHASE"
15611 },
15612 {
15613 "chips": ["gfx10"],
15614 "map": {"at": 37732, "to": "mm"},
15615 "name": "SPI_SX_EXPORT_BUFFER_SIZES",
15616 "type_ref": "SPI_SX_EXPORT_BUFFER_SIZES"
15617 },
15618 {
15619 "chips": ["gfx10"],
15620 "map": {"at": 37736, "to": "mm"},
15621 "name": "SPI_SX_SCOREBOARD_BUFFER_SIZES",
15622 "type_ref": "SPI_SX_SCOREBOARD_BUFFER_SIZES"
15623 },
15624 {
15625 "chips": ["gfx10"],
15626 "map": {"at": 165608, "to": "mm"},
15627 "name": "SPI_TMPRING_SIZE",
15628 "type_ref": "COMPUTE_TMPRING_SIZE"
15629 },
15630 {
15631 "chips": ["gfx10"],
15632 "map": {"at": 165572, "to": "mm"},
15633 "name": "SPI_VS_OUT_CONFIG",
15634 "type_ref": "SPI_VS_OUT_CONFIG"
15635 },
15636 {
15637 "chips": ["gfx10"],
15638 "map": {"at": 37172, "to": "mm"},
15639 "name": "SPI_WAVE_LIMIT_CNTL",
15640 "type_ref": "SPI_WAVE_LIMIT_CNTL"
15641 },
15642 {
15643 "chips": ["gfx10"],
15644 "map": {"at": 200972, "to": "mm"},
15645 "name": "SPI_WAVE_LIMIT_CNTL_REMAP",
15646 "type_ref": "SPI_CONFIG_CNTL_REMAP"
15647 },
15648 {
15649 "chips": ["gfx10"],
15650 "map": {"at": 37544, "to": "mm"},
15651 "name": "SPI_WF_LIFETIME_CNTL",
15652 "type_ref": "SPI_WF_LIFETIME_CNTL"
15653 },
15654 {
15655 "chips": ["gfx10"],
15656 "map": {"at": 37672, "to": "mm"},
15657 "name": "SPI_WF_LIFETIME_DEBUG",
15658 "type_ref": "SPI_WF_LIFETIME_DEBUG"
15659 },
15660 {
15661 "chips": ["gfx10"],
15662 "map": {"at": 37548, "to": "mm"},
15663 "name": "SPI_WF_LIFETIME_LIMIT_0",
15664 "type_ref": "SPI_WF_LIFETIME_LIMIT_0"
15665 },
15666 {
15667 "chips": ["gfx10"],
15668 "map": {"at": 37552, "to": "mm"},
15669 "name": "SPI_WF_LIFETIME_LIMIT_1",
15670 "type_ref": "SPI_WF_LIFETIME_LIMIT_0"
15671 },
15672 {
15673 "chips": ["gfx10"],
15674 "map": {"at": 37556, "to": "mm"},
15675 "name": "SPI_WF_LIFETIME_LIMIT_2",
15676 "type_ref": "SPI_WF_LIFETIME_LIMIT_0"
15677 },
15678 {
15679 "chips": ["gfx10"],
15680 "map": {"at": 37560, "to": "mm"},
15681 "name": "SPI_WF_LIFETIME_LIMIT_3",
15682 "type_ref": "SPI_WF_LIFETIME_LIMIT_0"
15683 },
15684 {
15685 "chips": ["gfx10"],
15686 "map": {"at": 37564, "to": "mm"},
15687 "name": "SPI_WF_LIFETIME_LIMIT_4",
15688 "type_ref": "SPI_WF_LIFETIME_LIMIT_0"
15689 },
15690 {
15691 "chips": ["gfx10"],
15692 "map": {"at": 37568, "to": "mm"},
15693 "name": "SPI_WF_LIFETIME_LIMIT_5",
15694 "type_ref": "SPI_WF_LIFETIME_LIMIT_0"
15695 },
15696 {
15697 "chips": ["gfx10"],
15698 "map": {"at": 37572, "to": "mm"},
15699 "name": "SPI_WF_LIFETIME_LIMIT_6",
15700 "type_ref": "SPI_WF_LIFETIME_LIMIT_0"
15701 },
15702 {
15703 "chips": ["gfx10"],
15704 "map": {"at": 37576, "to": "mm"},
15705 "name": "SPI_WF_LIFETIME_LIMIT_7",
15706 "type_ref": "SPI_WF_LIFETIME_LIMIT_0"
15707 },
15708 {
15709 "chips": ["gfx10"],
15710 "map": {"at": 37580, "to": "mm"},
15711 "name": "SPI_WF_LIFETIME_LIMIT_8",
15712 "type_ref": "SPI_WF_LIFETIME_LIMIT_0"
15713 },
15714 {
15715 "chips": ["gfx10"],
15716 "map": {"at": 37584, "to": "mm"},
15717 "name": "SPI_WF_LIFETIME_LIMIT_9",
15718 "type_ref": "SPI_WF_LIFETIME_LIMIT_0"
15719 },
15720 {
15721 "chips": ["gfx10"],
15722 "map": {"at": 37588, "to": "mm"},
15723 "name": "SPI_WF_LIFETIME_STATUS_0",
15724 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15725 },
15726 {
15727 "chips": ["gfx10"],
15728 "map": {"at": 37592, "to": "mm"},
15729 "name": "SPI_WF_LIFETIME_STATUS_1",
15730 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15731 },
15732 {
15733 "chips": ["gfx10"],
15734 "map": {"at": 37628, "to": "mm"},
15735 "name": "SPI_WF_LIFETIME_STATUS_10",
15736 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15737 },
15738 {
15739 "chips": ["gfx10"],
15740 "map": {"at": 37632, "to": "mm"},
15741 "name": "SPI_WF_LIFETIME_STATUS_11",
15742 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15743 },
15744 {
15745 "chips": ["gfx10"],
15746 "map": {"at": 37636, "to": "mm"},
15747 "name": "SPI_WF_LIFETIME_STATUS_12",
15748 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15749 },
15750 {
15751 "chips": ["gfx10"],
15752 "map": {"at": 37640, "to": "mm"},
15753 "name": "SPI_WF_LIFETIME_STATUS_13",
15754 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15755 },
15756 {
15757 "chips": ["gfx10"],
15758 "map": {"at": 37644, "to": "mm"},
15759 "name": "SPI_WF_LIFETIME_STATUS_14",
15760 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15761 },
15762 {
15763 "chips": ["gfx10"],
15764 "map": {"at": 37648, "to": "mm"},
15765 "name": "SPI_WF_LIFETIME_STATUS_15",
15766 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15767 },
15768 {
15769 "chips": ["gfx10"],
15770 "map": {"at": 37652, "to": "mm"},
15771 "name": "SPI_WF_LIFETIME_STATUS_16",
15772 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15773 },
15774 {
15775 "chips": ["gfx10"],
15776 "map": {"at": 37656, "to": "mm"},
15777 "name": "SPI_WF_LIFETIME_STATUS_17",
15778 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15779 },
15780 {
15781 "chips": ["gfx10"],
15782 "map": {"at": 37660, "to": "mm"},
15783 "name": "SPI_WF_LIFETIME_STATUS_18",
15784 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15785 },
15786 {
15787 "chips": ["gfx10"],
15788 "map": {"at": 37664, "to": "mm"},
15789 "name": "SPI_WF_LIFETIME_STATUS_19",
15790 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15791 },
15792 {
15793 "chips": ["gfx10"],
15794 "map": {"at": 37596, "to": "mm"},
15795 "name": "SPI_WF_LIFETIME_STATUS_2",
15796 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15797 },
15798 {
15799 "chips": ["gfx10"],
15800 "map": {"at": 37668, "to": "mm"},
15801 "name": "SPI_WF_LIFETIME_STATUS_20",
15802 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15803 },
15804 {
15805 "chips": ["gfx10"],
15806 "map": {"at": 37600, "to": "mm"},
15807 "name": "SPI_WF_LIFETIME_STATUS_3",
15808 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15809 },
15810 {
15811 "chips": ["gfx10"],
15812 "map": {"at": 37604, "to": "mm"},
15813 "name": "SPI_WF_LIFETIME_STATUS_4",
15814 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15815 },
15816 {
15817 "chips": ["gfx10"],
15818 "map": {"at": 37608, "to": "mm"},
15819 "name": "SPI_WF_LIFETIME_STATUS_5",
15820 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15821 },
15822 {
15823 "chips": ["gfx10"],
15824 "map": {"at": 37612, "to": "mm"},
15825 "name": "SPI_WF_LIFETIME_STATUS_6",
15826 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15827 },
15828 {
15829 "chips": ["gfx10"],
15830 "map": {"at": 37616, "to": "mm"},
15831 "name": "SPI_WF_LIFETIME_STATUS_7",
15832 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15833 },
15834 {
15835 "chips": ["gfx10"],
15836 "map": {"at": 37620, "to": "mm"},
15837 "name": "SPI_WF_LIFETIME_STATUS_8",
15838 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15839 },
15840 {
15841 "chips": ["gfx10"],
15842 "map": {"at": 37624, "to": "mm"},
15843 "name": "SPI_WF_LIFETIME_STATUS_9",
15844 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15845 },
15846 {
15847 "chips": ["gfx10"],
15848 "map": {"at": 199968, "to": "mm"},
15849 "name": "SQC_CACHES",
15850 "type_ref": "SQC_CACHES"
15851 },
15852 {
15853 "chips": ["gfx10"],
15854 "map": {"at": 199972, "to": "mm"},
15855 "name": "SQC_WRITEBACK",
15856 "type_ref": "SQC_WRITEBACK"
15857 },
15858 {
15859 "chips": ["gfx10"],
15860 "map": {"at": 214788, "to": "mm"},
15861 "name": "SQ_PERFCOUNTER0_HI",
15862 "type_ref": "CB_PERFCOUNTER0_HI"
15863 },
15864 {
15865 "chips": ["gfx10"],
15866 "map": {"at": 214784, "to": "mm"},
15867 "name": "SQ_PERFCOUNTER0_LO",
15868 "type_ref": "CB_PERFCOUNTER0_LO"
15869 },
15870 {
15871 "chips": ["gfx10"],
15872 "map": {"at": 222976, "to": "mm"},
15873 "name": "SQ_PERFCOUNTER0_SELECT",
15874 "type_ref": "SQ_PERFCOUNTER0_SELECT"
15875 },
15876 {
15877 "chips": ["gfx10"],
15878 "map": {"at": 214868, "to": "mm"},
15879 "name": "SQ_PERFCOUNTER10_HI",
15880 "type_ref": "CB_PERFCOUNTER0_HI"
15881 },
15882 {
15883 "chips": ["gfx10"],
15884 "map": {"at": 214864, "to": "mm"},
15885 "name": "SQ_PERFCOUNTER10_LO",
15886 "type_ref": "CB_PERFCOUNTER0_LO"
15887 },
15888 {
15889 "chips": ["gfx10"],
15890 "map": {"at": 223016, "to": "mm"},
15891 "name": "SQ_PERFCOUNTER10_SELECT",
15892 "type_ref": "SQ_PERFCOUNTER0_SELECT"
15893 },
15894 {
15895 "chips": ["gfx10"],
15896 "map": {"at": 214876, "to": "mm"},
15897 "name": "SQ_PERFCOUNTER11_HI",
15898 "type_ref": "CB_PERFCOUNTER0_HI"
15899 },
15900 {
15901 "chips": ["gfx10"],
15902 "map": {"at": 214872, "to": "mm"},
15903 "name": "SQ_PERFCOUNTER11_LO",
15904 "type_ref": "CB_PERFCOUNTER0_LO"
15905 },
15906 {
15907 "chips": ["gfx10"],
15908 "map": {"at": 223020, "to": "mm"},
15909 "name": "SQ_PERFCOUNTER11_SELECT",
15910 "type_ref": "SQ_PERFCOUNTER0_SELECT"
15911 },
15912 {
15913 "chips": ["gfx10"],
15914 "map": {"at": 214884, "to": "mm"},
15915 "name": "SQ_PERFCOUNTER12_HI",
15916 "type_ref": "CB_PERFCOUNTER0_HI"
15917 },
15918 {
15919 "chips": ["gfx10"],
15920 "map": {"at": 214880, "to": "mm"},
15921 "name": "SQ_PERFCOUNTER12_LO",
15922 "type_ref": "CB_PERFCOUNTER0_LO"
15923 },
15924 {
15925 "chips": ["gfx10"],
15926 "map": {"at": 223024, "to": "mm"},
15927 "name": "SQ_PERFCOUNTER12_SELECT",
15928 "type_ref": "SQ_PERFCOUNTER0_SELECT"
15929 },
15930 {
15931 "chips": ["gfx10"],
15932 "map": {"at": 214892, "to": "mm"},
15933 "name": "SQ_PERFCOUNTER13_HI",
15934 "type_ref": "CB_PERFCOUNTER0_HI"
15935 },
15936 {
15937 "chips": ["gfx10"],
15938 "map": {"at": 214888, "to": "mm"},
15939 "name": "SQ_PERFCOUNTER13_LO",
15940 "type_ref": "CB_PERFCOUNTER0_LO"
15941 },
15942 {
15943 "chips": ["gfx10"],
15944 "map": {"at": 223028, "to": "mm"},
15945 "name": "SQ_PERFCOUNTER13_SELECT",
15946 "type_ref": "SQ_PERFCOUNTER0_SELECT"
15947 },
15948 {
15949 "chips": ["gfx10"],
15950 "map": {"at": 214900, "to": "mm"},
15951 "name": "SQ_PERFCOUNTER14_HI",
15952 "type_ref": "CB_PERFCOUNTER0_HI"
15953 },
15954 {
15955 "chips": ["gfx10"],
15956 "map": {"at": 214896, "to": "mm"},
15957 "name": "SQ_PERFCOUNTER14_LO",
15958 "type_ref": "CB_PERFCOUNTER0_LO"
15959 },
15960 {
15961 "chips": ["gfx10"],
15962 "map": {"at": 223032, "to": "mm"},
15963 "name": "SQ_PERFCOUNTER14_SELECT",
15964 "type_ref": "SQ_PERFCOUNTER0_SELECT"
15965 },
15966 {
15967 "chips": ["gfx10"],
15968 "map": {"at": 214908, "to": "mm"},
15969 "name": "SQ_PERFCOUNTER15_HI",
15970 "type_ref": "CB_PERFCOUNTER0_HI"
15971 },
15972 {
15973 "chips": ["gfx10"],
15974 "map": {"at": 214904, "to": "mm"},
15975 "name": "SQ_PERFCOUNTER15_LO",
15976 "type_ref": "CB_PERFCOUNTER0_LO"
15977 },
15978 {
15979 "chips": ["gfx10"],
15980 "map": {"at": 223036, "to": "mm"},
15981 "name": "SQ_PERFCOUNTER15_SELECT",
15982 "type_ref": "SQ_PERFCOUNTER0_SELECT"
15983 },
15984 {
15985 "chips": ["gfx10"],
15986 "map": {"at": 214796, "to": "mm"},
15987 "name": "SQ_PERFCOUNTER1_HI",
15988 "type_ref": "CB_PERFCOUNTER0_HI"
15989 },
15990 {
15991 "chips": ["gfx10"],
15992 "map": {"at": 214792, "to": "mm"},
15993 "name": "SQ_PERFCOUNTER1_LO",
15994 "type_ref": "CB_PERFCOUNTER0_LO"
15995 },
15996 {
15997 "chips": ["gfx10"],
15998 "map": {"at": 222980, "to": "mm"},
15999 "name": "SQ_PERFCOUNTER1_SELECT",
16000 "type_ref": "SQ_PERFCOUNTER0_SELECT"
16001 },
16002 {
16003 "chips": ["gfx10"],
16004 "map": {"at": 214804, "to": "mm"},
16005 "name": "SQ_PERFCOUNTER2_HI",
16006 "type_ref": "CB_PERFCOUNTER0_HI"
16007 },
16008 {
16009 "chips": ["gfx10"],
16010 "map": {"at": 214800, "to": "mm"},
16011 "name": "SQ_PERFCOUNTER2_LO",
16012 "type_ref": "CB_PERFCOUNTER0_LO"
16013 },
16014 {
16015 "chips": ["gfx10"],
16016 "map": {"at": 222984, "to": "mm"},
16017 "name": "SQ_PERFCOUNTER2_SELECT",
16018 "type_ref": "SQ_PERFCOUNTER0_SELECT"
16019 },
16020 {
16021 "chips": ["gfx10"],
16022 "map": {"at": 214812, "to": "mm"},
16023 "name": "SQ_PERFCOUNTER3_HI",
16024 "type_ref": "CB_PERFCOUNTER0_HI"
16025 },
16026 {
16027 "chips": ["gfx10"],
16028 "map": {"at": 214808, "to": "mm"},
16029 "name": "SQ_PERFCOUNTER3_LO",
16030 "type_ref": "CB_PERFCOUNTER0_LO"
16031 },
16032 {
16033 "chips": ["gfx10"],
16034 "map": {"at": 222988, "to": "mm"},
16035 "name": "SQ_PERFCOUNTER3_SELECT",
16036 "type_ref": "SQ_PERFCOUNTER0_SELECT"
16037 },
16038 {
16039 "chips": ["gfx10"],
16040 "map": {"at": 214820, "to": "mm"},
16041 "name": "SQ_PERFCOUNTER4_HI",
16042 "type_ref": "CB_PERFCOUNTER0_HI"
16043 },
16044 {
16045 "chips": ["gfx10"],
16046 "map": {"at": 214816, "to": "mm"},
16047 "name": "SQ_PERFCOUNTER4_LO",
16048 "type_ref": "CB_PERFCOUNTER0_LO"
16049 },
16050 {
16051 "chips": ["gfx10"],
16052 "map": {"at": 222992, "to": "mm"},
16053 "name": "SQ_PERFCOUNTER4_SELECT",
16054 "type_ref": "SQ_PERFCOUNTER0_SELECT"
16055 },
16056 {
16057 "chips": ["gfx10"],
16058 "map": {"at": 214828, "to": "mm"},
16059 "name": "SQ_PERFCOUNTER5_HI",
16060 "type_ref": "CB_PERFCOUNTER0_HI"
16061 },
16062 {
16063 "chips": ["gfx10"],
16064 "map": {"at": 214824, "to": "mm"},
16065 "name": "SQ_PERFCOUNTER5_LO",
16066 "type_ref": "CB_PERFCOUNTER0_LO"
16067 },
16068 {
16069 "chips": ["gfx10"],
16070 "map": {"at": 222996, "to": "mm"},
16071 "name": "SQ_PERFCOUNTER5_SELECT",
16072 "type_ref": "SQ_PERFCOUNTER0_SELECT"
16073 },
16074 {
16075 "chips": ["gfx10"],
16076 "map": {"at": 214836, "to": "mm"},
16077 "name": "SQ_PERFCOUNTER6_HI",
16078 "type_ref": "CB_PERFCOUNTER0_HI"
16079 },
16080 {
16081 "chips": ["gfx10"],
16082 "map": {"at": 214832, "to": "mm"},
16083 "name": "SQ_PERFCOUNTER6_LO",
16084 "type_ref": "CB_PERFCOUNTER0_LO"
16085 },
16086 {
16087 "chips": ["gfx10"],
16088 "map": {"at": 223000, "to": "mm"},
16089 "name": "SQ_PERFCOUNTER6_SELECT",
16090 "type_ref": "SQ_PERFCOUNTER0_SELECT"
16091 },
16092 {
16093 "chips": ["gfx10"],
16094 "map": {"at": 214844, "to": "mm"},
16095 "name": "SQ_PERFCOUNTER7_HI",
16096 "type_ref": "CB_PERFCOUNTER0_HI"
16097 },
16098 {
16099 "chips": ["gfx10"],
16100 "map": {"at": 214840, "to": "mm"},
16101 "name": "SQ_PERFCOUNTER7_LO",
16102 "type_ref": "CB_PERFCOUNTER0_LO"
16103 },
16104 {
16105 "chips": ["gfx10"],
16106 "map": {"at": 223004, "to": "mm"},
16107 "name": "SQ_PERFCOUNTER7_SELECT",
16108 "type_ref": "SQ_PERFCOUNTER0_SELECT"
16109 },
16110 {
16111 "chips": ["gfx10"],
16112 "map": {"at": 214852, "to": "mm"},
16113 "name": "SQ_PERFCOUNTER8_HI",
16114 "type_ref": "CB_PERFCOUNTER0_HI"
16115 },
16116 {
16117 "chips": ["gfx10"],
16118 "map": {"at": 214848, "to": "mm"},
16119 "name": "SQ_PERFCOUNTER8_LO",
16120 "type_ref": "CB_PERFCOUNTER0_LO"
16121 },
16122 {
16123 "chips": ["gfx10"],
16124 "map": {"at": 223008, "to": "mm"},
16125 "name": "SQ_PERFCOUNTER8_SELECT",
16126 "type_ref": "SQ_PERFCOUNTER0_SELECT"
16127 },
16128 {
16129 "chips": ["gfx10"],
16130 "map": {"at": 214860, "to": "mm"},
16131 "name": "SQ_PERFCOUNTER9_HI",
16132 "type_ref": "CB_PERFCOUNTER0_HI"
16133 },
16134 {
16135 "chips": ["gfx10"],
16136 "map": {"at": 214856, "to": "mm"},
16137 "name": "SQ_PERFCOUNTER9_LO",
16138 "type_ref": "CB_PERFCOUNTER0_LO"
16139 },
16140 {
16141 "chips": ["gfx10"],
16142 "map": {"at": 223012, "to": "mm"},
16143 "name": "SQ_PERFCOUNTER9_SELECT",
16144 "type_ref": "SQ_PERFCOUNTER0_SELECT"
16145 },
16146 {
16147 "chips": ["gfx10"],
16148 "map": {"at": 223104, "to": "mm"},
16149 "name": "SQ_PERFCOUNTER_CTRL",
16150 "type_ref": "SQ_PERFCOUNTER_CTRL"
16151 },
16152 {
16153 "chips": ["gfx10"],
16154 "map": {"at": 223112, "to": "mm"},
16155 "name": "SQ_PERFCOUNTER_CTRL2",
16156 "type_ref": "SQ_PERFCOUNTER_CTRL2"
16157 },
16158 {
16159 "chips": ["gfx10"],
16160 "map": {"at": 199936, "to": "mm"},
16161 "name": "SQ_THREAD_TRACE_USERDATA_0",
16162 "type_ref": "COMPUTE_PGM_LO"
16163 },
16164 {
16165 "chips": ["gfx10"],
16166 "map": {"at": 199940, "to": "mm"},
16167 "name": "SQ_THREAD_TRACE_USERDATA_1",
16168 "type_ref": "COMPUTE_PGM_LO"
16169 },
16170 {
16171 "chips": ["gfx10"],
16172 "map": {"at": 199944, "to": "mm"},
16173 "name": "SQ_THREAD_TRACE_USERDATA_2",
16174 "type_ref": "COMPUTE_PGM_LO"
16175 },
16176 {
16177 "chips": ["gfx10"],
16178 "map": {"at": 199948, "to": "mm"},
16179 "name": "SQ_THREAD_TRACE_USERDATA_3",
16180 "type_ref": "COMPUTE_PGM_LO"
16181 },
16182 {
16183 "chips": ["gfx10"],
16184 "map": {"at": 199952, "to": "mm"},
16185 "name": "SQ_THREAD_TRACE_USERDATA_4",
16186 "type_ref": "COMPUTE_PGM_LO"
16187 },
16188 {
16189 "chips": ["gfx10"],
16190 "map": {"at": 199956, "to": "mm"},
16191 "name": "SQ_THREAD_TRACE_USERDATA_5",
16192 "type_ref": "COMPUTE_PGM_LO"
16193 },
16194 {
16195 "chips": ["gfx10"],
16196 "map": {"at": 199960, "to": "mm"},
16197 "name": "SQ_THREAD_TRACE_USERDATA_6",
16198 "type_ref": "COMPUTE_PGM_LO"
16199 },
16200 {
16201 "chips": ["gfx10"],
16202 "map": {"at": 199964, "to": "mm"},
16203 "name": "SQ_THREAD_TRACE_USERDATA_7",
16204 "type_ref": "COMPUTE_PGM_LO"
16205 },
16206 {
16207 "chips": ["gfx10"],
16208 "map": {"at": 165724, "to": "mm"},
16209 "name": "SX_BLEND_OPT_CONTROL",
16210 "type_ref": "SX_BLEND_OPT_CONTROL"
16211 },
16212 {
16213 "chips": ["gfx10"],
16214 "map": {"at": 165720, "to": "mm"},
16215 "name": "SX_BLEND_OPT_EPSILON",
16216 "type_ref": "SX_BLEND_OPT_EPSILON"
16217 },
16218 {
16219 "chips": ["gfx10"],
16220 "map": {"at": 36960, "to": "mm"},
16221 "name": "SX_DEBUG_1",
16222 "type_ref": "SX_DEBUG_1"
16223 },
16224 {
16225 "chips": ["gfx10"],
16226 "map": {"at": 36944, "to": "mm"},
16227 "name": "SX_DEBUG_BUSY",
16228 "type_ref": "SX_DEBUG_BUSY"
16229 },
16230 {
16231 "chips": ["gfx10"],
16232 "map": {"at": 36948, "to": "mm"},
16233 "name": "SX_DEBUG_BUSY_2",
16234 "type_ref": "SX_DEBUG_BUSY_2"
16235 },
16236 {
16237 "chips": ["gfx10"],
16238 "map": {"at": 36952, "to": "mm"},
16239 "name": "SX_DEBUG_BUSY_3",
16240 "type_ref": "SX_DEBUG_BUSY_3"
16241 },
16242 {
16243 "chips": ["gfx10"],
16244 "map": {"at": 36956, "to": "mm"},
16245 "name": "SX_DEBUG_BUSY_4",
16246 "type_ref": "SX_DEBUG_BUSY_4"
16247 },
16248 {
16249 "chips": ["gfx10"],
16250 "map": {"at": 36964, "to": "mm"},
16251 "name": "SX_DEBUG_BUSY_5",
16252 "type_ref": "SX_DEBUG_BUSY_5"
16253 },
16254 {
16255 "chips": ["gfx10"],
16256 "map": {"at": 36968, "to": "mm"},
16257 "name": "SX_DEBUG_BUSY_6",
16258 "type_ref": "SX_DEBUG_BUSY_6"
16259 },
16260 {
16261 "chips": ["gfx10"],
16262 "map": {"at": 36972, "to": "mm"},
16263 "name": "SX_DEBUG_BUSY_7",
16264 "type_ref": "SX_DEBUG_BUSY_7"
16265 },
16266 {
16267 "chips": ["gfx10"],
16268 "map": {"at": 36976, "to": "mm"},
16269 "name": "SX_DEBUG_BUSY_8",
16270 "type_ref": "SX_DEBUG_BUSY_8"
16271 },
16272 {
16273 "chips": ["gfx10"],
16274 "map": {"at": 36980, "to": "mm"},
16275 "name": "SX_DEBUG_BUSY_9",
16276 "type_ref": "SX_DEBUG_BUSY_9"
16277 },
16278 {
16279 "chips": ["gfx10"],
16280 "map": {"at": 165728, "to": "mm"},
16281 "name": "SX_MRT0_BLEND_OPT",
16282 "type_ref": "SX_MRT0_BLEND_OPT"
16283 },
16284 {
16285 "chips": ["gfx10"],
16286 "map": {"at": 165732, "to": "mm"},
16287 "name": "SX_MRT1_BLEND_OPT",
16288 "type_ref": "SX_MRT0_BLEND_OPT"
16289 },
16290 {
16291 "chips": ["gfx10"],
16292 "map": {"at": 165736, "to": "mm"},
16293 "name": "SX_MRT2_BLEND_OPT",
16294 "type_ref": "SX_MRT0_BLEND_OPT"
16295 },
16296 {
16297 "chips": ["gfx10"],
16298 "map": {"at": 165740, "to": "mm"},
16299 "name": "SX_MRT3_BLEND_OPT",
16300 "type_ref": "SX_MRT0_BLEND_OPT"
16301 },
16302 {
16303 "chips": ["gfx10"],
16304 "map": {"at": 165744, "to": "mm"},
16305 "name": "SX_MRT4_BLEND_OPT",
16306 "type_ref": "SX_MRT0_BLEND_OPT"
16307 },
16308 {
16309 "chips": ["gfx10"],
16310 "map": {"at": 165748, "to": "mm"},
16311 "name": "SX_MRT5_BLEND_OPT",
16312 "type_ref": "SX_MRT0_BLEND_OPT"
16313 },
16314 {
16315 "chips": ["gfx10"],
16316 "map": {"at": 165752, "to": "mm"},
16317 "name": "SX_MRT6_BLEND_OPT",
16318 "type_ref": "SX_MRT0_BLEND_OPT"
16319 },
16320 {
16321 "chips": ["gfx10"],
16322 "map": {"at": 165756, "to": "mm"},
16323 "name": "SX_MRT7_BLEND_OPT",
16324 "type_ref": "SX_MRT0_BLEND_OPT"
16325 },
16326 {
16327 "chips": ["gfx10"],
16328 "map": {"at": 215300, "overlap": true, "to": "mm"},
16329 "name": "SX_PERFCOUNTER0_HI",
16330 "type_ref": "CB_PERFCOUNTER0_HI"
16331 },
16332 {
16333 "chips": ["gfx10"],
16334 "map": {"at": 215296, "overlap": true, "to": "mm"},
16335 "name": "SX_PERFCOUNTER0_LO",
16336 "type_ref": "CB_PERFCOUNTER0_LO"
16337 },
16338 {
16339 "chips": ["gfx10"],
16340 "map": {"at": 223488, "to": "mm"},
16341 "name": "SX_PERFCOUNTER0_SELECT",
16342 "type_ref": "SX_PERFCOUNTER0_SELECT"
16343 },
16344 {
16345 "chips": ["gfx10"],
16346 "map": {"at": 223504, "to": "mm"},
16347 "name": "SX_PERFCOUNTER0_SELECT1",
16348 "type_ref": "SX_PERFCOUNTER0_SELECT1"
16349 },
16350 {
16351 "chips": ["gfx10"],
16352 "map": {"at": 215308, "overlap": true, "to": "mm"},
16353 "name": "SX_PERFCOUNTER1_HI",
16354 "type_ref": "CB_PERFCOUNTER0_HI"
16355 },
16356 {
16357 "chips": ["gfx10"],
16358 "map": {"at": 215304, "overlap": true, "to": "mm"},
16359 "name": "SX_PERFCOUNTER1_LO",
16360 "type_ref": "CB_PERFCOUNTER0_LO"
16361 },
16362 {
16363 "chips": ["gfx10"],
16364 "map": {"at": 223492, "to": "mm"},
16365 "name": "SX_PERFCOUNTER1_SELECT",
16366 "type_ref": "SX_PERFCOUNTER0_SELECT"
16367 },
16368 {
16369 "chips": ["gfx10"],
16370 "map": {"at": 223508, "to": "mm"},
16371 "name": "SX_PERFCOUNTER1_SELECT1",
16372 "type_ref": "SX_PERFCOUNTER0_SELECT1"
16373 },
16374 {
16375 "chips": ["gfx10"],
16376 "map": {"at": 215316, "overlap": true, "to": "mm"},
16377 "name": "SX_PERFCOUNTER2_HI",
16378 "type_ref": "CB_PERFCOUNTER0_HI"
16379 },
16380 {
16381 "chips": ["gfx10"],
16382 "map": {"at": 215312, "overlap": true, "to": "mm"},
16383 "name": "SX_PERFCOUNTER2_LO",
16384 "type_ref": "CB_PERFCOUNTER0_LO"
16385 },
16386 {
16387 "chips": ["gfx10"],
16388 "map": {"at": 223496, "to": "mm"},
16389 "name": "SX_PERFCOUNTER2_SELECT",
16390 "type_ref": "SX_PERFCOUNTER0_SELECT"
16391 },
16392 {
16393 "chips": ["gfx10"],
16394 "map": {"at": 215324, "overlap": true, "to": "mm"},
16395 "name": "SX_PERFCOUNTER3_HI",
16396 "type_ref": "CB_PERFCOUNTER0_HI"
16397 },
16398 {
16399 "chips": ["gfx10"],
16400 "map": {"at": 215320, "overlap": true, "to": "mm"},
16401 "name": "SX_PERFCOUNTER3_LO",
16402 "type_ref": "CB_PERFCOUNTER0_LO"
16403 },
16404 {
16405 "chips": ["gfx10"],
16406 "map": {"at": 223500, "to": "mm"},
16407 "name": "SX_PERFCOUNTER3_SELECT",
16408 "type_ref": "SX_PERFCOUNTER0_SELECT"
16409 },
16410 {
16411 "chips": ["gfx10"],
16412 "map": {"at": 165712, "to": "mm"},
16413 "name": "SX_PS_DOWNCONVERT_CONTROL_GFX103",
16414 "type_ref": "SX_PS_DOWNCONVERT_CONTROL"
16415 },
16416 {
16417 "chips": ["gfx10"],
16418 "map": {"at": 165716, "to": "mm"},
16419 "name": "SX_PS_DOWNCONVERT",
16420 "type_ref": "SX_PS_DOWNCONVERT"
16421 },
16422 {
16423 "chips": ["gfx10"],
16424 "map": {"at": 163968, "to": "mm"},
16425 "name": "TA_BC_BASE_ADDR",
16426 "type_ref": "RLC_CSIB_ADDR_LO"
16427 },
16428 {
16429 "chips": ["gfx10"],
16430 "map": {"at": 163972, "to": "mm"},
16431 "name": "TA_BC_BASE_ADDR_HI",
16432 "type_ref": "TA_BC_BASE_ADDR_HI"
16433 },
16434 {
16435 "chips": ["gfx10"],
16436 "map": {"at": 200192, "to": "mm"},
16437 "name": "TA_CS_BC_BASE_ADDR",
16438 "type_ref": "RLC_CSIB_ADDR_LO"
16439 },
16440 {
16441 "chips": ["gfx10"],
16442 "map": {"at": 200196, "to": "mm"},
16443 "name": "TA_CS_BC_BASE_ADDR_HI",
16444 "type_ref": "TA_BC_BASE_ADDR_HI"
16445 },
16446 {
16447 "chips": ["gfx10"],
16448 "map": {"at": 215812, "to": "mm"},
16449 "name": "TA_PERFCOUNTER0_HI",
16450 "type_ref": "CB_PERFCOUNTER0_HI"
16451 },
16452 {
16453 "chips": ["gfx10"],
16454 "map": {"at": 215808, "to": "mm"},
16455 "name": "TA_PERFCOUNTER0_LO",
16456 "type_ref": "CB_PERFCOUNTER0_LO"
16457 },
16458 {
16459 "chips": ["gfx10"],
16460 "map": {"at": 224000, "to": "mm"},
16461 "name": "TA_PERFCOUNTER0_SELECT",
16462 "type_ref": "TA_PERFCOUNTER0_SELECT"
16463 },
16464 {
16465 "chips": ["gfx10"],
16466 "map": {"at": 224004, "to": "mm"},
16467 "name": "TA_PERFCOUNTER0_SELECT1",
16468 "type_ref": "TA_PERFCOUNTER0_SELECT1"
16469 },
16470 {
16471 "chips": ["gfx10"],
16472 "map": {"at": 215820, "to": "mm"},
16473 "name": "TA_PERFCOUNTER1_HI",
16474 "type_ref": "CB_PERFCOUNTER0_HI"
16475 },
16476 {
16477 "chips": ["gfx10"],
16478 "map": {"at": 215816, "to": "mm"},
16479 "name": "TA_PERFCOUNTER1_LO",
16480 "type_ref": "CB_PERFCOUNTER0_LO"
16481 },
16482 {
16483 "chips": ["gfx10"],
16484 "map": {"at": 224008, "to": "mm"},
16485 "name": "TA_PERFCOUNTER1_SELECT",
16486 "type_ref": "TA_PERFCOUNTER1_SELECT"
16487 },
16488 {
16489 "chips": ["gfx10"],
16490 "map": {"at": 216324, "to": "mm"},
16491 "name": "TCP_PERFCOUNTER0_HI",
16492 "type_ref": "CB_PERFCOUNTER0_HI"
16493 },
16494 {
16495 "chips": ["gfx10"],
16496 "map": {"at": 216320, "to": "mm"},
16497 "name": "TCP_PERFCOUNTER0_LO",
16498 "type_ref": "CB_PERFCOUNTER0_LO"
16499 },
16500 {
16501 "chips": ["gfx10"],
16502 "map": {"at": 224512, "to": "mm"},
16503 "name": "TCP_PERFCOUNTER0_SELECT",
16504 "type_ref": "TCP_PERFCOUNTER0_SELECT"
16505 },
16506 {
16507 "chips": ["gfx10"],
16508 "map": {"at": 224516, "to": "mm"},
16509 "name": "TCP_PERFCOUNTER0_SELECT1",
16510 "type_ref": "TCP_PERFCOUNTER0_SELECT1"
16511 },
16512 {
16513 "chips": ["gfx10"],
16514 "map": {"at": 216332, "to": "mm"},
16515 "name": "TCP_PERFCOUNTER1_HI",
16516 "type_ref": "CB_PERFCOUNTER0_HI"
16517 },
16518 {
16519 "chips": ["gfx10"],
16520 "map": {"at": 216328, "to": "mm"},
16521 "name": "TCP_PERFCOUNTER1_LO",
16522 "type_ref": "CB_PERFCOUNTER0_LO"
16523 },
16524 {
16525 "chips": ["gfx10"],
16526 "map": {"at": 224520, "to": "mm"},
16527 "name": "TCP_PERFCOUNTER1_SELECT",
16528 "type_ref": "TCP_PERFCOUNTER0_SELECT"
16529 },
16530 {
16531 "chips": ["gfx10"],
16532 "map": {"at": 224524, "to": "mm"},
16533 "name": "TCP_PERFCOUNTER1_SELECT1",
16534 "type_ref": "TCP_PERFCOUNTER0_SELECT1"
16535 },
16536 {
16537 "chips": ["gfx10"],
16538 "map": {"at": 216340, "to": "mm"},
16539 "name": "TCP_PERFCOUNTER2_HI",
16540 "type_ref": "CB_PERFCOUNTER0_HI"
16541 },
16542 {
16543 "chips": ["gfx10"],
16544 "map": {"at": 216336, "to": "mm"},
16545 "name": "TCP_PERFCOUNTER2_LO",
16546 "type_ref": "CB_PERFCOUNTER0_LO"
16547 },
16548 {
16549 "chips": ["gfx10"],
16550 "map": {"at": 224528, "to": "mm"},
16551 "name": "TCP_PERFCOUNTER2_SELECT",
16552 "type_ref": "TCP_PERFCOUNTER2_SELECT"
16553 },
16554 {
16555 "chips": ["gfx10"],
16556 "map": {"at": 216348, "to": "mm"},
16557 "name": "TCP_PERFCOUNTER3_HI",
16558 "type_ref": "CB_PERFCOUNTER0_HI"
16559 },
16560 {
16561 "chips": ["gfx10"],
16562 "map": {"at": 216344, "to": "mm"},
16563 "name": "TCP_PERFCOUNTER3_LO",
16564 "type_ref": "CB_PERFCOUNTER0_LO"
16565 },
16566 {
16567 "chips": ["gfx10"],
16568 "map": {"at": 224532, "to": "mm"},
16569 "name": "TCP_PERFCOUNTER3_SELECT",
16570 "type_ref": "TCP_PERFCOUNTER2_SELECT"
16571 },
16572 {
16573 "chips": ["gfx10"],
16574 "map": {"at": 216068, "to": "mm"},
16575 "name": "TD_PERFCOUNTER0_HI",
16576 "type_ref": "CB_PERFCOUNTER0_HI"
16577 },
16578 {
16579 "chips": ["gfx10"],
16580 "map": {"at": 216064, "to": "mm"},
16581 "name": "TD_PERFCOUNTER0_LO",
16582 "type_ref": "CB_PERFCOUNTER0_LO"
16583 },
16584 {
16585 "chips": ["gfx10"],
16586 "map": {"at": 224256, "to": "mm"},
16587 "name": "TD_PERFCOUNTER0_SELECT",
16588 "type_ref": "TD_PERFCOUNTER0_SELECT"
16589 },
16590 {
16591 "chips": ["gfx10"],
16592 "map": {"at": 224260, "to": "mm"},
16593 "name": "TD_PERFCOUNTER0_SELECT1",
16594 "type_ref": "TD_PERFCOUNTER0_SELECT1"
16595 },
16596 {
16597 "chips": ["gfx10"],
16598 "map": {"at": 216076, "to": "mm"},
16599 "name": "TD_PERFCOUNTER1_HI",
16600 "type_ref": "CB_PERFCOUNTER0_HI"
16601 },
16602 {
16603 "chips": ["gfx10"],
16604 "map": {"at": 216072, "to": "mm"},
16605 "name": "TD_PERFCOUNTER1_LO",
16606 "type_ref": "CB_PERFCOUNTER0_LO"
16607 },
16608 {
16609 "chips": ["gfx10"],
16610 "map": {"at": 224264, "to": "mm"},
16611 "name": "TD_PERFCOUNTER1_SELECT",
16612 "type_ref": "TD_PERFCOUNTER1_SELECT"
16613 },
16614 {
16615 "chips": ["gfx10"],
16616 "map": {"at": 218228, "to": "mm"},
16617 "name": "UTCL1_PERFCOUNTER0_HI",
16618 "type_ref": "CB_PERFCOUNTER0_HI"
16619 },
16620 {
16621 "chips": ["gfx10"],
16622 "map": {"at": 218224, "to": "mm"},
16623 "name": "UTCL1_PERFCOUNTER0_LO",
16624 "type_ref": "CB_PERFCOUNTER0_LO"
16625 },
16626 {
16627 "chips": ["gfx10"],
16628 "map": {"at": 226700, "to": "mm"},
16629 "name": "UTCL1_PERFCOUNTER0_SELECT",
16630 "type_ref": "UTCL1_PERFCOUNTER0_SELECT"
16631 },
16632 {
16633 "chips": ["gfx10"],
16634 "map": {"at": 218236, "to": "mm"},
16635 "name": "UTCL1_PERFCOUNTER1_HI",
16636 "type_ref": "CB_PERFCOUNTER0_HI"
16637 },
16638 {
16639 "chips": ["gfx10"],
16640 "map": {"at": 218232, "to": "mm"},
16641 "name": "UTCL1_PERFCOUNTER1_LO",
16642 "type_ref": "CB_PERFCOUNTER0_LO"
16643 },
16644 {
16645 "chips": ["gfx10"],
16646 "map": {"at": 226704, "to": "mm"},
16647 "name": "UTCL1_PERFCOUNTER1_SELECT",
16648 "type_ref": "UTCL1_PERFCOUNTER0_SELECT"
16649 },
16650 {
16651 "chips": ["gfx10"],
16652 "map": {"at": 166772, "to": "mm"},
16653 "name": "VGT_DISPATCH_DRAW_INDEX",
16654 "type_ref": "VGT_DISPATCH_DRAW_INDEX"
16655 },
16656 {
16657 "chips": ["gfx10"],
16658 "map": {"at": 165864, "to": "mm"},
16659 "name": "VGT_DMA_BASE",
16660 "type_ref": "CP_HQD_EOP_BASE_ADDR"
16661 },
16662 {
16663 "chips": ["gfx10"],
16664 "map": {"at": 165860, "to": "mm"},
16665 "name": "VGT_DMA_BASE_HI",
16666 "type_ref": "VGT_DMA_BASE_HI"
16667 },
16668 {
16669 "chips": ["gfx10"],
16670 "map": {"at": 166812, "to": "mm"},
16671 "name": "VGT_DMA_EVENT_INITIATOR",
16672 "type_ref": "VGT_EVENT_INITIATOR"
16673 },
16674 {
16675 "chips": ["gfx10"],
16676 "map": {"at": 166524, "to": "mm"},
16677 "name": "VGT_DMA_INDEX_TYPE",
16678 "type_ref": "VGT_DMA_INDEX_TYPE"
16679 },
16680 {
16681 "chips": ["gfx10"],
16682 "map": {"at": 166520, "to": "mm"},
16683 "name": "VGT_DMA_MAX_SIZE",
16684 "type_ref": "VGT_DMA_MAX_SIZE"
16685 },
16686 {
16687 "chips": ["gfx10"],
16688 "map": {"at": 166536, "to": "mm"},
16689 "name": "VGT_DMA_NUM_INSTANCES",
16690 "type_ref": "VGT_DMA_NUM_INSTANCES"
16691 },
16692 {
16693 "chips": ["gfx10"],
16694 "map": {"at": 166516, "to": "mm"},
16695 "name": "VGT_DMA_SIZE",
16696 "type_ref": "VGT_DMA_SIZE"
16697 },
16698 {
16699 "chips": ["gfx10"],
16700 "map": {"at": 165872, "to": "mm"},
16701 "name": "VGT_DRAW_INITIATOR",
16702 "type_ref": "VGT_DRAW_INITIATOR"
16703 },
16704 {
16705 "chips": ["gfx10"],
16706 "map": {"at": 166552, "to": "mm"},
16707 "name": "VGT_DRAW_PAYLOAD_CNTL",
16708 "type_ref": "VGT_DRAW_PAYLOAD_CNTL"
16709 },
16710 {
16711 "chips": ["gfx10"],
16712 "map": {"at": 166480, "to": "mm"},
16713 "name": "VGT_ENHANCE",
16714 "type_ref": "IA_ENHANCE"
16715 },
16716 {
16717 "chips": ["gfx10"],
16718 "map": {"at": 166572, "to": "mm"},
16719 "name": "VGT_ESGS_RING_ITEMSIZE",
16720 "type_ref": "VGT_ESGS_RING_ITEMSIZE"
16721 },
16722 {
16723 "chips": ["gfx10"],
16724 "map": {"at": 198912, "to": "mm"},
16725 "name": "VGT_ESGS_RING_SIZE_UMD",
16726 "type_ref": "VGT_ESGS_RING_SIZE"
16727 },
16728 {
16729 "chips": ["gfx10"],
16730 "map": {"at": 166488, "to": "mm"},
16731 "name": "VGT_ES_PER_GS",
16732 "type_ref": "VGT_ES_PER_GS"
16733 },
16734 {
16735 "chips": ["gfx10"],
16736 "map": {"at": 165880, "to": "mm"},
16737 "name": "VGT_EVENT_ADDRESS_REG",
16738 "type_ref": "VGT_EVENT_ADDRESS_REG"
16739 },
16740 {
16741 "chips": ["gfx10"],
16742 "map": {"at": 166544, "to": "mm"},
16743 "name": "VGT_EVENT_INITIATOR",
16744 "type_ref": "VGT_EVENT_INITIATOR"
16745 },
16746 {
16747 "chips": ["gfx10"],
16748 "map": {"at": 166576, "to": "mm"},
16749 "name": "VGT_GSVS_RING_ITEMSIZE",
16750 "type_ref": "VGT_ESGS_RING_ITEMSIZE"
16751 },
16752 {
16753 "chips": ["gfx10"],
16754 "map": {"at": 166496, "to": "mm"},
16755 "name": "VGT_GSVS_RING_OFFSET_1",
16756 "type_ref": "VGT_GSVS_RING_OFFSET_1"
16757 },
16758 {
16759 "chips": ["gfx10"],
16760 "map": {"at": 166500, "to": "mm"},
16761 "name": "VGT_GSVS_RING_OFFSET_2",
16762 "type_ref": "VGT_GSVS_RING_OFFSET_1"
16763 },
16764 {
16765 "chips": ["gfx10"],
16766 "map": {"at": 166504, "to": "mm"},
16767 "name": "VGT_GSVS_RING_OFFSET_3",
16768 "type_ref": "VGT_GSVS_RING_OFFSET_1"
16769 },
16770 {
16771 "chips": ["gfx10"],
16772 "map": {"at": 198916, "to": "mm"},
16773 "name": "VGT_GSVS_RING_SIZE_UMD",
16774 "type_ref": "VGT_ESGS_RING_SIZE"
16775 },
16776 {
16777 "chips": ["gfx10"],
16778 "map": {"at": 166800, "to": "mm"},
16779 "name": "VGT_GS_INSTANCE_CNT",
16780 "type_ref": "VGT_GS_INSTANCE_CNT"
16781 },
16782 {
16783 "chips": ["gfx10"],
16784 "map": {"at": 166712, "to": "mm"},
16785 "name": "VGT_GS_MAX_VERT_OUT",
16786 "type_ref": "VGT_GS_MAX_VERT_OUT"
16787 },
16788 {
16789 "chips": ["gfx10"],
16790 "map": {"at": 166464, "to": "mm"},
16791 "name": "VGT_GS_MODE",
16792 "type_ref": "VGT_GS_MODE"
16793 },
16794 {
16795 "chips": ["gfx10"],
16796 "map": {"at": 166468, "to": "mm"},
16797 "name": "VGT_GS_ONCHIP_CNTL",
16798 "type_ref": "VGT_GS_ONCHIP_CNTL"
16799 },
16800 {
16801 "chips": ["gfx10"],
16802 "map": {"at": 166508, "to": "mm"},
16803 "name": "VGT_GS_OUT_PRIM_TYPE",
16804 "type_ref": "VGT_GS_OUT_PRIM_TYPE"
16805 },
16806 {
16807 "chips": ["gfx10"],
16808 "map": {"at": 166484, "to": "mm"},
16809 "name": "VGT_GS_PER_ES",
16810 "type_ref": "VGT_GS_PER_ES"
16811 },
16812 {
16813 "chips": ["gfx10"],
16814 "map": {"at": 166492, "to": "mm"},
16815 "name": "VGT_GS_PER_VS",
16816 "type_ref": "VGT_GS_PER_VS"
16817 },
16818 {
16819 "chips": ["gfx10"],
16820 "map": {"at": 166748, "to": "mm"},
16821 "name": "VGT_GS_VERT_ITEMSIZE",
16822 "type_ref": "VGT_ESGS_RING_ITEMSIZE"
16823 },
16824 {
16825 "chips": ["gfx10"],
16826 "map": {"at": 166752, "to": "mm"},
16827 "name": "VGT_GS_VERT_ITEMSIZE_1",
16828 "type_ref": "VGT_ESGS_RING_ITEMSIZE"
16829 },
16830 {
16831 "chips": ["gfx10"],
16832 "map": {"at": 166756, "to": "mm"},
16833 "name": "VGT_GS_VERT_ITEMSIZE_2",
16834 "type_ref": "VGT_ESGS_RING_ITEMSIZE"
16835 },
16836 {
16837 "chips": ["gfx10"],
16838 "map": {"at": 166760, "to": "mm"},
16839 "name": "VGT_GS_VERT_ITEMSIZE_3",
16840 "type_ref": "VGT_ESGS_RING_ITEMSIZE"
16841 },
16842 {
16843 "chips": ["gfx10"],
16844 "map": {"at": 166424, "to": "mm"},
16845 "name": "VGT_HOS_MAX_TESS_LEVEL",
16846 "type_ref": "VGT_HOS_MAX_TESS_LEVEL"
16847 },
16848 {
16849 "chips": ["gfx10"],
16850 "map": {"at": 166428, "to": "mm"},
16851 "name": "VGT_HOS_MIN_TESS_LEVEL",
16852 "type_ref": "VGT_HOS_MIN_TESS_LEVEL"
16853 },
16854 {
16855 "chips": ["gfx10"],
16856 "map": {"at": 198972, "to": "mm"},
16857 "name": "VGT_HS_OFFCHIP_PARAM_UMD",
16858 "type_ref": "VGT_HS_OFFCHIP_PARAM_UMD"
16859 },
16860 {
16861 "chips": ["gfx10"],
16862 "map": {"at": 165876, "to": "mm"},
16863 "name": "VGT_IMMED_DATA",
16864 "type_ref": "COMPUTE_PGM_LO"
16865 },
16866 {
16867 "chips": ["gfx10"],
16868 "map": {"at": 198924, "to": "mm"},
16869 "name": "VGT_INDEX_TYPE",
16870 "type_ref": "CP_INDEX_TYPE"
16871 },
16872 {
16873 "chips": ["gfx10"],
16874 "map": {"at": 164872, "to": "mm"},
16875 "name": "VGT_INDX_OFFSET",
16876 "type_ref": "GE_INDX_OFFSET"
16877 },
16878 {
16879 "chips": ["gfx10"],
16880 "map": {"at": 199016, "to": "mm"},
16881 "name": "VGT_INSTANCE_BASE_ID",
16882 "type_ref": "VGT_INSTANCE_BASE_ID"
16883 },
16884 {
16885 "chips": ["gfx10"],
16886 "map": {"at": 166560, "to": "mm"},
16887 "name": "VGT_INSTANCE_STEP_RATE_0",
16888 "type_ref": "VGT_INSTANCE_STEP_RATE_0"
16889 },
16890 {
16891 "chips": ["gfx10"],
16892 "map": {"at": 166564, "to": "mm"},
16893 "name": "VGT_INSTANCE_STEP_RATE_1",
16894 "type_ref": "VGT_INSTANCE_STEP_RATE_0"
16895 },
16896 {
16897 "chips": ["gfx10"],
16898 "map": {"at": 166744, "to": "mm"},
16899 "name": "VGT_LS_HS_CONFIG",
16900 "type_ref": "VGT_LS_HS_CONFIG"
16901 },
16902 {
16903 "chips": ["gfx10"],
16904 "map": {"at": 164864, "to": "mm"},
16905 "name": "VGT_MAX_VTX_INDX",
16906 "type_ref": "GE_MAX_VTX_INDX"
16907 },
16908 {
16909 "chips": ["gfx10"],
16910 "map": {"at": 164868, "to": "mm"},
16911 "name": "VGT_MIN_VTX_INDX",
16912 "type_ref": "GE_MIN_VTX_INDX"
16913 },
16914 {
16915 "chips": ["gfx10"],
16916 "map": {"at": 166548, "to": "mm"},
16917 "name": "VGT_MULTI_PRIM_IB_RESET_EN",
16918 "type_ref": "GE_MULTI_PRIM_IB_RESET_EN"
16919 },
16920 {
16921 "chips": ["gfx10"],
16922 "map": {"at": 164876, "to": "mm"},
16923 "name": "VGT_MULTI_PRIM_IB_RESET_INDX",
16924 "type_ref": "VGT_MULTI_PRIM_IB_RESET_INDX"
16925 },
16926 {
16927 "chips": ["gfx10"],
16928 "map": {"at": 198960, "to": "mm"},
16929 "name": "VGT_NUM_INDICES",
16930 "type_ref": "VGT_DMA_SIZE"
16931 },
16932 {
16933 "chips": ["gfx10"],
16934 "map": {"at": 198964, "to": "mm"},
16935 "name": "VGT_NUM_INSTANCES",
16936 "type_ref": "VGT_DMA_NUM_INSTANCES"
16937 },
16938 {
16939 "chips": ["gfx10"],
16940 "map": {"at": 166416, "to": "mm"},
16941 "name": "VGT_OUTPUT_PATH_CNTL",
16942 "type_ref": "VGT_OUTPUT_PATH_CNTL"
16943 },
16944 {
16945 "chips": ["gfx10"],
16946 "map": {"at": 167004, "to": "mm"},
16947 "name": "VGT_OUT_DEALLOC_CNTL",
16948 "type_ref": "VGT_OUT_DEALLOC_CNTL"
16949 },
16950 {
16951 "chips": ["gfx10"],
16952 "map": {"at": 166532, "to": "mm"},
16953 "name": "VGT_PRIMITIVEID_EN",
16954 "type_ref": "VGT_PRIMITIVEID_EN"
16955 },
16956 {
16957 "chips": ["gfx10"],
16958 "map": {"at": 166540, "to": "mm"},
16959 "name": "VGT_PRIMITIVEID_RESET",
16960 "type_ref": "VGT_PRIMITIVEID_RESET"
16961 },
16962 {
16963 "chips": ["gfx10"],
16964 "map": {"at": 198920, "to": "mm"},
16965 "name": "VGT_PRIMITIVE_TYPE",
16966 "type_ref": "VGT_PRIMITIVE_TYPE"
16967 },
16968 {
16969 "chips": ["gfx10"],
16970 "map": {"at": 166580, "to": "mm"},
16971 "name": "VGT_REUSE_OFF",
16972 "type_ref": "VGT_REUSE_OFF"
16973 },
16974 {
16975 "chips": ["gfx10"],
16976 "map": {"at": 166740, "to": "mm"},
16977 "name": "VGT_SHADER_STAGES_EN",
16978 "type_ref": "VGT_SHADER_STAGES_EN"
16979 },
16980 {
16981 "chips": ["gfx10"],
16982 "map": {"at": 166808, "to": "mm"},
16983 "name": "VGT_STRMOUT_BUFFER_CONFIG",
16984 "type_ref": "VGT_STRMOUT_BUFFER_CONFIG"
16985 },
16986 {
16987 "chips": ["gfx10"],
16988 "map": {"at": 198928, "to": "mm"},
16989 "name": "VGT_STRMOUT_BUFFER_FILLED_SIZE_0",
16990 "type_ref": "COMPUTE_DIM_X"
16991 },
16992 {
16993 "chips": ["gfx10"],
16994 "map": {"at": 198932, "to": "mm"},
16995 "name": "VGT_STRMOUT_BUFFER_FILLED_SIZE_1",
16996 "type_ref": "COMPUTE_DIM_X"
16997 },
16998 {
16999 "chips": ["gfx10"],
17000 "map": {"at": 198936, "to": "mm"},
17001 "name": "VGT_STRMOUT_BUFFER_FILLED_SIZE_2",
17002 "type_ref": "COMPUTE_DIM_X"
17003 },
17004 {
17005 "chips": ["gfx10"],
17006 "map": {"at": 198940, "to": "mm"},
17007 "name": "VGT_STRMOUT_BUFFER_FILLED_SIZE_3",
17008 "type_ref": "COMPUTE_DIM_X"
17009 },
17010 {
17011 "chips": ["gfx10"],
17012 "map": {"at": 166620, "to": "mm"},
17013 "name": "VGT_STRMOUT_BUFFER_OFFSET_0",
17014 "type_ref": "CP_HQD_PQ_WPTR_LO"
17015 },
17016 {
17017 "chips": ["gfx10"],
17018 "map": {"at": 166636, "to": "mm"},
17019 "name": "VGT_STRMOUT_BUFFER_OFFSET_1",
17020 "type_ref": "CP_HQD_PQ_WPTR_LO"
17021 },
17022 {
17023 "chips": ["gfx10"],
17024 "map": {"at": 166652, "to": "mm"},
17025 "name": "VGT_STRMOUT_BUFFER_OFFSET_2",
17026 "type_ref": "CP_HQD_PQ_WPTR_LO"
17027 },
17028 {
17029 "chips": ["gfx10"],
17030 "map": {"at": 166668, "to": "mm"},
17031 "name": "VGT_STRMOUT_BUFFER_OFFSET_3",
17032 "type_ref": "CP_HQD_PQ_WPTR_LO"
17033 },
17034 {
17035 "chips": ["gfx10"],
17036 "map": {"at": 166608, "to": "mm"},
17037 "name": "VGT_STRMOUT_BUFFER_SIZE_0",
17038 "type_ref": "COMPUTE_DIM_X"
17039 },
17040 {
17041 "chips": ["gfx10"],
17042 "map": {"at": 166624, "to": "mm"},
17043 "name": "VGT_STRMOUT_BUFFER_SIZE_1",
17044 "type_ref": "COMPUTE_DIM_X"
17045 },
17046 {
17047 "chips": ["gfx10"],
17048 "map": {"at": 166640, "to": "mm"},
17049 "name": "VGT_STRMOUT_BUFFER_SIZE_2",
17050 "type_ref": "COMPUTE_DIM_X"
17051 },
17052 {
17053 "chips": ["gfx10"],
17054 "map": {"at": 166656, "to": "mm"},
17055 "name": "VGT_STRMOUT_BUFFER_SIZE_3",
17056 "type_ref": "COMPUTE_DIM_X"
17057 },
17058 {
17059 "chips": ["gfx10"],
17060 "map": {"at": 166804, "to": "mm"},
17061 "name": "VGT_STRMOUT_CONFIG",
17062 "type_ref": "VGT_STRMOUT_CONFIG"
17063 },
17064 {
17065 "chips": ["gfx10"],
17066 "map": {"at": 166700, "to": "mm"},
17067 "name": "VGT_STRMOUT_DRAW_OPAQUE_BUFFER_FILLED_SIZE",
17068 "type_ref": "COMPUTE_DIM_X"
17069 },
17070 {
17071 "chips": ["gfx10"],
17072 "map": {"at": 166696, "to": "mm"},
17073 "name": "VGT_STRMOUT_DRAW_OPAQUE_OFFSET",
17074 "type_ref": "CP_HQD_PQ_WPTR_LO"
17075 },
17076 {
17077 "chips": ["gfx10"],
17078 "map": {"at": 166704, "to": "mm"},
17079 "name": "VGT_STRMOUT_DRAW_OPAQUE_VERTEX_STRIDE",
17080 "type_ref": "VGT_STRMOUT_DRAW_OPAQUE_VERTEX_STRIDE"
17081 },
17082 {
17083 "chips": ["gfx10"],
17084 "map": {"at": 166612, "to": "mm"},
17085 "name": "VGT_STRMOUT_VTX_STRIDE_0",
17086 "type_ref": "VGT_STRMOUT_VTX_STRIDE_0"
17087 },
17088 {
17089 "chips": ["gfx10"],
17090 "map": {"at": 166628, "to": "mm"},
17091 "name": "VGT_STRMOUT_VTX_STRIDE_1",
17092 "type_ref": "VGT_STRMOUT_VTX_STRIDE_0"
17093 },
17094 {
17095 "chips": ["gfx10"],
17096 "map": {"at": 166644, "to": "mm"},
17097 "name": "VGT_STRMOUT_VTX_STRIDE_2",
17098 "type_ref": "VGT_STRMOUT_VTX_STRIDE_0"
17099 },
17100 {
17101 "chips": ["gfx10"],
17102 "map": {"at": 166660, "to": "mm"},
17103 "name": "VGT_STRMOUT_VTX_STRIDE_3",
17104 "type_ref": "VGT_STRMOUT_VTX_STRIDE_0"
17105 },
17106 {
17107 "chips": ["gfx10"],
17108 "map": {"at": 166736, "to": "mm"},
17109 "name": "VGT_TESS_DISTRIBUTION",
17110 "type_ref": "VGT_TESS_DISTRIBUTION"
17111 },
17112 {
17113 "chips": ["gfx10"],
17114 "map": {"at": 199044, "to": "mm"},
17115 "name": "VGT_TF_MEMORY_BASE_HI_UMD",
17116 "type_ref": "DB_Z_READ_BASE_HI"
17117 },
17118 {
17119 "chips": ["gfx10"],
17120 "map": {"at": 198976, "to": "mm"},
17121 "name": "VGT_TF_MEMORY_BASE_UMD",
17122 "type_ref": "VGT_TF_MEMORY_BASE"
17123 },
17124 {
17125 "chips": ["gfx10"],
17126 "map": {"at": 166764, "to": "mm"},
17127 "name": "VGT_TF_PARAM",
17128 "type_ref": "VGT_TF_PARAM"
17129 },
17130 {
17131 "chips": ["gfx10"],
17132 "map": {"at": 198968, "to": "mm"},
17133 "name": "VGT_TF_RING_SIZE_UMD",
17134 "type_ref": "VGT_TF_RING_SIZE"
17135 },
17136 {
17137 "chips": ["gfx10"],
17138 "map": {"at": 167000, "to": "mm"},
17139 "name": "VGT_VERTEX_REUSE_BLOCK_CNTL",
17140 "type_ref": "VGT_VERTEX_REUSE_BLOCK_CNTL"
17141 },
17142 {
17143 "chips": ["gfx10"],
17144 "map": {"at": 166584, "to": "mm"},
17145 "name": "VGT_VTX_CNT_EN",
17146 "type_ref": "VGT_VTX_CNT_EN"
17147 },
17148 {
17149 "chips": ["gfx10"],
17150 "map": {"at": 198992, "to": "mm"},
17151 "name": "WD_CNTL_SB_BUF_BASE",
17152 "type_ref": "VGT_TF_MEMORY_BASE"
17153 },
17154 {
17155 "chips": ["gfx10"],
17156 "map": {"at": 198996, "to": "mm"},
17157 "name": "WD_CNTL_SB_BUF_BASE_HI",
17158 "type_ref": "DB_Z_READ_BASE_HI"
17159 },
17160 {
17161 "chips": ["gfx10"],
17162 "map": {"at": 166528, "to": "mm"},
17163 "name": "WD_ENHANCE",
17164 "type_ref": "IA_ENHANCE"
17165 },
17166 {
17167 "chips": ["gfx10"],
17168 "map": {"at": 199000, "to": "mm"},
17169 "name": "WD_INDEX_BUF_BASE",
17170 "type_ref": "VGT_TF_MEMORY_BASE"
17171 },
17172 {
17173 "chips": ["gfx10"],
17174 "map": {"at": 199004, "to": "mm"},
17175 "name": "WD_INDEX_BUF_BASE_HI",
17176 "type_ref": "DB_Z_READ_BASE_HI"
17177 },
17178 {
17179 "chips": ["gfx10"],
17180 "map": {"at": 198984, "to": "mm"},
17181 "name": "WD_POS_BUF_BASE",
17182 "type_ref": "VGT_TF_MEMORY_BASE"
17183 },
17184 {
17185 "chips": ["gfx10"],
17186 "map": {"at": 198988, "to": "mm"},
17187 "name": "WD_POS_BUF_BASE_HI",
17188 "type_ref": "DB_Z_READ_BASE_HI"
17189 }
17190 ],
17191 "register_types": {
17192 "BCI_DEBUG_READ": {
17193 "fields": [
17194 {"bits": [0, 23], "name": "DATA"}
17195 ]
17196 },
17197 "CB_BLEND0_CONTROL": {
17198 "fields": [
17199 {"bits": [0, 4], "enum_ref": "BlendOp", "name": "COLOR_SRCBLEND"},
17200 {"bits": [5, 7], "enum_ref": "CombFunc", "name": "COLOR_COMB_FCN"},
17201 {"bits": [8, 12], "enum_ref": "BlendOp", "name": "COLOR_DESTBLEND"},
17202 {"bits": [16, 20], "enum_ref": "BlendOp", "name": "ALPHA_SRCBLEND"},
17203 {"bits": [21, 23], "enum_ref": "CombFunc", "name": "ALPHA_COMB_FCN"},
17204 {"bits": [24, 28], "enum_ref": "BlendOp", "name": "ALPHA_DESTBLEND"},
17205 {"bits": [29, 29], "name": "SEPARATE_ALPHA_BLEND"},
17206 {"bits": [30, 30], "name": "ENABLE"},
17207 {"bits": [31, 31], "name": "DISABLE_ROP3"}
17208 ]
17209 },
17210 "CB_BLEND_ALPHA": {
17211 "fields": [
17212 {"bits": [0, 31], "name": "BLEND_ALPHA"}
17213 ]
17214 },
17215 "CB_BLEND_BLUE": {
17216 "fields": [
17217 {"bits": [0, 31], "name": "BLEND_BLUE"}
17218 ]
17219 },
17220 "CB_BLEND_GREEN": {
17221 "fields": [
17222 {"bits": [0, 31], "name": "BLEND_GREEN"}
17223 ]
17224 },
17225 "CB_BLEND_RED": {
17226 "fields": [
17227 {"bits": [0, 31], "name": "BLEND_RED"}
17228 ]
17229 },
17230 "CB_COLOR0_ATTRIB": {
17231 "fields": [
17232 {"bits": [0, 4], "name": "TILE_MODE_INDEX"},
17233 {"bits": [5, 9], "name": "FMASK_TILE_MODE_INDEX"},
17234 {"bits": [10, 11], "name": "FMASK_BANK_HEIGHT"},
17235 {"bits": [12, 14], "name": "NUM_SAMPLES"},
17236 {"bits": [15, 16], "name": "NUM_FRAGMENTS"},
17237 {"bits": [17, 17], "name": "FORCE_DST_ALPHA_1"},
17238 {"bits": [18, 18], "name": "DISABLE_FMASK_NOFETCH_OPT"},
17239 {"bits": [19, 19], "name": "LIMIT_COLOR_FETCH_TO_256B_MAX"}
17240 ]
17241 },
17242 "CB_COLOR0_ATTRIB2": {
17243 "fields": [
17244 {"bits": [0, 13], "name": "MIP0_HEIGHT"},
17245 {"bits": [14, 27], "name": "MIP0_WIDTH"},
17246 {"bits": [28, 31], "name": "MAX_MIP"}
17247 ]
17248 },
17249 "CB_COLOR0_ATTRIB3": {
17250 "fields": [
17251 {"bits": [0, 12], "name": "MIP0_DEPTH"},
17252 {"bits": [13, 13], "name": "META_LINEAR"},
17253 {"bits": [14, 18], "name": "COLOR_SW_MODE"},
17254 {"bits": [19, 23], "name": "FMASK_SW_MODE"},
17255 {"bits": [24, 25], "name": "RESOURCE_TYPE"},
17256 {"bits": [26, 26], "name": "CMASK_PIPE_ALIGNED"},
17257 {"bits": [27, 29], "name": "RESOURCE_LEVEL"},
17258 {"bits": [30, 30], "name": "DCC_PIPE_ALIGNED"}
17259 ]
17260 },
17261 "CB_COLOR0_BASE": {
17262 "fields": [
17263 {"bits": [0, 31], "name": "BASE_256B"}
17264 ]
17265 },
17266 "CB_COLOR0_BASE_EXT": {
17267 "fields": [
17268 {"bits": [0, 7], "name": "BASE_256B"}
17269 ]
17270 },
17271 "CB_COLOR0_CLEAR_WORD0": {
17272 "fields": [
17273 {"bits": [0, 31], "name": "CLEAR_WORD0"}
17274 ]
17275 },
17276 "CB_COLOR0_CLEAR_WORD1": {
17277 "fields": [
17278 {"bits": [0, 31], "name": "CLEAR_WORD1"}
17279 ]
17280 },
17281 "CB_COLOR0_DCC_CONTROL": {
17282 "fields": [
17283 {"bits": [0, 0], "name": "OVERWRITE_COMBINER_DISABLE"},
17284 {"bits": [1, 1], "name": "KEY_CLEAR_ENABLE"},
17285 {"bits": [2, 3], "name": "MAX_UNCOMPRESSED_BLOCK_SIZE"},
17286 {"bits": [4, 4], "name": "MIN_COMPRESSED_BLOCK_SIZE"},
17287 {"bits": [5, 6], "name": "MAX_COMPRESSED_BLOCK_SIZE"},
17288 {"bits": [7, 8], "name": "COLOR_TRANSFORM"},
17289 {"bits": [9, 9], "name": "INDEPENDENT_64B_BLOCKS"},
17290 {"bits": [10, 13], "name": "LOSSY_RGB_PRECISION"},
17291 {"bits": [14, 17], "name": "LOSSY_ALPHA_PRECISION"},
17292 {"bits": [18, 18], "name": "DISABLE_CONSTANT_ENCODE_REG"},
17293 {"bits": [19, 19], "name": "ENABLE_CONSTANT_ENCODE_REG_WRITE"},
17294 {"bits": [20, 20], "name": "INDEPENDENT_128B_BLOCKS"},
17295 {"bits": [21, 21], "name": "SKIP_LOW_COMP_RATIO_GFX103"},
17296 {"bits": [22, 22], "name": "DCC_COMPRESS_DISABLE_GFX103"}
17297 ]
17298 },
17299 "CB_COLOR0_INFO": {
17300 "fields": [
17301 {"bits": [0, 1], "name": "ENDIAN"},
17302 {"bits": [2, 6], "enum_ref": "CB_COLOR0_INFO__FORMAT", "name": "FORMAT"},
17303 {"bits": [7, 7], "name": "LINEAR_GENERAL"},
17304 {"bits": [8, 10], "name": "NUMBER_TYPE"},
17305 {"bits": [11, 12], "name": "COMP_SWAP"},
17306 {"bits": [13, 13], "name": "FAST_CLEAR"},
17307 {"bits": [14, 14], "name": "COMPRESSION"},
17308 {"bits": [15, 15], "name": "BLEND_CLAMP"},
17309 {"bits": [16, 16], "name": "BLEND_BYPASS"},
17310 {"bits": [17, 17], "name": "SIMPLE_FLOAT"},
17311 {"bits": [18, 18], "name": "ROUND_MODE"},
17312 {"bits": [19, 19], "name": "CMASK_IS_LINEAR"},
17313 {"bits": [20, 22], "enum_ref": "BlendOpt", "name": "BLEND_OPT_DONT_RD_DST"},
17314 {"bits": [23, 25], "enum_ref": "BlendOpt", "name": "BLEND_OPT_DISCARD_PIXEL"},
17315 {"bits": [26, 26], "name": "FMASK_COMPRESSION_DISABLE"},
17316 {"bits": [27, 27], "name": "FMASK_COMPRESS_1FRAG_ONLY"},
17317 {"bits": [28, 28], "name": "DCC_ENABLE"},
17318 {"bits": [29, 30], "enum_ref": "CmaskAddr", "name": "CMASK_ADDR_TYPE"},
17319 {"bits": [31, 31], "name": "ALT_TILE_MODE"}
17320 ]
17321 },
17322 "CB_COLOR0_VIEW": {
17323 "fields": [
17324 {"bits": [0, 12], "name": "SLICE_START"},
17325 {"bits": [13, 25], "name": "SLICE_MAX"},
17326 {"bits": [26, 29], "name": "MIP_LEVEL"}
17327 ]
17328 },
17329 "CB_COLOR_CONTROL": {
17330 "fields": [
17331 {"bits": [0, 0], "name": "DISABLE_DUAL_QUAD"},
17332 {"bits": [3, 3], "name": "DEGAMMA_ENABLE"},
17333 {"bits": [4, 6], "enum_ref": "CBMode", "name": "MODE"},
17334 {"bits": [16, 23], "name": "ROP3"}
17335 ]
17336 },
17337 "CB_COVERAGE_OUT_CONTROL": {
17338 "fields": [
17339 {"bits": [0, 0], "name": "COVERAGE_OUT_ENABLE"},
17340 {"bits": [1, 3], "name": "COVERAGE_OUT_MRT"},
17341 {"bits": [4, 5], "name": "COVERAGE_OUT_CHANNEL"},
17342 {"bits": [8, 11], "name": "COVERAGE_OUT_SAMPLES"}
17343 ]
17344 },
17345 "CB_DCC_CONTROL": {
17346 "fields": [
17347 {"bits": [0, 0], "name": "OVERWRITE_COMBINER_DISABLE"},
17348 {"bits": [2, 6], "name": "OVERWRITE_COMBINER_WATERMARK"},
17349 {"bits": [8, 8], "name": "DISABLE_CONSTANT_ENCODE_AC01"},
17350 {"bits": [9, 9], "name": "DISABLE_CONSTANT_ENCODE_SINGLE"},
17351 {"bits": [10, 10], "name": "DISABLE_CONSTANT_ENCODE_REG"},
17352 {"bits": [12, 12], "name": "DISABLE_ELIMFC_SKIP_OF_AC01"},
17353 {"bits": [13, 13], "name": "DISABLE_ELIMFC_SKIP_OF_SINGLE"},
17354 {"bits": [14, 14], "name": "ENABLE_ELIMFC_SKIP_OF_REG"}
17355 ]
17356 },
17357 "CB_PERFCOUNTER0_HI": {
17358 "fields": [
17359 {"bits": [0, 31], "name": "PERFCOUNTER_HI"}
17360 ]
17361 },
17362 "CB_PERFCOUNTER0_LO": {
17363 "fields": [
17364 {"bits": [0, 31], "name": "PERFCOUNTER_LO"}
17365 ]
17366 },
17367 "CB_PERFCOUNTER0_SELECT": {
17368 "fields": [
17369 {"bits": [0, 8], "enum_ref": "CBPerfSel", "name": "PERF_SEL"},
17370 {"bits": [10, 18], "enum_ref": "CBPerfSel", "name": "PERF_SEL1"},
17371 {"bits": [20, 23], "name": "CNTR_MODE"},
17372 {"bits": [24, 27], "name": "PERF_MODE1"},
17373 {"bits": [28, 31], "name": "PERF_MODE"}
17374 ]
17375 },
17376 "CB_PERFCOUNTER0_SELECT1": {
17377 "fields": [
17378 {"bits": [0, 8], "enum_ref": "CBPerfSel", "name": "PERF_SEL2"},
17379 {"bits": [10, 18], "enum_ref": "CBPerfSel", "name": "PERF_SEL3"},
17380 {"bits": [24, 27], "name": "PERF_MODE3"},
17381 {"bits": [28, 31], "name": "PERF_MODE2"}
17382 ]
17383 },
17384 "CB_PERFCOUNTER1_SELECT": {
17385 "fields": [
17386 {"bits": [0, 8], "enum_ref": "CBPerfSel", "name": "PERF_SEL"},
17387 {"bits": [28, 31], "name": "PERF_MODE"}
17388 ]
17389 },
17390 "CB_PERFCOUNTER_FILTER": {
17391 "fields": [
17392 {"bits": [0, 0], "name": "OP_FILTER_ENABLE"},
17393 {"bits": [1, 3], "enum_ref": "CBPerfOpFilterSel", "name": "OP_FILTER_SEL"},
17394 {"bits": [4, 4], "name": "FORMAT_FILTER_ENABLE"},
17395 {"bits": [5, 9], "name": "FORMAT_FILTER_SEL"},
17396 {"bits": [10, 10], "name": "CLEAR_FILTER_ENABLE"},
17397 {"bits": [11, 11], "enum_ref": "CBPerfClearFilterSel", "name": "CLEAR_FILTER_SEL"},
17398 {"bits": [12, 12], "name": "MRT_FILTER_ENABLE"},
17399 {"bits": [13, 15], "name": "MRT_FILTER_SEL"},
17400 {"bits": [17, 17], "name": "NUM_SAMPLES_FILTER_ENABLE"},
17401 {"bits": [18, 20], "name": "NUM_SAMPLES_FILTER_SEL"},
17402 {"bits": [21, 21], "name": "NUM_FRAGMENTS_FILTER_ENABLE"},
17403 {"bits": [22, 23], "name": "NUM_FRAGMENTS_FILTER_SEL"}
17404 ]
17405 },
17406 "CB_RMI_GL2_CACHE_CONTROL": {
17407 "fields": [
17408 {"bits": [0, 1], "enum_ref": "WritePolicy", "name": "CMASK_WR_POLICY"},
17409 {"bits": [2, 3], "enum_ref": "WritePolicy", "name": "FMASK_WR_POLICY"},
17410 {"bits": [4, 5], "enum_ref": "WritePolicy", "name": "DCC_WR_POLICY"},
17411 {"bits": [6, 7], "enum_ref": "WritePolicy", "name": "COLOR_WR_POLICY"},
17412 {"bits": [16, 17], "enum_ref": "ReadPolicy", "name": "CMASK_RD_POLICY"},
17413 {"bits": [18, 19], "enum_ref": "ReadPolicy", "name": "FMASK_RD_POLICY"},
17414 {"bits": [20, 21], "enum_ref": "ReadPolicy", "name": "DCC_RD_POLICY"},
17415 {"bits": [22, 23], "enum_ref": "ReadPolicy", "name": "COLOR_RD_POLICY"},
17416 {"bits": [30, 30], "name": "FMASK_BIG_PAGE"},
17417 {"bits": [31, 31], "name": "COLOR_BIG_PAGE"}
17418 ]
17419 },
17420 "CB_SHADER_MASK": {
17421 "fields": [
17422 {"bits": [0, 3], "name": "OUTPUT0_ENABLE"},
17423 {"bits": [4, 7], "name": "OUTPUT1_ENABLE"},
17424 {"bits": [8, 11], "name": "OUTPUT2_ENABLE"},
17425 {"bits": [12, 15], "name": "OUTPUT3_ENABLE"},
17426 {"bits": [16, 19], "name": "OUTPUT4_ENABLE"},
17427 {"bits": [20, 23], "name": "OUTPUT5_ENABLE"},
17428 {"bits": [24, 27], "name": "OUTPUT6_ENABLE"},
17429 {"bits": [28, 31], "name": "OUTPUT7_ENABLE"}
17430 ]
17431 },
17432 "CB_TARGET_MASK": {
17433 "fields": [
17434 {"bits": [0, 3], "name": "TARGET0_ENABLE"},
17435 {"bits": [4, 7], "name": "TARGET1_ENABLE"},
17436 {"bits": [8, 11], "name": "TARGET2_ENABLE"},
17437 {"bits": [12, 15], "name": "TARGET3_ENABLE"},
17438 {"bits": [16, 19], "name": "TARGET4_ENABLE"},
17439 {"bits": [20, 23], "name": "TARGET5_ENABLE"},
17440 {"bits": [24, 27], "name": "TARGET6_ENABLE"},
17441 {"bits": [28, 31], "name": "TARGET7_ENABLE"}
17442 ]
17443 },
17444 "CHA_PERFCOUNTER0_SELECT": {
17445 "fields": [
17446 {"bits": [0, 9], "enum_ref": "CHA_PERF_SEL", "name": "PERF_SEL"},
17447 {"bits": [10, 19], "enum_ref": "CHA_PERF_SEL", "name": "PERF_SEL1"},
17448 {"bits": [20, 23], "name": "CNTR_MODE"},
17449 {"bits": [24, 27], "name": "PERF_MODE1"},
17450 {"bits": [28, 31], "name": "PERF_MODE"}
17451 ]
17452 },
17453 "CHA_PERFCOUNTER0_SELECT1": {
17454 "fields": [
17455 {"bits": [0, 9], "enum_ref": "CHA_PERF_SEL", "name": "PERF_SEL2"},
17456 {"bits": [10, 19], "enum_ref": "CHA_PERF_SEL", "name": "PERF_SEL3"},
17457 {"bits": [24, 27], "name": "PERF_MODE2"},
17458 {"bits": [28, 31], "name": "PERF_MODE3"}
17459 ]
17460 },
17461 "CHA_PERFCOUNTER1_SELECT": {
17462 "fields": [
17463 {"bits": [0, 9], "enum_ref": "CHA_PERF_SEL", "name": "PERF_SEL"},
17464 {"bits": [20, 23], "name": "CNTR_MODE"},
17465 {"bits": [28, 31], "name": "PERF_MODE"}
17466 ]
17467 },
17468 "CHCG_PERFCOUNTER0_SELECT": {
17469 "fields": [
17470 {"bits": [0, 9], "enum_ref": "CHCG_PERF_SEL", "name": "PERF_SEL"},
17471 {"bits": [10, 19], "enum_ref": "CHCG_PERF_SEL", "name": "PERF_SEL1"},
17472 {"bits": [20, 23], "name": "CNTR_MODE"},
17473 {"bits": [24, 27], "name": "PERF_MODE1"},
17474 {"bits": [28, 31], "name": "PERF_MODE"}
17475 ]
17476 },
17477 "CHCG_PERFCOUNTER0_SELECT1": {
17478 "fields": [
17479 {"bits": [0, 9], "enum_ref": "CHCG_PERF_SEL", "name": "PERF_SEL2"},
17480 {"bits": [10, 19], "enum_ref": "CHCG_PERF_SEL", "name": "PERF_SEL3"},
17481 {"bits": [24, 27], "name": "PERF_MODE2"},
17482 {"bits": [28, 31], "name": "PERF_MODE3"}
17483 ]
17484 },
17485 "CHCG_PERFCOUNTER1_SELECT": {
17486 "fields": [
17487 {"bits": [0, 9], "enum_ref": "CHCG_PERF_SEL", "name": "PERF_SEL"},
17488 {"bits": [20, 23], "name": "CNTR_MODE"},
17489 {"bits": [28, 31], "name": "PERF_MODE"}
17490 ]
17491 },
17492 "CHC_PERFCOUNTER0_SELECT": {
17493 "fields": [
17494 {"bits": [0, 9], "enum_ref": "CHC_PERF_SEL", "name": "PERF_SEL"},
17495 {"bits": [10, 19], "enum_ref": "CHC_PERF_SEL", "name": "PERF_SEL1"},
17496 {"bits": [20, 23], "name": "CNTR_MODE"},
17497 {"bits": [24, 27], "name": "PERF_MODE1"},
17498 {"bits": [28, 31], "name": "PERF_MODE"}
17499 ]
17500 },
17501 "CHC_PERFCOUNTER0_SELECT1": {
17502 "fields": [
17503 {"bits": [0, 9], "enum_ref": "CHC_PERF_SEL", "name": "PERF_SEL2"},
17504 {"bits": [10, 19], "enum_ref": "CHC_PERF_SEL", "name": "PERF_SEL3"},
17505 {"bits": [24, 27], "name": "PERF_MODE2"},
17506 {"bits": [28, 31], "name": "PERF_MODE3"}
17507 ]
17508 },
17509 "CHC_PERFCOUNTER1_SELECT": {
17510 "fields": [
17511 {"bits": [0, 9], "enum_ref": "CHC_PERF_SEL", "name": "PERF_SEL"},
17512 {"bits": [20, 23], "name": "CNTR_MODE"},
17513 {"bits": [28, 31], "name": "PERF_MODE"}
17514 ]
17515 },
17516 "COHER_DEST_BASE_0": {
17517 "fields": [
17518 {"bits": [0, 31], "name": "DEST_BASE_256B"}
17519 ]
17520 },
17521 "COHER_DEST_BASE_HI_0": {
17522 "fields": [
17523 {"bits": [0, 7], "name": "DEST_BASE_HI_256B"}
17524 ]
17525 },
17526 "COMPUTE_DDID_INDEX": {
17527 "fields": [
17528 {"bits": [0, 10], "name": "INDEX"}
17529 ]
17530 },
17531 "COMPUTE_DESTINATION_EN_SE0": {
17532 "fields": [
17533 {"bits": [0, 31], "name": "CU_EN"}
17534 ]
17535 },
17536 "COMPUTE_DIM_X": {
17537 "fields": [
17538 {"bits": [0, 31], "name": "SIZE"}
17539 ]
17540 },
17541 "COMPUTE_DISPATCH_ID": {
17542 "fields": [
17543 {"bits": [0, 31], "name": "DISPATCH_ID"}
17544 ]
17545 },
17546 "COMPUTE_DISPATCH_INITIATOR": {
17547 "fields": [
17548 {"bits": [0, 0], "name": "COMPUTE_SHADER_EN"},
17549 {"bits": [1, 1], "name": "PARTIAL_TG_EN"},
17550 {"bits": [2, 2], "name": "FORCE_START_AT_000"},
17551 {"bits": [3, 3], "name": "ORDERED_APPEND_ENBL"},
17552 {"bits": [4, 4], "name": "ORDERED_APPEND_MODE"},
17553 {"bits": [5, 5], "name": "USE_THREAD_DIMENSIONS"},
17554 {"bits": [6, 6], "name": "ORDER_MODE"},
17555 {"bits": [10, 10], "name": "SCALAR_L1_INV_VOL"},
17556 {"bits": [11, 11], "name": "VECTOR_L1_INV_VOL"},
17557 {"bits": [12, 12], "name": "RESERVED"},
17558 {"bits": [13, 13], "name": "TUNNEL_ENABLE"},
17559 {"bits": [14, 14], "name": "RESTORE"},
17560 {"bits": [15, 15], "name": "CS_W32_EN"}
17561 ]
17562 },
17563 "COMPUTE_DISPATCH_TUNNEL": {
17564 "fields": [
17565 {"bits": [0, 9], "name": "OFF_DELAY"},
17566 {"bits": [10, 10], "name": "IMMEDIATE"}
17567 ]
17568 },
17569 "COMPUTE_MISC_RESERVED": {
17570 "fields": [
17571 {"bits": [0, 1], "name": "SEND_SEID"},
17572 {"bits": [2, 2], "name": "RESERVED2"},
17573 {"bits": [3, 3], "name": "RESERVED3"},
17574 {"bits": [4, 4], "name": "RESERVED4"},
17575 {"bits": [5, 16], "name": "WAVE_ID_BASE"}
17576 ]
17577 },
17578 "COMPUTE_NUM_THREAD_X": {
17579 "fields": [
17580 {"bits": [0, 15], "name": "NUM_THREAD_FULL"},
17581 {"bits": [16, 31], "name": "NUM_THREAD_PARTIAL"}
17582 ]
17583 },
17584 "COMPUTE_PERFCOUNT_ENABLE": {
17585 "fields": [
17586 {"bits": [0, 0], "name": "PERFCOUNT_ENABLE"}
17587 ]
17588 },
17589 "COMPUTE_PGM_HI": {
17590 "fields": [
17591 {"bits": [0, 7], "name": "DATA"}
17592 ]
17593 },
17594 "COMPUTE_PGM_LO": {
17595 "fields": [
17596 {"bits": [0, 31], "name": "DATA"}
17597 ]
17598 },
17599 "COMPUTE_PGM_RSRC1": {
17600 "fields": [
17601 {"bits": [0, 5], "name": "VGPRS"},
17602 {"bits": [6, 9], "name": "SGPRS"},
17603 {"bits": [10, 11], "name": "PRIORITY"},
17604 {"bits": [12, 19], "name": "FLOAT_MODE"},
17605 {"bits": [20, 20], "name": "PRIV"},
17606 {"bits": [21, 21], "name": "DX10_CLAMP"},
17607 {"bits": [22, 22], "name": "DEBUG_MODE"},
17608 {"bits": [23, 23], "name": "IEEE_MODE"},
17609 {"bits": [24, 24], "name": "BULKY"},
17610 {"bits": [25, 25], "name": "CDBG_USER"},
17611 {"bits": [26, 26], "name": "FP16_OVFL"},
17612 {"bits": [29, 29], "name": "WGP_MODE"},
17613 {"bits": [30, 30], "name": "MEM_ORDERED"},
17614 {"bits": [31, 31], "name": "FWD_PROGRESS"}
17615 ]
17616 },
17617 "COMPUTE_PGM_RSRC2": {
17618 "fields": [
17619 {"bits": [0, 0], "name": "SCRATCH_EN"},
17620 {"bits": [1, 5], "name": "USER_SGPR"},
17621 {"bits": [6, 6], "name": "TRAP_PRESENT"},
17622 {"bits": [7, 7], "name": "TGID_X_EN"},
17623 {"bits": [8, 8], "name": "TGID_Y_EN"},
17624 {"bits": [9, 9], "name": "TGID_Z_EN"},
17625 {"bits": [10, 10], "name": "TG_SIZE_EN"},
17626 {"bits": [11, 12], "name": "TIDIG_COMP_CNT"},
17627 {"bits": [13, 14], "name": "EXCP_EN_MSB"},
17628 {"bits": [15, 23], "name": "LDS_SIZE"},
17629 {"bits": [24, 30], "name": "EXCP_EN"}
17630 ]
17631 },
17632 "COMPUTE_PGM_RSRC3": {
17633 "fields": [
17634 {"bits": [0, 3], "name": "SHARED_VGPR_CNT"}
17635 ]
17636 },
17637 "COMPUTE_PIPELINESTAT_ENABLE": {
17638 "fields": [
17639 {"bits": [0, 0], "name": "PIPELINESTAT_ENABLE"}
17640 ]
17641 },
17642 "COMPUTE_USER_ACCUM_0": {
17643 "fields": [
17644 {"bits": [0, 6], "name": "CONTRIBUTION"}
17645 ]
17646 },
17647 "COMPUTE_PREF_PRI_CNTR_CTRL": {
17648 "fields": [
17649 {"bits": [0, 2], "name": "TOTAL_WAVE_COUNT_HIER_SELECT"},
17650 {"bits": [3, 5], "name": "PER_TYPE_WAVE_COUNT_HIER_SELECT"},
17651 {"bits": [6, 6], "name": "GROUP_UPDATE_EN"},
17652 {"bits": [8, 15], "name": "TOTAL_WAVE_COUNT_COEFFICIENT"},
17653 {"bits": [16, 23], "name": "PER_TYPE_WAVE_COUNT_COEFFICIENT"}
17654 ]
17655 },
17656 "COMPUTE_RELAUNCH": {
17657 "fields": [
17658 {"bits": [0, 29], "name": "PAYLOAD"},
17659 {"bits": [30, 30], "name": "IS_EVENT"},
17660 {"bits": [31, 31], "name": "IS_STATE"}
17661 ]
17662 },
17663 "COMPUTE_REQ_CTRL": {
17664 "fields": [
17665 {"bits": [0, 0], "name": "SOFT_GROUPING_EN"},
17666 {"bits": [1, 4], "name": "NUMBER_OF_REQUESTS_PER_CU"},
17667 {"bits": [5, 8], "name": "SOFT_GROUPING_ALLOCATION_TIMEOUT"},
17668 {"bits": [9, 9], "name": "HARD_LOCK_HYSTERESIS"},
17669 {"bits": [10, 14], "name": "HARD_LOCK_LOW_THRESHOLD"},
17670 {"bits": [15, 15], "name": "PRODUCER_REQUEST_LOCKOUT"},
17671 {"bits": [16, 16], "name": "GLOBAL_SCANNING_EN"},
17672 {"bits": [17, 19], "name": "ALLOCATION_RATE_THROTTLING_THRESHOLD"},
17673 {"bits": [20, 26], "name": "DEDICATED_PREALLOCATION_BUFFER_LIMIT"}
17674 ]
17675 },
17676 "COMPUTE_RESOURCE_LIMITS": {
17677 "fields": [
17678 {"bits": [0, 9], "name": "WAVES_PER_SH"},
17679 {"bits": [12, 15], "name": "TG_PER_CU"},
17680 {"bits": [16, 21], "name": "LOCK_THRESHOLD"},
17681 {"bits": [22, 22], "name": "SIMD_DEST_CNTL"},
17682 {"bits": [23, 23], "name": "FORCE_SIMD_DIST"},
17683 {"bits": [24, 26], "name": "CU_GROUP_COUNT"}
17684 ]
17685 },
17686 "COMPUTE_RESTART_X": {
17687 "fields": [
17688 {"bits": [0, 31], "name": "RESTART"}
17689 ]
17690 },
17691 "COMPUTE_SHADER_CHKSUM": {
17692 "fields": [
17693 {"bits": [0, 31], "name": "CHECKSUM"}
17694 ]
17695 },
17696 "COMPUTE_START_X": {
17697 "fields": [
17698 {"bits": [0, 31], "name": "START"}
17699 ]
17700 },
17701 "COMPUTE_THREADGROUP_ID": {
17702 "fields": [
17703 {"bits": [0, 31], "name": "THREADGROUP_ID"}
17704 ]
17705 },
17706 "COMPUTE_THREAD_TRACE_ENABLE": {
17707 "fields": [
17708 {"bits": [0, 0], "name": "THREAD_TRACE_ENABLE"}
17709 ]
17710 },
17711 "COMPUTE_TMPRING_SIZE": {
17712 "fields": [
17713 {"bits": [0, 11], "name": "WAVES"},
17714 {"bits": [12, 24], "name": "WAVESIZE"}
17715 ]
17716 },
17717 "COMPUTE_VMID": {
17718 "fields": [
17719 {"bits": [0, 3], "name": "DATA"}
17720 ]
17721 },
17722 "COMPUTE_WAVE_RESTORE_ADDR_HI": {
17723 "fields": [
17724 {"bits": [0, 15], "name": "ADDR"}
17725 ]
17726 },
17727 "COMPUTE_WAVE_RESTORE_ADDR_LO": {
17728 "fields": [
17729 {"bits": [0, 31], "name": "ADDR"}
17730 ]
17731 },
17732 "CPC_INT_CNTX_ID": {
17733 "fields": [
17734 {"bits": [0, 31], "name": "CNTX_ID"}
17735 ]
17736 },
17737 "CPC_LATENCY_STATS_SELECT": {
17738 "fields": [
17739 {"bits": [0, 3], "enum_ref": "CPC_LATENCY_STATS_SEL", "name": "INDEX"},
17740 {"bits": [30, 30], "name": "CLEAR"},
17741 {"bits": [31, 31], "name": "ENABLE"}
17742 ]
17743 },
17744 "CPC_PERFCOUNTER0_SELECT1": {
17745 "fields": [
17746 {"bits": [0, 9], "enum_ref": "CPC_PERFCOUNT_SEL", "name": "PERF_SEL2"},
17747 {"bits": [10, 19], "enum_ref": "CPC_PERFCOUNT_SEL", "name": "PERF_SEL3"},
17748 {"bits": [24, 27], "name": "CNTR_MODE3"},
17749 {"bits": [28, 31], "name": "CNTR_MODE2"}
17750 ]
17751 },
17752 "CPC_PERFCOUNTER1_SELECT": {
17753 "fields": [
17754 {"bits": [0, 9], "enum_ref": "CPC_PERFCOUNT_SEL", "name": "PERF_SEL"},
17755 {"bits": [10, 19], "enum_ref": "CPC_PERFCOUNT_SEL", "name": "PERF_SEL1"},
17756 {"bits": [20, 23], "name": "SPM_MODE"},
17757 {"bits": [24, 27], "name": "CNTR_MODE1"},
17758 {"bits": [28, 31], "name": "CNTR_MODE0"}
17759 ]
17760 },
17761 "CPC_SUSPEND_CTX_SAVE_BASE_ADDR_HI": {
17762 "fields": [
17763 {"bits": [0, 15], "name": "ADDR_HI"}
17764 ]
17765 },
17766 "CPF_LATENCY_STATS_SELECT": {
17767 "fields": [
17768 {"bits": [0, 3], "enum_ref": "CPF_LATENCY_STATS_SEL", "name": "INDEX"},
17769 {"bits": [30, 30], "name": "CLEAR"},
17770 {"bits": [31, 31], "name": "ENABLE"}
17771 ]
17772 },
17773 "CPF_PERFCOUNTER0_SELECT1": {
17774 "fields": [
17775 {"bits": [0, 9], "enum_ref": "CPF_PERFCOUNT_SEL", "name": "PERF_SEL2"},
17776 {"bits": [10, 19], "enum_ref": "CPF_PERFCOUNT_SEL", "name": "PERF_SEL3"},
17777 {"bits": [24, 27], "name": "CNTR_MODE3"},
17778 {"bits": [28, 31], "name": "CNTR_MODE2"}
17779 ]
17780 },
17781 "CPF_PERFCOUNTER1_SELECT": {
17782 "fields": [
17783 {"bits": [0, 9], "enum_ref": "CPF_PERFCOUNT_SEL", "name": "PERF_SEL"},
17784 {"bits": [10, 19], "enum_ref": "CPF_PERFCOUNT_SEL", "name": "PERF_SEL1"},
17785 {"bits": [20, 23], "name": "SPM_MODE"},
17786 {"bits": [24, 27], "name": "CNTR_MODE1"},
17787 {"bits": [28, 31], "name": "CNTR_MODE0"}
17788 ]
17789 },
17790 "CPF_TC_PERF_COUNTER_WINDOW_SELECT": {
17791 "fields": [
17792 {"bits": [0, 2], "enum_ref": "CPF_PERFCOUNTWINDOW_SEL", "name": "INDEX"},
17793 {"bits": [30, 30], "name": "ALWAYS"},
17794 {"bits": [31, 31], "name": "ENABLE"}
17795 ]
17796 },
17797 "CPG_LATENCY_STATS_SELECT": {
17798 "fields": [
17799 {"bits": [0, 4], "enum_ref": "CPG_LATENCY_STATS_SEL", "name": "INDEX"},
17800 {"bits": [30, 30], "name": "CLEAR"},
17801 {"bits": [31, 31], "name": "ENABLE"}
17802 ]
17803 },
17804 "CPG_PERFCOUNTER0_SELECT1": {
17805 "fields": [
17806 {"bits": [0, 9], "enum_ref": "CPG_PERFCOUNT_SEL", "name": "PERF_SEL2"},
17807 {"bits": [10, 19], "enum_ref": "CPG_PERFCOUNT_SEL", "name": "PERF_SEL3"},
17808 {"bits": [24, 27], "name": "CNTR_MODE3"},
17809 {"bits": [28, 31], "name": "CNTR_MODE2"}
17810 ]
17811 },
17812 "CPG_PERFCOUNTER1_SELECT": {
17813 "fields": [
17814 {"bits": [0, 9], "enum_ref": "CPG_PERFCOUNT_SEL", "name": "PERF_SEL"},
17815 {"bits": [10, 19], "enum_ref": "CPG_PERFCOUNT_SEL", "name": "PERF_SEL1"},
17816 {"bits": [20, 23], "name": "SPM_MODE"},
17817 {"bits": [24, 27], "name": "CNTR_MODE1"},
17818 {"bits": [28, 31], "name": "CNTR_MODE0"}
17819 ]
17820 },
17821 "CPG_TC_PERF_COUNTER_WINDOW_SELECT": {
17822 "fields": [
17823 {"bits": [0, 4], "enum_ref": "CPG_PERFCOUNTWINDOW_SEL", "name": "INDEX"},
17824 {"bits": [30, 30], "name": "ALWAYS"},
17825 {"bits": [31, 31], "name": "ENABLE"}
17826 ]
17827 },
17828 "CP_APPEND_ADDR_HI": {
17829 "fields": [
17830 {"bits": [0, 15], "name": "MEM_ADDR_HI"},
17831 {"bits": [16, 16], "name": "CS_PS_SEL"},
17832 {"bits": [25, 26], "name": "CACHE_POLICY"},
17833 {"bits": [29, 31], "name": "COMMAND"}
17834 ]
17835 },
17836 "CP_APPEND_ADDR_LO": {
17837 "fields": [
17838 {"bits": [2, 31], "name": "MEM_ADDR_LO"}
17839 ]
17840 },
17841 "CP_APPEND_LAST_CS_FENCE_HI": {
17842 "fields": [
17843 {"bits": [0, 31], "name": "LAST_FENCE"}
17844 ]
17845 },
17846 "CP_CE_IB1_BASE_HI": {
17847 "fields": [
17848 {"bits": [0, 15], "name": "IB1_BASE_HI"}
17849 ]
17850 },
17851 "CP_CE_IB1_BASE_LO": {
17852 "fields": [
17853 {"bits": [2, 31], "name": "IB1_BASE_LO"}
17854 ]
17855 },
17856 "CP_CE_IB1_BUFSZ": {
17857 "fields": [
17858 {"bits": [0, 19], "name": "IB1_BUFSZ"}
17859 ]
17860 },
17861 "CP_CE_IB1_CMD_BUFSZ": {
17862 "fields": [
17863 {"bits": [0, 19], "name": "IB1_CMD_REQSZ"}
17864 ]
17865 },
17866 "CP_CE_IB2_BASE_HI": {
17867 "fields": [
17868 {"bits": [0, 15], "name": "IB2_BASE_HI"}
17869 ]
17870 },
17871 "CP_CE_IB2_BASE_LO": {
17872 "fields": [
17873 {"bits": [2, 31], "name": "IB2_BASE_LO"}
17874 ]
17875 },
17876 "CP_CE_IB2_BUFSZ": {
17877 "fields": [
17878 {"bits": [0, 19], "name": "IB2_BUFSZ"}
17879 ]
17880 },
17881 "CP_CE_IB2_CMD_BUFSZ": {
17882 "fields": [
17883 {"bits": [0, 19], "name": "IB2_CMD_REQSZ"}
17884 ]
17885 },
17886 "CP_CE_INIT_BASE_HI": {
17887 "fields": [
17888 {"bits": [0, 15], "name": "INIT_BASE_HI"}
17889 ]
17890 },
17891 "CP_CE_INIT_BASE_LO": {
17892 "fields": [
17893 {"bits": [5, 31], "name": "INIT_BASE_LO"}
17894 ]
17895 },
17896 "CP_CE_INIT_BUFSZ": {
17897 "fields": [
17898 {"bits": [0, 11], "name": "INIT_BUFSZ"}
17899 ]
17900 },
17901 "CP_CE_INIT_CMD_BUFSZ": {
17902 "fields": [
17903 {"bits": [0, 11], "name": "INIT_CMD_REQSZ"}
17904 ]
17905 },
17906 "CP_COHER_BASE": {
17907 "fields": [
17908 {"bits": [0, 31], "name": "COHER_BASE_256B"}
17909 ]
17910 },
17911 "CP_COHER_BASE_HI": {
17912 "fields": [
17913 {"bits": [0, 7], "name": "COHER_BASE_HI_256B"}
17914 ]
17915 },
17916 "CP_COHER_CNTL": {
17917 "fields": [
17918 {"bits": [3, 3], "name": "TC_NC_ACTION_ENA"},
17919 {"bits": [4, 4], "name": "TC_WC_ACTION_ENA"},
17920 {"bits": [5, 5], "name": "TC_INV_METADATA_ACTION_ENA"},
17921 {"bits": [15, 15], "name": "TCL1_VOL_ACTION_ENA"},
17922 {"bits": [18, 18], "name": "TC_WB_ACTION_ENA"},
17923 {"bits": [22, 22], "name": "TCL1_ACTION_ENA"},
17924 {"bits": [23, 23], "name": "TC_ACTION_ENA"},
17925 {"bits": [25, 25], "name": "CB_ACTION_ENA"},
17926 {"bits": [26, 26], "name": "DB_ACTION_ENA"},
17927 {"bits": [27, 27], "name": "SH_KCACHE_ACTION_ENA"},
17928 {"bits": [28, 28], "name": "SH_KCACHE_VOL_ACTION_ENA"},
17929 {"bits": [29, 29], "name": "SH_ICACHE_ACTION_ENA"},
17930 {"bits": [30, 30], "name": "SH_KCACHE_WB_ACTION_ENA"}
17931 ]
17932 },
17933 "CP_COHER_SIZE": {
17934 "fields": [
17935 {"bits": [0, 31], "name": "COHER_SIZE_256B"}
17936 ]
17937 },
17938 "CP_COHER_SIZE_HI": {
17939 "fields": [
17940 {"bits": [0, 7], "name": "COHER_SIZE_HI_256B"}
17941 ]
17942 },
17943 "CP_COHER_START_DELAY": {
17944 "fields": [
17945 {"bits": [0, 5], "name": "START_DELAY_COUNT"}
17946 ]
17947 },
17948 "CP_COHER_STATUS": {
17949 "fields": [
17950 {"bits": [24, 25], "name": "MEID"},
17951 {"bits": [31, 31], "name": "STATUS"}
17952 ]
17953 },
17954 "CP_DB_BASE_HI": {
17955 "fields": [
17956 {"bits": [0, 15], "name": "DB_BASE_HI"}
17957 ]
17958 },
17959 "CP_DB_BASE_LO": {
17960 "fields": [
17961 {"bits": [2, 31], "name": "DB_BASE_LO"}
17962 ]
17963 },
17964 "CP_DB_BUFSZ": {
17965 "fields": [
17966 {"bits": [0, 19], "name": "DB_BUFSZ"}
17967 ]
17968 },
17969 "CP_DB_CMD_BUFSZ": {
17970 "fields": [
17971 {"bits": [0, 19], "name": "DB_CMD_REQSZ"}
17972 ]
17973 },
17974 "CP_DE_CE_COUNT": {
17975 "fields": [
17976 {"bits": [0, 31], "name": "CONST_ENGINE_COUNT"}
17977 ]
17978 },
17979 "CP_DMA_CNTL": {
17980 "fields": [
17981 {"bits": [0, 0], "name": "UTCL1_FAULT_CONTROL"},
17982 {"bits": [1, 1], "name": "WATCH_CONTROL"},
17983 {"bits": [4, 5], "name": "MIN_AVAILSZ"},
17984 {"bits": [16, 24], "name": "BUFFER_DEPTH"},
17985 {"bits": [28, 28], "name": "PIO_FIFO_EMPTY"},
17986 {"bits": [29, 29], "name": "PIO_FIFO_FULL"},
17987 {"bits": [30, 31], "name": "PIO_COUNT"}
17988 ]
17989 },
17990 "CP_DMA_ME_CMD_ADDR_LO": {
17991 "fields": [
17992 {"bits": [0, 1], "name": "RSVD"},
17993 {"bits": [2, 31], "name": "ADDR_LO"}
17994 ]
17995 },
17996 "CP_DMA_READ_TAGS": {
17997 "fields": [
17998 {"bits": [0, 25], "name": "DMA_READ_TAG"},
17999 {"bits": [28, 28], "name": "DMA_READ_TAG_VALID"}
18000 ]
18001 },
18002 "CP_DRAW_OBJECT": {
18003 "fields": [
18004 {"bits": [0, 31], "name": "OBJECT"}
18005 ]
18006 },
18007 "CP_DRAW_WINDOW_CNTL": {
18008 "fields": [
18009 {"bits": [0, 0], "name": "DISABLE_DRAW_WINDOW_LO_MAX"},
18010 {"bits": [1, 1], "name": "DISABLE_DRAW_WINDOW_LO_MIN"},
18011 {"bits": [2, 2], "name": "DISABLE_DRAW_WINDOW_HI"},
18012 {"bits": [8, 8], "name": "MODE"}
18013 ]
18014 },
18015 "CP_DRAW_WINDOW_HI": {
18016 "fields": [
18017 {"bits": [0, 31], "name": "WINDOW_HI"}
18018 ]
18019 },
18020 "CP_DRAW_WINDOW_LO": {
18021 "fields": [
18022 {"bits": [0, 15], "name": "MIN"},
18023 {"bits": [16, 31], "name": "MAX"}
18024 ]
18025 },
18026 "CP_DRAW_WINDOW_MASK_HI": {
18027 "fields": [
18028 {"bits": [0, 31], "name": "WINDOW_MASK_HI"}
18029 ]
18030 },
18031 "CP_ECC_FIRSTOCCURRENCE_RING0": {
18032 "fields": [
18033 {"bits": [0, 31], "name": "OBSOLETE"}
18034 ]
18035 },
18036 "CP_EOP_DONE_DATA_CNTL": {
18037 "fields": [
18038 {"bits": [16, 17], "name": "DST_SEL"},
18039 {"bits": [24, 26], "name": "INT_SEL"},
18040 {"bits": [29, 31], "name": "DATA_SEL"}
18041 ]
18042 },
18043 "CP_EOP_DONE_DOORBELL": {
18044 "fields": [
18045 {"bits": [2, 27], "name": "DOORBELL_OFFSET"}
18046 ]
18047 },
18048 "CP_EOP_DONE_EVENT_CNTL": {
18049 "fields": [
18050 {"bits": [12, 23], "name": "GCR_CNTL"},
18051 {"bits": [25, 26], "name": "CACHE_POLICY"},
18052 {"bits": [27, 27], "name": "EOP_VOLATILE"},
18053 {"bits": [28, 28], "name": "EXECUTE"}
18054 ]
18055 },
18056 "CP_EOP_LAST_FENCE_HI": {
18057 "fields": [
18058 {"bits": [0, 31], "name": "LAST_FENCE_HI"}
18059 ]
18060 },
18061 "CP_EOP_LAST_FENCE_LO": {
18062 "fields": [
18063 {"bits": [0, 31], "name": "LAST_FENCE_LO"}
18064 ]
18065 },
18066 "CP_GFX_DDID_INFLIGHT_COUNT": {
18067 "fields": [
18068 {"bits": [0, 15], "name": "COUNT"}
18069 ]
18070 },
18071 "CP_GFX_HPD_OSPRE_FENCE_ADDR_HI": {
18072 "fields": [
18073 {"bits": [0, 15], "name": "ADDR_HI"},
18074 {"bits": [16, 31], "name": "RSVD"}
18075 ]
18076 },
18077 "CP_GFX_HPD_OSPRE_FENCE_ADDR_LO": {
18078 "fields": [
18079 {"bits": [2, 31], "name": "ADDR_LO"}
18080 ]
18081 },
18082 "CP_GFX_HPD_OSPRE_FENCE_DATA_HI": {
18083 "fields": [
18084 {"bits": [0, 31], "name": "DATA_HI"}
18085 ]
18086 },
18087 "CP_GFX_HPD_OSPRE_FENCE_DATA_LO": {
18088 "fields": [
18089 {"bits": [0, 31], "name": "DATA_LO"}
18090 ]
18091 },
18092 "CP_HQD_EOP_BASE_ADDR": {
18093 "fields": [
18094 {"bits": [0, 31], "name": "BASE_ADDR"}
18095 ]
18096 },
18097 "CP_HQD_PQ_WPTR_LO": {
18098 "fields": [
18099 {"bits": [0, 31], "name": "OFFSET"}
18100 ]
18101 },
18102 "CP_IB1_OFFSET": {
18103 "fields": [
18104 {"bits": [0, 19], "name": "IB1_OFFSET"}
18105 ]
18106 },
18107 "CP_IB1_PREAMBLE_BEGIN": {
18108 "fields": [
18109 {"bits": [0, 19], "name": "IB1_PREAMBLE_BEGIN"}
18110 ]
18111 },
18112 "CP_IB1_PREAMBLE_END": {
18113 "fields": [
18114 {"bits": [0, 19], "name": "IB1_PREAMBLE_END"}
18115 ]
18116 },
18117 "CP_IB2_OFFSET": {
18118 "fields": [
18119 {"bits": [0, 19], "name": "IB2_OFFSET"}
18120 ]
18121 },
18122 "CP_IB2_PREAMBLE_BEGIN": {
18123 "fields": [
18124 {"bits": [0, 19], "name": "IB2_PREAMBLE_BEGIN"}
18125 ]
18126 },
18127 "CP_IB2_PREAMBLE_END": {
18128 "fields": [
18129 {"bits": [0, 19], "name": "IB2_PREAMBLE_END"}
18130 ]
18131 },
18132 "CP_INDEX_TYPE": {
18133 "fields": [
18134 {"bits": [0, 1], "enum_ref": "VGT_DI_INDEX_SIZE", "name": "INDEX_TYPE"}
18135 ]
18136 },
18137 "CP_ME0_PIPE0_VMID": {
18138 "fields": [
18139 {"bits": [0, 3], "name": "VMID"}
18140 ]
18141 },
18142 "CP_MES_MTVEC_HI": {
18143 "fields": [
18144 {"bits": [0, 31], "name": "ADDR_LO"}
18145 ]
18146 },
18147 "CP_MES_SCRATCH_DATA": {
18148 "fields": [
18149 {"bits": [0, 31], "name": "SCRATCH_DATA"}
18150 ]
18151 },
18152 "CP_ME_COHER_CNTL": {
18153 "fields": [
18154 {"bits": [0, 0], "name": "DEST_BASE_0_ENA"},
18155 {"bits": [1, 1], "name": "DEST_BASE_1_ENA"},
18156 {"bits": [6, 6], "name": "CB0_DEST_BASE_ENA"},
18157 {"bits": [7, 7], "name": "CB1_DEST_BASE_ENA"},
18158 {"bits": [8, 8], "name": "CB2_DEST_BASE_ENA"},
18159 {"bits": [9, 9], "name": "CB3_DEST_BASE_ENA"},
18160 {"bits": [10, 10], "name": "CB4_DEST_BASE_ENA"},
18161 {"bits": [11, 11], "name": "CB5_DEST_BASE_ENA"},
18162 {"bits": [12, 12], "name": "CB6_DEST_BASE_ENA"},
18163 {"bits": [13, 13], "name": "CB7_DEST_BASE_ENA"},
18164 {"bits": [14, 14], "name": "DB_DEST_BASE_ENA"},
18165 {"bits": [19, 19], "name": "DEST_BASE_2_ENA"},
18166 {"bits": [21, 21], "name": "DEST_BASE_3_ENA"}
18167 ]
18168 },
18169 "CP_ME_COHER_STATUS": {
18170 "fields": [
18171 {"bits": [0, 7], "name": "MATCHING_GFX_CNTX"},
18172 {"bits": [31, 31], "name": "STATUS"}
18173 ]
18174 },
18175 "CP_ME_MC_RADDR_HI": {
18176 "fields": [
18177 {"bits": [0, 15], "name": "ME_MC_RADDR_HI"},
18178 {"bits": [22, 23], "name": "CACHE_POLICY"}
18179 ]
18180 },
18181 "CP_ME_MC_RADDR_LO": {
18182 "fields": [
18183 {"bits": [2, 31], "name": "ME_MC_RADDR_LO"}
18184 ]
18185 },
18186 "CP_ME_MC_WADDR_HI": {
18187 "fields": [
18188 {"bits": [0, 15], "name": "ME_MC_WADDR_HI"},
18189 {"bits": [22, 23], "name": "CACHE_POLICY"}
18190 ]
18191 },
18192 "CP_ME_MC_WADDR_LO": {
18193 "fields": [
18194 {"bits": [2, 31], "name": "ME_MC_WADDR_LO"}
18195 ]
18196 },
18197 "CP_ME_MC_WDATA_HI": {
18198 "fields": [
18199 {"bits": [0, 31], "name": "ME_MC_WDATA_HI"}
18200 ]
18201 },
18202 "CP_ME_MC_WDATA_LO": {
18203 "fields": [
18204 {"bits": [0, 31], "name": "ME_MC_WDATA_LO"}
18205 ]
18206 },
18207 "CP_NUM_PRIM_NEEDED_COUNT0_HI": {
18208 "fields": [
18209 {"bits": [0, 31], "name": "NUM_PRIM_NEEDED_CNT0_HI"}
18210 ]
18211 },
18212 "CP_NUM_PRIM_NEEDED_COUNT0_LO": {
18213 "fields": [
18214 {"bits": [0, 31], "name": "NUM_PRIM_NEEDED_CNT0_LO"}
18215 ]
18216 },
18217 "CP_NUM_PRIM_NEEDED_COUNT1_HI": {
18218 "fields": [
18219 {"bits": [0, 31], "name": "NUM_PRIM_NEEDED_CNT1_HI"}
18220 ]
18221 },
18222 "CP_NUM_PRIM_NEEDED_COUNT1_LO": {
18223 "fields": [
18224 {"bits": [0, 31], "name": "NUM_PRIM_NEEDED_CNT1_LO"}
18225 ]
18226 },
18227 "CP_NUM_PRIM_NEEDED_COUNT2_HI": {
18228 "fields": [
18229 {"bits": [0, 31], "name": "NUM_PRIM_NEEDED_CNT2_HI"}
18230 ]
18231 },
18232 "CP_NUM_PRIM_NEEDED_COUNT2_LO": {
18233 "fields": [
18234 {"bits": [0, 31], "name": "NUM_PRIM_NEEDED_CNT2_LO"}
18235 ]
18236 },
18237 "CP_NUM_PRIM_NEEDED_COUNT3_HI": {
18238 "fields": [
18239 {"bits": [0, 31], "name": "NUM_PRIM_NEEDED_CNT3_HI"}
18240 ]
18241 },
18242 "CP_NUM_PRIM_NEEDED_COUNT3_LO": {
18243 "fields": [
18244 {"bits": [0, 31], "name": "NUM_PRIM_NEEDED_CNT3_LO"}
18245 ]
18246 },
18247 "CP_NUM_PRIM_WRITTEN_COUNT0_HI": {
18248 "fields": [
18249 {"bits": [0, 31], "name": "NUM_PRIM_WRITTEN_CNT0_HI"}
18250 ]
18251 },
18252 "CP_NUM_PRIM_WRITTEN_COUNT0_LO": {
18253 "fields": [
18254 {"bits": [0, 31], "name": "NUM_PRIM_WRITTEN_CNT0_LO"}
18255 ]
18256 },
18257 "CP_NUM_PRIM_WRITTEN_COUNT1_HI": {
18258 "fields": [
18259 {"bits": [0, 31], "name": "NUM_PRIM_WRITTEN_CNT1_HI"}
18260 ]
18261 },
18262 "CP_NUM_PRIM_WRITTEN_COUNT1_LO": {
18263 "fields": [
18264 {"bits": [0, 31], "name": "NUM_PRIM_WRITTEN_CNT1_LO"}
18265 ]
18266 },
18267 "CP_NUM_PRIM_WRITTEN_COUNT2_HI": {
18268 "fields": [
18269 {"bits": [0, 31], "name": "NUM_PRIM_WRITTEN_CNT2_HI"}
18270 ]
18271 },
18272 "CP_NUM_PRIM_WRITTEN_COUNT2_LO": {
18273 "fields": [
18274 {"bits": [0, 31], "name": "NUM_PRIM_WRITTEN_CNT2_LO"}
18275 ]
18276 },
18277 "CP_NUM_PRIM_WRITTEN_COUNT3_HI": {
18278 "fields": [
18279 {"bits": [0, 31], "name": "NUM_PRIM_WRITTEN_CNT3_HI"}
18280 ]
18281 },
18282 "CP_NUM_PRIM_WRITTEN_COUNT3_LO": {
18283 "fields": [
18284 {"bits": [0, 31], "name": "NUM_PRIM_WRITTEN_CNT3_LO"}
18285 ]
18286 },
18287 "CP_PA_CINVOC_COUNT_HI": {
18288 "fields": [
18289 {"bits": [0, 31], "name": "CINVOC_COUNT_HI"}
18290 ]
18291 },
18292 "CP_PA_CINVOC_COUNT_LO": {
18293 "fields": [
18294 {"bits": [0, 31], "name": "CINVOC_COUNT_LO"}
18295 ]
18296 },
18297 "CP_PA_CPRIM_COUNT_HI": {
18298 "fields": [
18299 {"bits": [0, 31], "name": "CPRIM_COUNT_HI"}
18300 ]
18301 },
18302 "CP_PA_CPRIM_COUNT_LO": {
18303 "fields": [
18304 {"bits": [0, 31], "name": "CPRIM_COUNT_LO"}
18305 ]
18306 },
18307 "CP_PERFMON_CNTL": {
18308 "fields": [
18309 {"bits": [0, 3], "enum_ref": "CP_PERFMON_STATE", "name": "PERFMON_STATE"},
18310 {"bits": [4, 7], "enum_ref": "SPM_PERFMON_STATE", "name": "SPM_PERFMON_STATE"},
18311 {"bits": [8, 9], "enum_ref": "CP_PERFMON_ENABLE_MODE", "name": "PERFMON_ENABLE_MODE"},
18312 {"bits": [10, 10], "name": "PERFMON_SAMPLE_ENABLE"}
18313 ]
18314 },
18315 "CP_PERFMON_CNTX_CNTL": {
18316 "fields": [
18317 {"bits": [31, 31], "name": "PERFMON_ENABLE"}
18318 ]
18319 },
18320 "CP_PFP_ATOMIC_PREOP_HI": {
18321 "fields": [
18322 {"bits": [0, 31], "name": "ATOMIC_PREOP_HI"}
18323 ]
18324 },
18325 "CP_PFP_ATOMIC_PREOP_LO": {
18326 "fields": [
18327 {"bits": [0, 31], "name": "ATOMIC_PREOP_LO"}
18328 ]
18329 },
18330 "CP_PFP_COMPLETION_STATUS": {
18331 "fields": [
18332 {"bits": [0, 1], "name": "STATUS"}
18333 ]
18334 },
18335 "CP_PFP_GDS_ATOMIC0_PREOP_HI": {
18336 "fields": [
18337 {"bits": [0, 31], "name": "GDS_ATOMIC0_PREOP_HI"}
18338 ]
18339 },
18340 "CP_PFP_GDS_ATOMIC0_PREOP_LO": {
18341 "fields": [
18342 {"bits": [0, 31], "name": "GDS_ATOMIC0_PREOP_LO"}
18343 ]
18344 },
18345 "CP_PFP_GDS_ATOMIC1_PREOP_HI": {
18346 "fields": [
18347 {"bits": [0, 31], "name": "GDS_ATOMIC1_PREOP_HI"}
18348 ]
18349 },
18350 "CP_PFP_GDS_ATOMIC1_PREOP_LO": {
18351 "fields": [
18352 {"bits": [0, 31], "name": "GDS_ATOMIC1_PREOP_LO"}
18353 ]
18354 },
18355 "CP_PFP_IB_CONTROL": {
18356 "fields": [
18357 {"bits": [0, 7], "name": "IB_EN"}
18358 ]
18359 },
18360 "CP_PFP_LOAD_CONTROL": {
18361 "fields": [
18362 {"bits": [0, 0], "name": "CONFIG_REG_EN"},
18363 {"bits": [1, 1], "name": "CNTX_REG_EN"},
18364 {"bits": [16, 16], "name": "SH_GFX_REG_EN"},
18365 {"bits": [24, 24], "name": "SH_CS_REG_EN"}
18366 ]
18367 },
18368 "CP_PIPE_STATS_ADDR_HI": {
18369 "fields": [
18370 {"bits": [0, 15], "name": "PIPE_STATS_ADDR_HI"}
18371 ]
18372 },
18373 "CP_PIPE_STATS_ADDR_LO": {
18374 "fields": [
18375 {"bits": [2, 31], "name": "PIPE_STATS_ADDR_LO"}
18376 ]
18377 },
18378 "CP_PIPE_STATS_CONTROL": {
18379 "fields": [
18380 {"bits": [25, 26], "name": "CACHE_POLICY"}
18381 ]
18382 },
18383 "CP_PRED_NOT_VISIBLE": {
18384 "fields": [
18385 {"bits": [0, 0], "name": "NOT_VISIBLE"}
18386 ]
18387 },
18388 "CP_SAMPLE_STATUS": {
18389 "fields": [
18390 {"bits": [0, 0], "name": "Z_PASS_ACITVE"},
18391 {"bits": [1, 1], "name": "STREAMOUT_ACTIVE"},
18392 {"bits": [2, 2], "name": "PIPELINE_ACTIVE"},
18393 {"bits": [3, 3], "name": "STIPPLE_ACTIVE"},
18394 {"bits": [4, 4], "name": "VGT_BUFFERS_ACTIVE"},
18395 {"bits": [5, 5], "name": "SCREEN_EXT_ACTIVE"},
18396 {"bits": [6, 6], "name": "DRAW_INDIRECT_ACTIVE"},
18397 {"bits": [7, 7], "name": "DISP_INDIRECT_ACTIVE"}
18398 ]
18399 },
18400 "CP_SCRATCH_INDEX": {
18401 "fields": [
18402 {"bits": [0, 7], "name": "SCRATCH_INDEX"},
18403 {"bits": [31, 31], "name": "SCRATCH_INDEX_64BIT_MODE"}
18404 ]
18405 },
18406 "CP_SC_PSINVOC_COUNT0_HI": {
18407 "fields": [
18408 {"bits": [0, 31], "name": "PSINVOC_COUNT0_HI"}
18409 ]
18410 },
18411 "CP_SC_PSINVOC_COUNT0_LO": {
18412 "fields": [
18413 {"bits": [0, 31], "name": "PSINVOC_COUNT0_LO"}
18414 ]
18415 },
18416 "CP_SEM_WAIT_TIMER": {
18417 "fields": [
18418 {"bits": [0, 31], "name": "SEM_WAIT_TIMER"}
18419 ]
18420 },
18421 "CP_SIG_SEM_ADDR_HI": {
18422 "fields": [
18423 {"bits": [0, 15], "name": "SEM_ADDR_HI"},
18424 {"bits": [16, 16], "name": "SEM_USE_MAILBOX"},
18425 {"bits": [20, 20], "name": "SEM_SIGNAL_TYPE"},
18426 {"bits": [24, 25], "name": "SEM_CLIENT_CODE"},
18427 {"bits": [29, 31], "name": "SEM_SELECT"}
18428 ]
18429 },
18430 "CP_SIG_SEM_ADDR_LO": {
18431 "fields": [
18432 {"bits": [0, 1], "name": "SEM_ADDR_SWAP"},
18433 {"bits": [3, 31], "name": "SEM_ADDR_LO"}
18434 ]
18435 },
18436 "CP_STREAM_OUT_ADDR_HI": {
18437 "fields": [
18438 {"bits": [0, 15], "name": "STREAM_OUT_ADDR_HI"}
18439 ]
18440 },
18441 "CP_STREAM_OUT_ADDR_LO": {
18442 "fields": [
18443 {"bits": [2, 31], "name": "STREAM_OUT_ADDR_LO"}
18444 ]
18445 },
18446 "CP_STRMOUT_CNTL": {
18447 "fields": [
18448 {"bits": [0, 0], "name": "OFFSET_UPDATE_DONE"}
18449 ]
18450 },
18451 "CP_ST_BASE_HI": {
18452 "fields": [
18453 {"bits": [0, 15], "name": "ST_BASE_HI"}
18454 ]
18455 },
18456 "CP_ST_BASE_LO": {
18457 "fields": [
18458 {"bits": [2, 31], "name": "ST_BASE_LO"}
18459 ]
18460 },
18461 "CP_ST_BUFSZ": {
18462 "fields": [
18463 {"bits": [0, 19], "name": "ST_BUFSZ"}
18464 ]
18465 },
18466 "CP_ST_CMD_BUFSZ": {
18467 "fields": [
18468 {"bits": [0, 19], "name": "ST_CMD_REQSZ"}
18469 ]
18470 },
18471 "CP_VGT_CSINVOC_COUNT_HI": {
18472 "fields": [
18473 {"bits": [0, 31], "name": "CSINVOC_COUNT_HI"}
18474 ]
18475 },
18476 "CP_VGT_CSINVOC_COUNT_LO": {
18477 "fields": [
18478 {"bits": [0, 31], "name": "CSINVOC_COUNT_LO"}
18479 ]
18480 },
18481 "CP_VGT_DSINVOC_COUNT_HI": {
18482 "fields": [
18483 {"bits": [0, 31], "name": "DSINVOC_COUNT_HI"}
18484 ]
18485 },
18486 "CP_VGT_DSINVOC_COUNT_LO": {
18487 "fields": [
18488 {"bits": [0, 31], "name": "DSINVOC_COUNT_LO"}
18489 ]
18490 },
18491 "CP_VGT_GSINVOC_COUNT_HI": {
18492 "fields": [
18493 {"bits": [0, 31], "name": "GSINVOC_COUNT_HI"}
18494 ]
18495 },
18496 "CP_VGT_GSINVOC_COUNT_LO": {
18497 "fields": [
18498 {"bits": [0, 31], "name": "GSINVOC_COUNT_LO"}
18499 ]
18500 },
18501 "CP_VGT_GSPRIM_COUNT_HI": {
18502 "fields": [
18503 {"bits": [0, 31], "name": "GSPRIM_COUNT_HI"}
18504 ]
18505 },
18506 "CP_VGT_GSPRIM_COUNT_LO": {
18507 "fields": [
18508 {"bits": [0, 31], "name": "GSPRIM_COUNT_LO"}
18509 ]
18510 },
18511 "CP_VGT_HSINVOC_COUNT_HI": {
18512 "fields": [
18513 {"bits": [0, 31], "name": "HSINVOC_COUNT_HI"}
18514 ]
18515 },
18516 "CP_VGT_HSINVOC_COUNT_LO": {
18517 "fields": [
18518 {"bits": [0, 31], "name": "HSINVOC_COUNT_LO"}
18519 ]
18520 },
18521 "CP_VGT_IAPRIM_COUNT_HI": {
18522 "fields": [
18523 {"bits": [0, 31], "name": "IAPRIM_COUNT_HI"}
18524 ]
18525 },
18526 "CP_VGT_IAPRIM_COUNT_LO": {
18527 "fields": [
18528 {"bits": [0, 31], "name": "IAPRIM_COUNT_LO"}
18529 ]
18530 },
18531 "CP_VGT_IAVERT_COUNT_HI": {
18532 "fields": [
18533 {"bits": [0, 31], "name": "IAVERT_COUNT_HI"}
18534 ]
18535 },
18536 "CP_VGT_IAVERT_COUNT_LO": {
18537 "fields": [
18538 {"bits": [0, 31], "name": "IAVERT_COUNT_LO"}
18539 ]
18540 },
18541 "CP_VGT_VSINVOC_COUNT_HI": {
18542 "fields": [
18543 {"bits": [0, 31], "name": "VSINVOC_COUNT_HI"}
18544 ]
18545 },
18546 "CP_VGT_VSINVOC_COUNT_LO": {
18547 "fields": [
18548 {"bits": [0, 31], "name": "VSINVOC_COUNT_LO"}
18549 ]
18550 },
18551 "CP_WAIT_REG_MEM_TIMEOUT": {
18552 "fields": [
18553 {"bits": [0, 31], "name": "WAIT_REG_MEM_TIMEOUT"}
18554 ]
18555 },
18556 "CS_COPY_STATE": {
18557 "fields": [
18558 {"bits": [0, 2], "name": "SRC_STATE_ID"}
18559 ]
18560 },
18561 "DB_ALPHA_TO_MASK": {
18562 "fields": [
18563 {"bits": [0, 0], "name": "ALPHA_TO_MASK_ENABLE"},
18564 {"bits": [8, 9], "name": "ALPHA_TO_MASK_OFFSET0"},
18565 {"bits": [10, 11], "name": "ALPHA_TO_MASK_OFFSET1"},
18566 {"bits": [12, 13], "name": "ALPHA_TO_MASK_OFFSET2"},
18567 {"bits": [14, 15], "name": "ALPHA_TO_MASK_OFFSET3"},
18568 {"bits": [16, 16], "name": "OFFSET_ROUND"}
18569 ]
18570 },
18571 "DB_COUNT_CONTROL": {
18572 "fields": [
18573 {"bits": [0, 0], "name": "ZPASS_INCREMENT_DISABLE"},
18574 {"bits": [1, 1], "name": "PERFECT_ZPASS_COUNTS"},
18575 {"bits": [2, 2], "name": "DISABLE_CONSERVATIVE_ZPASS_COUNTS"},
18576 {"bits": [3, 3], "name": "ENHANCED_CONSERVATIVE_ZPASS_COUNTS"},
18577 {"bits": [4, 6], "name": "SAMPLE_RATE"},
18578 {"bits": [8, 11], "name": "ZPASS_ENABLE"},
18579 {"bits": [12, 15], "name": "ZFAIL_ENABLE"},
18580 {"bits": [16, 19], "name": "SFAIL_ENABLE"},
18581 {"bits": [20, 23], "name": "DBFAIL_ENABLE"},
18582 {"bits": [24, 27], "name": "SLICE_EVEN_ENABLE"},
18583 {"bits": [28, 31], "name": "SLICE_ODD_ENABLE"}
18584 ]
18585 },
18586 "DB_DEPTH_BOUNDS_MAX": {
18587 "fields": [
18588 {"bits": [0, 31], "name": "MAX"}
18589 ]
18590 },
18591 "DB_DEPTH_BOUNDS_MIN": {
18592 "fields": [
18593 {"bits": [0, 31], "name": "MIN"}
18594 ]
18595 },
18596 "DB_DEPTH_CLEAR": {
18597 "fields": [
18598 {"bits": [0, 31], "name": "DEPTH_CLEAR"}
18599 ]
18600 },
18601 "DB_DEPTH_CONTROL": {
18602 "fields": [
18603 {"bits": [0, 0], "name": "STENCIL_ENABLE"},
18604 {"bits": [1, 1], "name": "Z_ENABLE"},
18605 {"bits": [2, 2], "name": "Z_WRITE_ENABLE"},
18606 {"bits": [3, 3], "name": "DEPTH_BOUNDS_ENABLE"},
18607 {"bits": [4, 6], "enum_ref": "CompareFrag", "name": "ZFUNC"},
18608 {"bits": [7, 7], "name": "BACKFACE_ENABLE"},
18609 {"bits": [8, 10], "name": "STENCILFUNC"},
18610 {"bits": [20, 22], "name": "STENCILFUNC_BF"},
18611 {"bits": [30, 30], "name": "ENABLE_COLOR_WRITES_ON_DEPTH_FAIL"},
18612 {"bits": [31, 31], "name": "DISABLE_COLOR_WRITES_ON_DEPTH_PASS"}
18613 ]
18614 },
18615 "DB_DEPTH_INFO": {
18616 "fields": [
18617 {"bits": [0, 3], "name": "ADDR5_SWIZZLE_MASK"},
18618 {"bits": [4, 7], "name": "ARRAY_MODE"},
18619 {"bits": [8, 12], "name": "PIPE_CONFIG"},
18620 {"bits": [13, 14], "name": "BANK_WIDTH"},
18621 {"bits": [15, 16], "name": "BANK_HEIGHT"},
18622 {"bits": [17, 18], "name": "MACRO_TILE_ASPECT"},
18623 {"bits": [19, 20], "name": "NUM_BANKS"},
18624 {"bits": [28, 31], "name": "RESOURCE_LEVEL"}
18625 ]
18626 },
18627 "DB_DEPTH_SIZE_XY": {
18628 "fields": [
18629 {"bits": [0, 13], "name": "X_MAX"},
18630 {"bits": [16, 29], "name": "Y_MAX"}
18631 ]
18632 },
18633 "DB_DEPTH_VIEW": {
18634 "fields": [
18635 {"bits": [0, 10], "name": "SLICE_START"},
18636 {"bits": [11, 12], "name": "SLICE_START_HI"},
18637 {"bits": [13, 23], "name": "SLICE_MAX"},
18638 {"bits": [24, 24], "name": "Z_READ_ONLY"},
18639 {"bits": [25, 25], "name": "STENCIL_READ_ONLY"},
18640 {"bits": [26, 29], "name": "MIPID"},
18641 {"bits": [30, 31], "name": "SLICE_MAX_HI"}
18642 ]
18643 },
18644 "DB_DFSM_CONTROL": {
18645 "fields": [
18646 {"bits": [0, 1], "name": "PUNCHOUT_MODE"},
18647 {"bits": [2, 2], "name": "POPS_DRAIN_PS_ON_OVERLAP"},
18648 {"bits": [3, 3], "name": "DISALLOW_OVERFLOW"}
18649 ]
18650 },
18651 "DB_EQAA": {
18652 "fields": [
18653 {"bits": [0, 2], "name": "MAX_ANCHOR_SAMPLES"},
18654 {"bits": [4, 6], "name": "PS_ITER_SAMPLES"},
18655 {"bits": [8, 10], "name": "MASK_EXPORT_NUM_SAMPLES"},
18656 {"bits": [12, 14], "name": "ALPHA_TO_MASK_NUM_SAMPLES"},
18657 {"bits": [16, 16], "name": "HIGH_QUALITY_INTERSECTIONS"},
18658 {"bits": [17, 17], "name": "INCOHERENT_EQAA_READS"},
18659 {"bits": [18, 18], "name": "INTERPOLATE_COMP_Z"},
18660 {"bits": [19, 19], "name": "INTERPOLATE_SRC_Z"},
18661 {"bits": [20, 20], "name": "STATIC_ANCHOR_ASSOCIATIONS"},
18662 {"bits": [21, 21], "name": "ALPHA_TO_MASK_EQAA_DISABLE"},
18663 {"bits": [24, 26], "name": "OVERRASTERIZATION_AMOUNT"},
18664 {"bits": [27, 27], "name": "ENABLE_POSTZ_OVERRASTERIZATION"}
18665 ]
18666 },
18667 "DB_HTILE_SURFACE": {
18668 "fields": [
18669 {"bits": [0, 0], "name": "LINEAR"},
18670 {"bits": [1, 1], "name": "FULL_CACHE"},
18671 {"bits": [2, 2], "name": "HTILE_USES_PRELOAD_WIN"},
18672 {"bits": [3, 3], "name": "PRELOAD"},
18673 {"bits": [4, 9], "name": "PREFETCH_WIDTH"},
18674 {"bits": [10, 15], "name": "PREFETCH_HEIGHT"},
18675 {"bits": [16, 16], "name": "DST_OUTSIDE_ZERO_TO_ONE"},
18676 {"bits": [17, 17], "name": "TC_COMPATIBLE"},
18677 {"bits": [18, 18], "name": "PIPE_ALIGNED"}
18678 ]
18679 },
18680 "DB_PERFCOUNTER0_SELECT": {
18681 "fields": [
18682 {"bits": [0, 9], "enum_ref": "PerfCounter_Vals", "name": "PERF_SEL"},
18683 {"bits": [10, 19], "enum_ref": "PerfCounter_Vals", "name": "PERF_SEL1"},
18684 {"bits": [20, 23], "name": "CNTR_MODE"},
18685 {"bits": [24, 27], "name": "PERF_MODE1"},
18686 {"bits": [28, 31], "name": "PERF_MODE"}
18687 ]
18688 },
18689 "DB_PERFCOUNTER0_SELECT1": {
18690 "fields": [
18691 {"bits": [0, 9], "enum_ref": "PerfCounter_Vals", "name": "PERF_SEL2"},
18692 {"bits": [10, 19], "enum_ref": "PerfCounter_Vals", "name": "PERF_SEL3"},
18693 {"bits": [24, 27], "name": "PERF_MODE3"},
18694 {"bits": [28, 31], "name": "PERF_MODE2"}
18695 ]
18696 },
18697 "DB_PRELOAD_CONTROL": {
18698 "fields": [
18699 {"bits": [0, 7], "name": "START_X"},
18700 {"bits": [8, 15], "name": "START_Y"},
18701 {"bits": [16, 23], "name": "MAX_X"},
18702 {"bits": [24, 31], "name": "MAX_Y"}
18703 ]
18704 },
18705 "DB_RENDER_CONTROL": {
18706 "fields": [
18707 {"bits": [0, 0], "name": "DEPTH_CLEAR_ENABLE"},
18708 {"bits": [1, 1], "name": "STENCIL_CLEAR_ENABLE"},
18709 {"bits": [2, 2], "name": "DEPTH_COPY"},
18710 {"bits": [3, 3], "name": "STENCIL_COPY"},
18711 {"bits": [4, 4], "name": "RESUMMARIZE_ENABLE"},
18712 {"bits": [5, 5], "name": "STENCIL_COMPRESS_DISABLE"},
18713 {"bits": [6, 6], "name": "DEPTH_COMPRESS_DISABLE"},
18714 {"bits": [7, 7], "name": "COPY_CENTROID"},
18715 {"bits": [8, 11], "name": "COPY_SAMPLE"},
18716 {"bits": [12, 12], "name": "DECOMPRESS_ENABLE"}
18717 ]
18718 },
18719 "DB_RENDER_OVERRIDE": {
18720 "fields": [
18721 {"bits": [0, 1], "enum_ref": "ForceControl", "name": "FORCE_HIZ_ENABLE"},
18722 {"bits": [2, 3], "enum_ref": "ForceControl", "name": "FORCE_HIS_ENABLE0"},
18723 {"bits": [4, 5], "enum_ref": "ForceControl", "name": "FORCE_HIS_ENABLE1"},
18724 {"bits": [6, 6], "name": "FORCE_SHADER_Z_ORDER"},
18725 {"bits": [7, 7], "name": "FAST_Z_DISABLE"},
18726 {"bits": [8, 8], "name": "FAST_STENCIL_DISABLE"},
18727 {"bits": [9, 9], "name": "NOOP_CULL_DISABLE"},
18728 {"bits": [10, 10], "name": "FORCE_COLOR_KILL"},
18729 {"bits": [11, 11], "name": "FORCE_Z_READ"},
18730 {"bits": [12, 12], "name": "FORCE_STENCIL_READ"},
18731 {"bits": [13, 14], "enum_ref": "ForceControl", "name": "FORCE_FULL_Z_RANGE"},
18732 {"bits": [15, 15], "name": "FORCE_QC_SMASK_CONFLICT"},
18733 {"bits": [16, 16], "name": "DISABLE_VIEWPORT_CLAMP"},
18734 {"bits": [17, 17], "name": "IGNORE_SC_ZRANGE"},
18735 {"bits": [18, 18], "name": "DISABLE_FULLY_COVERED"},
18736 {"bits": [19, 20], "enum_ref": "ZLimitSumm", "name": "FORCE_Z_LIMIT_SUMM"},
18737 {"bits": [21, 25], "name": "MAX_TILES_IN_DTT"},
18738 {"bits": [26, 26], "name": "DISABLE_TILE_RATE_TILES"},
18739 {"bits": [27, 27], "name": "FORCE_Z_DIRTY"},
18740 {"bits": [28, 28], "name": "FORCE_STENCIL_DIRTY"},
18741 {"bits": [29, 29], "name": "FORCE_Z_VALID"},
18742 {"bits": [30, 30], "name": "FORCE_STENCIL_VALID"},
18743 {"bits": [31, 31], "name": "PRESERVE_COMPRESSION"}
18744 ]
18745 },
18746 "DB_RENDER_OVERRIDE2": {
18747 "fields": [
18748 {"bits": [0, 1], "enum_ref": "DbPSLControl", "name": "PARTIAL_SQUAD_LAUNCH_CONTROL"},
18749 {"bits": [2, 4], "name": "PARTIAL_SQUAD_LAUNCH_COUNTDOWN"},
18750 {"bits": [5, 5], "name": "DISABLE_ZMASK_EXPCLEAR_OPTIMIZATION"},
18751 {"bits": [6, 6], "name": "DISABLE_SMEM_EXPCLEAR_OPTIMIZATION"},
18752 {"bits": [7, 7], "name": "DISABLE_COLOR_ON_VALIDATION"},
18753 {"bits": [8, 8], "name": "DECOMPRESS_Z_ON_FLUSH"},
18754 {"bits": [9, 9], "name": "DISABLE_REG_SNOOP"},
18755 {"bits": [10, 10], "name": "DEPTH_BOUNDS_HIER_DEPTH_DISABLE"},
18756 {"bits": [11, 11], "name": "SEPARATE_HIZS_FUNC_ENABLE"},
18757 {"bits": [12, 14], "enum_ref": "CompareFrag", "name": "HIZ_ZFUNC"},
18758 {"bits": [15, 17], "name": "HIS_SFUNC_FF"},
18759 {"bits": [18, 20], "name": "HIS_SFUNC_BF"},
18760 {"bits": [21, 21], "name": "PRESERVE_ZRANGE"},
18761 {"bits": [22, 22], "name": "PRESERVE_SRESULTS"},
18762 {"bits": [23, 23], "name": "DISABLE_FAST_PASS"},
18763 {"bits": [25, 25], "name": "ALLOW_PARTIAL_RES_HIER_KILL"},
18764 {"bits": [27, 28], "name": "CENTROID_COMPUTATION_MODE_GFX103"}
18765 ]
18766 },
18767 "DB_RMI_L2_CACHE_CONTROL": {
18768 "fields": [
18769 {"bits": [0, 1], "enum_ref": "WritePolicy", "name": "Z_WR_POLICY"},
18770 {"bits": [2, 3], "enum_ref": "WritePolicy", "name": "S_WR_POLICY"},
18771 {"bits": [4, 5], "enum_ref": "WritePolicy", "name": "HTILE_WR_POLICY"},
18772 {"bits": [6, 7], "enum_ref": "WritePolicy", "name": "ZPCPSD_WR_POLICY"},
18773 {"bits": [16, 17], "enum_ref": "ReadPolicy", "name": "Z_RD_POLICY"},
18774 {"bits": [18, 19], "enum_ref": "ReadPolicy", "name": "S_RD_POLICY"},
18775 {"bits": [20, 21], "enum_ref": "ReadPolicy", "name": "HTILE_RD_POLICY"},
18776 {"bits": [24, 24], "name": "Z_BIG_PAGE"},
18777 {"bits": [25, 25], "name": "S_BIG_PAGE"}
18778 ]
18779 },
18780 "DB_SHADER_CONTROL": {
18781 "fields": [
18782 {"bits": [0, 0], "name": "Z_EXPORT_ENABLE"},
18783 {"bits": [1, 1], "name": "STENCIL_TEST_VAL_EXPORT_ENABLE"},
18784 {"bits": [2, 2], "name": "STENCIL_OP_VAL_EXPORT_ENABLE"},
18785 {"bits": [4, 5], "enum_ref": "ZOrder", "name": "Z_ORDER"},
18786 {"bits": [6, 6], "name": "KILL_ENABLE"},
18787 {"bits": [7, 7], "name": "COVERAGE_TO_MASK_ENABLE"},
18788 {"bits": [8, 8], "name": "MASK_EXPORT_ENABLE"},
18789 {"bits": [9, 9], "name": "EXEC_ON_HIER_FAIL"},
18790 {"bits": [10, 10], "name": "EXEC_ON_NOOP"},
18791 {"bits": [11, 11], "name": "ALPHA_TO_MASK_DISABLE"},
18792 {"bits": [12, 12], "name": "DEPTH_BEFORE_SHADER"},
18793 {"bits": [13, 14], "enum_ref": "ConservativeZExport", "name": "CONSERVATIVE_Z_EXPORT"},
18794 {"bits": [15, 15], "name": "DUAL_QUAD_DISABLE"},
18795 {"bits": [16, 16], "name": "PRIMITIVE_ORDERED_PIXEL_SHADER"},
18796 {"bits": [17, 17], "name": "EXEC_IF_OVERLAPPED"},
18797 {"bits": [20, 22], "name": "POPS_OVERLAP_NUM_SAMPLES"},
18798 {"bits": [23, 23], "name": "PRE_SHADER_DEPTH_COVERAGE_ENABLE"}
18799 ]
18800 },
18801 "DB_SRESULTS_COMPARE_STATE0": {
18802 "fields": [
18803 {"bits": [0, 2], "name": "COMPAREFUNC0"},
18804 {"bits": [4, 11], "name": "COMPAREVALUE0"},
18805 {"bits": [12, 19], "name": "COMPAREMASK0"},
18806 {"bits": [24, 24], "name": "ENABLE0"}
18807 ]
18808 },
18809 "DB_SRESULTS_COMPARE_STATE1": {
18810 "fields": [
18811 {"bits": [0, 2], "name": "COMPAREFUNC1"},
18812 {"bits": [4, 11], "name": "COMPAREVALUE1"},
18813 {"bits": [12, 19], "name": "COMPAREMASK1"},
18814 {"bits": [24, 24], "name": "ENABLE1"}
18815 ]
18816 },
18817 "DB_STENCILREFMASK": {
18818 "fields": [
18819 {"bits": [0, 7], "name": "STENCILTESTVAL"},
18820 {"bits": [8, 15], "name": "STENCILMASK"},
18821 {"bits": [16, 23], "name": "STENCILWRITEMASK"},
18822 {"bits": [24, 31], "name": "STENCILOPVAL"}
18823 ]
18824 },
18825 "DB_STENCILREFMASK_BF": {
18826 "fields": [
18827 {"bits": [0, 7], "name": "STENCILTESTVAL_BF"},
18828 {"bits": [8, 15], "name": "STENCILMASK_BF"},
18829 {"bits": [16, 23], "name": "STENCILWRITEMASK_BF"},
18830 {"bits": [24, 31], "name": "STENCILOPVAL_BF"}
18831 ]
18832 },
18833 "DB_STENCIL_CLEAR": {
18834 "fields": [
18835 {"bits": [0, 7], "name": "CLEAR"}
18836 ]
18837 },
18838 "DB_STENCIL_CONTROL": {
18839 "fields": [
18840 {"bits": [0, 3], "enum_ref": "StencilOp", "name": "STENCILFAIL"},
18841 {"bits": [4, 7], "enum_ref": "StencilOp", "name": "STENCILZPASS"},
18842 {"bits": [8, 11], "enum_ref": "StencilOp", "name": "STENCILZFAIL"},
18843 {"bits": [12, 15], "enum_ref": "StencilOp", "name": "STENCILFAIL_BF"},
18844 {"bits": [16, 19], "enum_ref": "StencilOp", "name": "STENCILZPASS_BF"},
18845 {"bits": [20, 23], "enum_ref": "StencilOp", "name": "STENCILZFAIL_BF"}
18846 ]
18847 },
18848 "DB_STENCIL_INFO": {
18849 "fields": [
18850 {"bits": [0, 0], "name": "FORMAT"},
18851 {"bits": [4, 8], "name": "SW_MODE"},
18852 {"bits": [9, 10], "enum_ref": "DbPRTFaultBehavior", "name": "FAULT_BEHAVIOR"},
18853 {"bits": [11, 11], "name": "ITERATE_FLUSH"},
18854 {"bits": [12, 12], "name": "PARTIALLY_RESIDENT"},
18855 {"bits": [13, 15], "name": "TILE_SPLIT"},
18856 {"bits": [20, 20], "name": "ITERATE_256"},
18857 {"bits": [27, 27], "name": "ALLOW_EXPCLEAR"},
18858 {"bits": [29, 29], "name": "TILE_STENCIL_DISABLE"}
18859 ]
18860 },
18861 "DB_ZPASS_COUNT_HI": {
18862 "fields": [
18863 {"bits": [0, 30], "name": "COUNT_HI"}
18864 ]
18865 },
18866 "DB_ZPASS_COUNT_LOW": {
18867 "fields": [
18868 {"bits": [0, 31], "name": "COUNT_LOW"}
18869 ]
18870 },
18871 "DB_Z_INFO": {
18872 "fields": [
18873 {"bits": [0, 1], "name": "FORMAT"},
18874 {"bits": [2, 3], "name": "NUM_SAMPLES"},
18875 {"bits": [4, 8], "name": "SW_MODE"},
18876 {"bits": [9, 10], "enum_ref": "DbPRTFaultBehavior", "name": "FAULT_BEHAVIOR"},
18877 {"bits": [11, 11], "name": "ITERATE_FLUSH"},
18878 {"bits": [12, 12], "name": "PARTIALLY_RESIDENT"},
18879 {"bits": [13, 15], "name": "TILE_SPLIT"},
18880 {"bits": [16, 19], "name": "MAXMIP"},
18881 {"bits": [20, 20], "name": "ITERATE_256"},
18882 {"bits": [23, 26], "name": "DECOMPRESS_ON_N_ZPLANES"},
18883 {"bits": [27, 27], "name": "ALLOW_EXPCLEAR"},
18884 {"bits": [28, 28], "name": "READ_SIZE"},
18885 {"bits": [29, 29], "name": "TILE_SURFACE_ENABLE"},
18886 {"bits": [31, 31], "name": "ZRANGE_PRECISION"}
18887 ]
18888 },
18889 "DB_Z_READ_BASE_HI": {
18890 "fields": [
18891 {"bits": [0, 7], "name": "BASE_HI"}
18892 ]
18893 },
18894 "GCR_PERFCOUNTER0_SELECT": {
18895 "fields": [
18896 {"bits": [0, 8], "enum_ref": "GCRPerfSel", "name": "PERF_SEL"},
18897 {"bits": [10, 18], "enum_ref": "GCRPerfSel", "name": "PERF_SEL1"},
18898 {"bits": [20, 23], "name": "CNTR_MODE"},
18899 {"bits": [24, 27], "name": "PERF_MODE1"},
18900 {"bits": [28, 31], "name": "PERF_MODE"}
18901 ]
18902 },
18903 "GCR_PERFCOUNTER0_SELECT1": {
18904 "fields": [
18905 {"bits": [0, 8], "enum_ref": "GCRPerfSel", "name": "PERF_SEL2"},
18906 {"bits": [10, 18], "enum_ref": "GCRPerfSel", "name": "PERF_SEL3"},
18907 {"bits": [24, 27], "name": "PERF_MODE3"},
18908 {"bits": [28, 31], "name": "PERF_MODE2"}
18909 ]
18910 },
18911 "GCR_PERFCOUNTER1_SELECT": {
18912 "fields": [
18913 {"bits": [0, 8], "enum_ref": "GCRPerfSel", "name": "PERF_SEL"},
18914 {"bits": [24, 27], "name": "PERF_MODE"},
18915 {"bits": [28, 31], "name": "CNTL_MODE"}
18916 ]
18917 },
18918 "GDS_ATOM_BASE": {
18919 "fields": [
18920 {"bits": [0, 15], "name": "BASE"},
18921 {"bits": [16, 31], "name": "UNUSED"}
18922 ]
18923 },
18924 "GDS_ATOM_CNTL": {
18925 "fields": [
18926 {"bits": [0, 5], "name": "AINC"},
18927 {"bits": [6, 7], "name": "UNUSED1"},
18928 {"bits": [8, 9], "name": "DMODE"},
18929 {"bits": [10, 31], "name": "UNUSED2"}
18930 ]
18931 },
18932 "GDS_ATOM_COMPLETE": {
18933 "fields": [
18934 {"bits": [0, 0], "name": "COMPLETE"},
18935 {"bits": [1, 31], "name": "UNUSED"}
18936 ]
18937 },
18938 "GDS_ATOM_DST": {
18939 "fields": [
18940 {"bits": [0, 31], "name": "DST"}
18941 ]
18942 },
18943 "GDS_ATOM_OFFSET0": {
18944 "fields": [
18945 {"bits": [0, 7], "name": "OFFSET0"},
18946 {"bits": [8, 31], "name": "UNUSED"}
18947 ]
18948 },
18949 "GDS_ATOM_OFFSET1": {
18950 "fields": [
18951 {"bits": [0, 7], "name": "OFFSET1"},
18952 {"bits": [8, 31], "name": "UNUSED"}
18953 ]
18954 },
18955 "GDS_ATOM_OP": {
18956 "fields": [
18957 {"bits": [0, 7], "name": "OP"},
18958 {"bits": [8, 31], "name": "UNUSED"}
18959 ]
18960 },
18961 "GDS_ATOM_SIZE": {
18962 "fields": [
18963 {"bits": [0, 15], "name": "SIZE"},
18964 {"bits": [16, 31], "name": "UNUSED"}
18965 ]
18966 },
18967 "GDS_GWS_RESOURCE": {
18968 "fields": [
18969 {"bits": [0, 0], "name": "FLAG"},
18970 {"bits": [1, 12], "name": "COUNTER"},
18971 {"bits": [13, 13], "name": "TYPE"},
18972 {"bits": [14, 14], "name": "DED"},
18973 {"bits": [15, 15], "name": "RELEASE_ALL"},
18974 {"bits": [16, 26], "name": "HEAD_QUEUE"},
18975 {"bits": [27, 27], "name": "HEAD_VALID"},
18976 {"bits": [28, 28], "name": "HEAD_FLAG"},
18977 {"bits": [29, 29], "name": "HALTED"},
18978 {"bits": [30, 31], "name": "UNUSED1"}
18979 ]
18980 },
18981 "GDS_GWS_RESOURCE_CNT": {
18982 "fields": [
18983 {"bits": [0, 15], "name": "RESOURCE_CNT"},
18984 {"bits": [16, 31], "name": "UNUSED"}
18985 ]
18986 },
18987 "GDS_GWS_RESOURCE_CNTL": {
18988 "fields": [
18989 {"bits": [0, 5], "name": "INDEX"},
18990 {"bits": [6, 31], "name": "UNUSED"}
18991 ]
18992 },
18993 "GDS_OA_ADDRESS": {
18994 "fields": [
18995 {"bits": [0, 15], "name": "DS_ADDRESS"},
18996 {"bits": [16, 19], "name": "CRAWLER_TYPE"},
18997 {"bits": [20, 23], "name": "CRAWLER"},
18998 {"bits": [24, 29], "name": "UNUSED"},
18999 {"bits": [30, 30], "name": "NO_ALLOC"},
19000 {"bits": [31, 31], "name": "ENABLE"}
19001 ]
19002 },
19003 "GDS_OA_CNTL": {
19004 "fields": [
19005 {"bits": [0, 3], "name": "INDEX"},
19006 {"bits": [4, 31], "name": "UNUSED"}
19007 ]
19008 },
19009 "GDS_OA_COUNTER": {
19010 "fields": [
19011 {"bits": [0, 31], "name": "SPACE_AVAILABLE"}
19012 ]
19013 },
19014 "GDS_OA_INCDEC": {
19015 "fields": [
19016 {"bits": [0, 30], "name": "VALUE"},
19017 {"bits": [31, 31], "name": "INCDEC"}
19018 ]
19019 },
19020 "GDS_OA_RING_SIZE": {
19021 "fields": [
19022 {"bits": [0, 31], "name": "RING_SIZE"}
19023 ]
19024 },
19025 "GDS_RD_ADDR": {
19026 "fields": [
19027 {"bits": [0, 31], "name": "READ_ADDR"}
19028 ]
19029 },
19030 "GDS_RD_BURST_ADDR": {
19031 "fields": [
19032 {"bits": [0, 31], "name": "BURST_ADDR"}
19033 ]
19034 },
19035 "GDS_RD_BURST_COUNT": {
19036 "fields": [
19037 {"bits": [0, 31], "name": "BURST_COUNT"}
19038 ]
19039 },
19040 "GDS_RD_BURST_DATA": {
19041 "fields": [
19042 {"bits": [0, 31], "name": "BURST_DATA"}
19043 ]
19044 },
19045 "GDS_RD_DATA": {
19046 "fields": [
19047 {"bits": [0, 31], "name": "READ_DATA"}
19048 ]
19049 },
19050 "GDS_WRITE_COMPLETE": {
19051 "fields": [
19052 {"bits": [0, 31], "name": "WRITE_COMPLETE"}
19053 ]
19054 },
19055 "GDS_WR_ADDR": {
19056 "fields": [
19057 {"bits": [0, 31], "name": "WRITE_ADDR"}
19058 ]
19059 },
19060 "GDS_WR_DATA": {
19061 "fields": [
19062 {"bits": [0, 31], "name": "WRITE_DATA"}
19063 ]
19064 },
19065 "GE_CNTL": {
19066 "fields": [
19067 {"bits": [0, 8], "name": "PRIM_GRP_SIZE"},
19068 {"bits": [9, 17], "name": "VERT_GRP_SIZE"},
19069 {"bits": [18, 18], "name": "BREAK_WAVE_AT_EOI"},
19070 {"bits": [19, 19], "name": "PACKET_TO_ONE_PA"}
19071 ]
19072 },
19073 "GE_USER_VGPR_EN": {
19074 "fields": [
19075 {"bits": [0, 0], "name": "EN_USER_VGPR1"},
19076 {"bits": [1, 1], "name": "EN_USER_VGPR2"},
19077 {"bits": [2, 2], "name": "EN_USER_VGPR3"}
19078 ]
19079 },
19080 "GE_DMA_FIRST_INDEX": {
19081 "fields": [
19082 {"bits": [0, 31], "name": "FIRST_INDEX"}
19083 ]
19084 },
19085 "GE_INDX_OFFSET": {
19086 "fields": [
19087 {"bits": [0, 31], "name": "INDX_OFFSET"}
19088 ]
19089 },
19090 "GE_MAX_OUTPUT_PER_SUBGROUP": {
19091 "fields": [
19092 {"bits": [0, 9], "name": "MAX_VERTS_PER_SUBGROUP"}
19093 ]
19094 },
19095 "GE_MAX_VTX_INDX": {
19096 "fields": [
19097 {"bits": [0, 31], "name": "MAX_INDX"}
19098 ]
19099 },
19100 "GE_MIN_VTX_INDX": {
19101 "fields": [
19102 {"bits": [0, 31], "name": "MIN_INDX"}
19103 ]
19104 },
19105 "GE_MULTI_PRIM_IB_RESET_EN": {
19106 "fields": [
19107 {"bits": [0, 0], "name": "RESET_EN"},
19108 {"bits": [1, 1], "name": "MATCH_ALL_BITS"}
19109 ]
19110 },
19111 "GE_NGG_SUBGRP_CNTL": {
19112 "fields": [
19113 {"bits": [0, 8], "name": "PRIM_AMP_FACTOR"},
19114 {"bits": [9, 17], "name": "THDS_PER_SUBGRP"}
19115 ]
19116 },
19117 "GE_PC_ALLOC": {
19118 "fields": [
19119 {"bits": [0, 0], "name": "OVERSUB_EN"},
19120 {"bits": [1, 10], "name": "NUM_PC_LINES"}
19121 ]
19122 },
19123 "GE_PERFCOUNTER0_SELECT": {
19124 "fields": [
19125 {"bits": [0, 9], "enum_ref": "GE_PERFCOUNT_SELECT", "name": "PERF_SEL0"},
19126 {"bits": [10, 19], "enum_ref": "GE_PERFCOUNT_SELECT", "name": "PERF_SEL1"},
19127 {"bits": [20, 23], "name": "CNTR_MODE"},
19128 {"bits": [24, 27], "name": "PERF_MODE0"},
19129 {"bits": [28, 31], "name": "PERF_MODE1"}
19130 ]
19131 },
19132 "GE_PERFCOUNTER0_SELECT1": {
19133 "fields": [
19134 {"bits": [0, 9], "enum_ref": "GE_PERFCOUNT_SELECT", "name": "PERF_SEL2"},
19135 {"bits": [10, 19], "enum_ref": "GE_PERFCOUNT_SELECT", "name": "PERF_SEL3"},
19136 {"bits": [24, 27], "name": "PERF_MODE2"},
19137 {"bits": [28, 31], "name": "PERF_MODE3"}
19138 ]
19139 },
19140 "GE_PERFCOUNTER4_SELECT": {
19141 "fields": [
19142 {"bits": [0, 9], "enum_ref": "GE_PERFCOUNT_SELECT", "name": "PERF_SEL0"},
19143 {"bits": [28, 31], "name": "PERF_MODE"}
19144 ]
19145 },
19146 "GE_STEREO_CNTL": {
19147 "fields": [
19148 {"bits": [0, 2], "name": "RT_SLICE"},
19149 {"bits": [3, 6], "name": "VIEWPORT"},
19150 {"bits": [8, 8], "name": "EN_STEREO"}
19151 ]
19152 },
19153 "GL1A_PERFCOUNTER0_SELECT": {
19154 "fields": [
19155 {"bits": [0, 9], "enum_ref": "GL1A_PERF_SEL", "name": "PERF_SEL"},
19156 {"bits": [10, 19], "enum_ref": "GL1A_PERF_SEL", "name": "PERF_SEL1"},
19157 {"bits": [20, 23], "name": "CNTR_MODE"},
19158 {"bits": [24, 27], "name": "PERF_MODE1"},
19159 {"bits": [28, 31], "name": "PERF_MODE"}
19160 ]
19161 },
19162 "GL1A_PERFCOUNTER0_SELECT1": {
19163 "fields": [
19164 {"bits": [0, 9], "enum_ref": "GL1A_PERF_SEL", "name": "PERF_SEL2"},
19165 {"bits": [10, 19], "enum_ref": "GL1A_PERF_SEL", "name": "PERF_SEL3"},
19166 {"bits": [24, 27], "name": "PERF_MODE2"},
19167 {"bits": [28, 31], "name": "PERF_MODE3"}
19168 ]
19169 },
19170 "GL1A_PERFCOUNTER1_SELECT": {
19171 "fields": [
19172 {"bits": [0, 9], "enum_ref": "GL1A_PERF_SEL", "name": "PERF_SEL"},
19173 {"bits": [20, 23], "name": "CNTR_MODE"},
19174 {"bits": [28, 31], "name": "PERF_MODE"}
19175 ]
19176 },
19177 "GL1CG_PERFCOUNTER0_SELECT": {
19178 "fields": [
19179 {"bits": [0, 9], "enum_ref": "GL1CG_PERF_SEL", "name": "PERF_SEL"},
19180 {"bits": [10, 19], "enum_ref": "GL1CG_PERF_SEL", "name": "PERF_SEL1"},
19181 {"bits": [20, 23], "name": "CNTR_MODE"},
19182 {"bits": [24, 27], "name": "PERF_MODE1"},
19183 {"bits": [28, 31], "name": "PERF_MODE"}
19184 ]
19185 },
19186 "GL1CG_PERFCOUNTER0_SELECT1": {
19187 "fields": [
19188 {"bits": [0, 9], "enum_ref": "GL1CG_PERF_SEL", "name": "PERF_SEL2"},
19189 {"bits": [10, 19], "enum_ref": "GL1CG_PERF_SEL", "name": "PERF_SEL3"},
19190 {"bits": [24, 27], "name": "PERF_MODE2"},
19191 {"bits": [28, 31], "name": "PERF_MODE3"}
19192 ]
19193 },
19194 "GL1CG_PERFCOUNTER1_SELECT": {
19195 "fields": [
19196 {"bits": [0, 9], "enum_ref": "GL1CG_PERF_SEL", "name": "PERF_SEL"},
19197 {"bits": [20, 23], "name": "CNTR_MODE"},
19198 {"bits": [28, 31], "name": "PERF_MODE"}
19199 ]
19200 },
19201 "GL1C_PERFCOUNTER0_SELECT": {
19202 "fields": [
19203 {"bits": [0, 9], "enum_ref": "GL1C_PERF_SEL", "name": "PERF_SEL"},
19204 {"bits": [10, 19], "enum_ref": "GL1C_PERF_SEL", "name": "PERF_SEL1"},
19205 {"bits": [20, 23], "name": "CNTR_MODE"},
19206 {"bits": [24, 27], "name": "PERF_MODE1"},
19207 {"bits": [28, 31], "name": "PERF_MODE"}
19208 ]
19209 },
19210 "GL1C_PERFCOUNTER0_SELECT1": {
19211 "fields": [
19212 {"bits": [0, 9], "enum_ref": "GL1C_PERF_SEL", "name": "PERF_SEL2"},
19213 {"bits": [10, 19], "enum_ref": "GL1C_PERF_SEL", "name": "PERF_SEL3"},
19214 {"bits": [24, 27], "name": "PERF_MODE2"},
19215 {"bits": [28, 31], "name": "PERF_MODE3"}
19216 ]
19217 },
19218 "GL1C_PERFCOUNTER1_SELECT": {
19219 "fields": [
19220 {"bits": [0, 9], "enum_ref": "GL1C_PERF_SEL", "name": "PERF_SEL"},
19221 {"bits": [20, 23], "name": "CNTR_MODE"},
19222 {"bits": [28, 31], "name": "PERF_MODE"}
19223 ]
19224 },
19225 "GL2A_PERFCOUNTER0_SELECT": {
19226 "fields": [
19227 {"bits": [0, 9], "enum_ref": "GL2A_PERF_SEL", "name": "PERF_SEL"},
19228 {"bits": [10, 19], "enum_ref": "GL2A_PERF_SEL", "name": "PERF_SEL1"},
19229 {"bits": [20, 23], "name": "CNTR_MODE"},
19230 {"bits": [24, 27], "name": "PERF_MODE1"},
19231 {"bits": [28, 31], "name": "PERF_MODE"}
19232 ]
19233 },
19234 "GL2A_PERFCOUNTER0_SELECT1": {
19235 "fields": [
19236 {"bits": [0, 9], "enum_ref": "GL2A_PERF_SEL", "name": "PERF_SEL2"},
19237 {"bits": [10, 19], "enum_ref": "GL2A_PERF_SEL", "name": "PERF_SEL3"},
19238 {"bits": [24, 27], "name": "PERF_MODE2"},
19239 {"bits": [28, 31], "name": "PERF_MODE3"}
19240 ]
19241 },
19242 "GL2A_PERFCOUNTER2_SELECT": {
19243 "fields": [
19244 {"bits": [0, 9], "enum_ref": "GL2A_PERF_SEL", "name": "PERF_SEL"},
19245 {"bits": [20, 23], "name": "CNTR_MODE"},
19246 {"bits": [28, 31], "name": "PERF_MODE"}
19247 ]
19248 },
19249 "GL2C_PERFCOUNTER0_SELECT": {
19250 "fields": [
19251 {"bits": [0, 9], "enum_ref": "GL2C_PERF_SEL", "name": "PERF_SEL"},
19252 {"bits": [10, 19], "enum_ref": "GL2C_PERF_SEL", "name": "PERF_SEL1"},
19253 {"bits": [20, 23], "name": "CNTR_MODE"},
19254 {"bits": [24, 27], "name": "PERF_MODE1"},
19255 {"bits": [28, 31], "name": "PERF_MODE"}
19256 ]
19257 },
19258 "GL2C_PERFCOUNTER0_SELECT1": {
19259 "fields": [
19260 {"bits": [0, 9], "enum_ref": "GL2C_PERF_SEL", "name": "PERF_SEL2"},
19261 {"bits": [10, 19], "enum_ref": "GL2C_PERF_SEL", "name": "PERF_SEL3"},
19262 {"bits": [24, 27], "name": "PERF_MODE2"},
19263 {"bits": [28, 31], "name": "PERF_MODE3"}
19264 ]
19265 },
19266 "GL2C_PERFCOUNTER2_SELECT": {
19267 "fields": [
19268 {"bits": [0, 9], "enum_ref": "GL2C_PERF_SEL", "name": "PERF_SEL"},
19269 {"bits": [20, 23], "name": "CNTR_MODE"},
19270 {"bits": [28, 31], "name": "PERF_MODE"}
19271 ]
19272 },
19273 "GRBM_GFX_INDEX": {
19274 "fields": [
19275 {"bits": [0, 7], "name": "INSTANCE_INDEX"},
19276 {"bits": [8, 15], "name": "SA_INDEX"},
19277 {"bits": [16, 23], "name": "SE_INDEX"},
19278 {"bits": [29, 29], "name": "SA_BROADCAST_WRITES"},
19279 {"bits": [30, 30], "name": "INSTANCE_BROADCAST_WRITES"},
19280 {"bits": [31, 31], "name": "SE_BROADCAST_WRITES"}
19281 ]
19282 },
19283 "GRBM_PERFCOUNTER0_SELECT": {
19284 "fields": [
19285 {"bits": [0, 5], "enum_ref": "GRBM_PERF_SEL", "name": "PERF_SEL"},
19286 {"bits": [10, 10], "name": "DB_CLEAN_USER_DEFINED_MASK"},
19287 {"bits": [11, 11], "name": "CB_CLEAN_USER_DEFINED_MASK"},
19288 {"bits": [13, 13], "name": "TA_BUSY_USER_DEFINED_MASK"},
19289 {"bits": [14, 14], "name": "SX_BUSY_USER_DEFINED_MASK"},
19290 {"bits": [16, 16], "name": "SPI_BUSY_USER_DEFINED_MASK"},
19291 {"bits": [17, 17], "name": "SC_BUSY_USER_DEFINED_MASK"},
19292 {"bits": [18, 18], "name": "PA_BUSY_USER_DEFINED_MASK"},
19293 {"bits": [19, 19], "name": "GRBM_BUSY_USER_DEFINED_MASK"},
19294 {"bits": [20, 20], "name": "DB_BUSY_USER_DEFINED_MASK"},
19295 {"bits": [21, 21], "name": "CB_BUSY_USER_DEFINED_MASK"},
19296 {"bits": [22, 22], "name": "CP_BUSY_USER_DEFINED_MASK"},
19297 {"bits": [24, 24], "name": "GDS_BUSY_USER_DEFINED_MASK"},
19298 {"bits": [25, 25], "name": "BCI_BUSY_USER_DEFINED_MASK"},
19299 {"bits": [26, 26], "name": "RLC_BUSY_USER_DEFINED_MASK"},
19300 {"bits": [27, 27], "name": "TCP_BUSY_USER_DEFINED_MASK"},
19301 {"bits": [28, 28], "name": "GE_BUSY_USER_DEFINED_MASK"},
19302 {"bits": [29, 29], "name": "UTCL2_BUSY_USER_DEFINED_MASK"},
19303 {"bits": [30, 30], "name": "EA_BUSY_USER_DEFINED_MASK"},
19304 {"bits": [31, 31], "name": "RMI_BUSY_USER_DEFINED_MASK"}
19305 ]
19306 },
19307 "GRBM_PERFCOUNTER0_SELECT_HI": {
19308 "fields": [
19309 {"bits": [1, 1], "name": "UTCL1_BUSY_USER_DEFINED_MASK"},
19310 {"bits": [2, 2], "name": "GL2CC_BUSY_USER_DEFINED_MASK"},
19311 {"bits": [3, 3], "name": "SDMA_BUSY_USER_DEFINED_MASK"},
19312 {"bits": [4, 4], "name": "CH_BUSY_USER_DEFINED_MASK"},
19313 {"bits": [5, 5], "name": "PH_BUSY_USER_DEFINED_MASK"},
19314 {"bits": [6, 6], "name": "PMM_BUSY_USER_DEFINED_MASK"},
19315 {"bits": [7, 7], "name": "GUS_BUSY_USER_DEFINED_MASK"},
19316 {"bits": [8, 8], "name": "GL1CC_BUSY_USER_DEFINED_MASK"}
19317 ]
19318 },
19319 "GRBM_SE0_PERFCOUNTER_SELECT": {
19320 "fields": [
19321 {"bits": [0, 5], "enum_ref": "GRBM_SE0_PERF_SEL", "name": "PERF_SEL"},
19322 {"bits": [10, 10], "name": "DB_CLEAN_USER_DEFINED_MASK"},
19323 {"bits": [11, 11], "name": "CB_CLEAN_USER_DEFINED_MASK"},
19324 {"bits": [12, 12], "name": "TA_BUSY_USER_DEFINED_MASK"},
19325 {"bits": [13, 13], "name": "SX_BUSY_USER_DEFINED_MASK"},
19326 {"bits": [15, 15], "name": "SPI_BUSY_USER_DEFINED_MASK"},
19327 {"bits": [16, 16], "name": "SC_BUSY_USER_DEFINED_MASK"},
19328 {"bits": [17, 17], "name": "DB_BUSY_USER_DEFINED_MASK"},
19329 {"bits": [18, 18], "name": "CB_BUSY_USER_DEFINED_MASK"},
19330 {"bits": [20, 20], "name": "PA_BUSY_USER_DEFINED_MASK"},
19331 {"bits": [21, 21], "name": "BCI_BUSY_USER_DEFINED_MASK"},
19332 {"bits": [22, 22], "name": "RMI_BUSY_USER_DEFINED_MASK"},
19333 {"bits": [23, 23], "name": "UTCL1_BUSY_USER_DEFINED_MASK"},
19334 {"bits": [24, 24], "name": "TCP_BUSY_USER_DEFINED_MASK"},
19335 {"bits": [25, 25], "name": "GL1CC_BUSY_USER_DEFINED_MASK"}
19336 ]
19337 },
19338 "GRBM_SE1_PERFCOUNTER_SELECT": {
19339 "fields": [
19340 {"bits": [0, 5], "enum_ref": "GRBM_SE1_PERF_SEL", "name": "PERF_SEL"},
19341 {"bits": [10, 10], "name": "DB_CLEAN_USER_DEFINED_MASK"},
19342 {"bits": [11, 11], "name": "CB_CLEAN_USER_DEFINED_MASK"},
19343 {"bits": [12, 12], "name": "TA_BUSY_USER_DEFINED_MASK"},
19344 {"bits": [13, 13], "name": "SX_BUSY_USER_DEFINED_MASK"},
19345 {"bits": [15, 15], "name": "SPI_BUSY_USER_DEFINED_MASK"},
19346 {"bits": [16, 16], "name": "SC_BUSY_USER_DEFINED_MASK"},
19347 {"bits": [17, 17], "name": "DB_BUSY_USER_DEFINED_MASK"},
19348 {"bits": [18, 18], "name": "CB_BUSY_USER_DEFINED_MASK"},
19349 {"bits": [20, 20], "name": "PA_BUSY_USER_DEFINED_MASK"},
19350 {"bits": [21, 21], "name": "BCI_BUSY_USER_DEFINED_MASK"},
19351 {"bits": [22, 22], "name": "RMI_BUSY_USER_DEFINED_MASK"},
19352 {"bits": [23, 23], "name": "UTCL1_BUSY_USER_DEFINED_MASK"},
19353 {"bits": [24, 24], "name": "TCP_BUSY_USER_DEFINED_MASK"},
19354 {"bits": [25, 25], "name": "GL1CC_BUSY_USER_DEFINED_MASK"}
19355 ]
19356 },
19357 "GRBM_SE2_PERFCOUNTER_SELECT": {
19358 "fields": [
19359 {"bits": [0, 5], "enum_ref": "GRBM_SE2_PERF_SEL", "name": "PERF_SEL"},
19360 {"bits": [10, 10], "name": "DB_CLEAN_USER_DEFINED_MASK"},
19361 {"bits": [11, 11], "name": "CB_CLEAN_USER_DEFINED_MASK"},
19362 {"bits": [12, 12], "name": "TA_BUSY_USER_DEFINED_MASK"},
19363 {"bits": [13, 13], "name": "SX_BUSY_USER_DEFINED_MASK"},
19364 {"bits": [15, 15], "name": "SPI_BUSY_USER_DEFINED_MASK"},
19365 {"bits": [16, 16], "name": "SC_BUSY_USER_DEFINED_MASK"},
19366 {"bits": [17, 17], "name": "DB_BUSY_USER_DEFINED_MASK"},
19367 {"bits": [18, 18], "name": "CB_BUSY_USER_DEFINED_MASK"},
19368 {"bits": [20, 20], "name": "PA_BUSY_USER_DEFINED_MASK"},
19369 {"bits": [21, 21], "name": "BCI_BUSY_USER_DEFINED_MASK"},
19370 {"bits": [22, 22], "name": "RMI_BUSY_USER_DEFINED_MASK"},
19371 {"bits": [23, 23], "name": "UTCL1_BUSY_USER_DEFINED_MASK"},
19372 {"bits": [24, 24], "name": "TCP_BUSY_USER_DEFINED_MASK"},
19373 {"bits": [25, 25], "name": "GL1CC_BUSY_USER_DEFINED_MASK"}
19374 ]
19375 },
19376 "GRBM_SE3_PERFCOUNTER_SELECT": {
19377 "fields": [
19378 {"bits": [0, 5], "enum_ref": "GRBM_SE3_PERF_SEL", "name": "PERF_SEL"},
19379 {"bits": [10, 10], "name": "DB_CLEAN_USER_DEFINED_MASK"},
19380 {"bits": [11, 11], "name": "CB_CLEAN_USER_DEFINED_MASK"},
19381 {"bits": [12, 12], "name": "TA_BUSY_USER_DEFINED_MASK"},
19382 {"bits": [13, 13], "name": "SX_BUSY_USER_DEFINED_MASK"},
19383 {"bits": [15, 15], "name": "SPI_BUSY_USER_DEFINED_MASK"},
19384 {"bits": [16, 16], "name": "SC_BUSY_USER_DEFINED_MASK"},
19385 {"bits": [17, 17], "name": "DB_BUSY_USER_DEFINED_MASK"},
19386 {"bits": [18, 18], "name": "CB_BUSY_USER_DEFINED_MASK"},
19387 {"bits": [20, 20], "name": "PA_BUSY_USER_DEFINED_MASK"},
19388 {"bits": [21, 21], "name": "BCI_BUSY_USER_DEFINED_MASK"},
19389 {"bits": [22, 22], "name": "RMI_BUSY_USER_DEFINED_MASK"},
19390 {"bits": [23, 23], "name": "UTCL1_BUSY_USER_DEFINED_MASK"},
19391 {"bits": [24, 24], "name": "TCP_BUSY_USER_DEFINED_MASK"},
19392 {"bits": [25, 25], "name": "GL1CC_BUSY_USER_DEFINED_MASK"}
19393 ]
19394 },
19395 "IA_ENHANCE": {
19396 "fields": [
19397 {"bits": [0, 31], "name": "MISC"}
19398 ]
19399 },
19400 "IA_MULTI_VGT_PARAM": {
19401 "fields": [
19402 {"bits": [0, 15], "name": "PRIMGROUP_SIZE"},
19403 {"bits": [16, 16], "name": "PARTIAL_VS_WAVE_ON"},
19404 {"bits": [17, 17], "name": "SWITCH_ON_EOP"},
19405 {"bits": [18, 18], "name": "PARTIAL_ES_WAVE_ON"},
19406 {"bits": [19, 19], "name": "SWITCH_ON_EOI"},
19407 {"bits": [20, 20], "name": "WD_SWITCH_ON_EOP"}
19408 ]
19409 },
19410 "IA_MULTI_VGT_PARAM_PIPED": {
19411 "fields": [
19412 {"bits": [0, 15], "name": "PRIMGROUP_SIZE"},
19413 {"bits": [16, 16], "name": "PARTIAL_VS_WAVE_ON"},
19414 {"bits": [17, 17], "name": "SWITCH_ON_EOP"},
19415 {"bits": [18, 18], "name": "PARTIAL_ES_WAVE_ON"},
19416 {"bits": [19, 19], "name": "SWITCH_ON_EOI"},
19417 {"bits": [20, 20], "name": "WD_SWITCH_ON_EOP"},
19418 {"bits": [21, 21], "name": "EN_INST_OPT_BASIC"},
19419 {"bits": [22, 22], "name": "EN_INST_OPT_ADV"},
19420 {"bits": [23, 23], "name": "HW_USE_ONLY"}
19421 ]
19422 },
19423 "PA_CL_CLIP_CNTL": {
19424 "fields": [
19425 {"bits": [0, 0], "name": "UCP_ENA_0"},
19426 {"bits": [1, 1], "name": "UCP_ENA_1"},
19427 {"bits": [2, 2], "name": "UCP_ENA_2"},
19428 {"bits": [3, 3], "name": "UCP_ENA_3"},
19429 {"bits": [4, 4], "name": "UCP_ENA_4"},
19430 {"bits": [5, 5], "name": "UCP_ENA_5"},
19431 {"bits": [13, 13], "name": "PS_UCP_Y_SCALE_NEG"},
19432 {"bits": [14, 15], "name": "PS_UCP_MODE"},
19433 {"bits": [16, 16], "name": "CLIP_DISABLE"},
19434 {"bits": [17, 17], "name": "UCP_CULL_ONLY_ENA"},
19435 {"bits": [18, 18], "name": "BOUNDARY_EDGE_FLAG_ENA"},
19436 {"bits": [19, 19], "name": "DX_CLIP_SPACE_DEF"},
19437 {"bits": [20, 20], "name": "DIS_CLIP_ERR_DETECT"},
19438 {"bits": [21, 21], "name": "VTX_KILL_OR"},
19439 {"bits": [22, 22], "name": "DX_RASTERIZATION_KILL"},
19440 {"bits": [24, 24], "name": "DX_LINEAR_ATTR_CLIP_ENA"},
19441 {"bits": [25, 25], "name": "VTE_VPORT_PROVOKE_DISABLE"},
19442 {"bits": [26, 26], "name": "ZCLIP_NEAR_DISABLE"},
19443 {"bits": [27, 27], "name": "ZCLIP_FAR_DISABLE"},
19444 {"bits": [28, 28], "name": "ZCLIP_PROG_NEAR_ENA"}
19445 ]
19446 },
19447 "PA_CL_GB_VERT_CLIP_ADJ": {
19448 "fields": [
19449 {"bits": [0, 31], "name": "DATA_REGISTER"}
19450 ]
19451 },
19452 "PA_CL_NANINF_CNTL": {
19453 "fields": [
19454 {"bits": [0, 0], "name": "VTE_XY_INF_DISCARD"},
19455 {"bits": [1, 1], "name": "VTE_Z_INF_DISCARD"},
19456 {"bits": [2, 2], "name": "VTE_W_INF_DISCARD"},
19457 {"bits": [3, 3], "name": "VTE_0XNANINF_IS_0"},
19458 {"bits": [4, 4], "name": "VTE_XY_NAN_RETAIN"},
19459 {"bits": [5, 5], "name": "VTE_Z_NAN_RETAIN"},
19460 {"bits": [6, 6], "name": "VTE_W_NAN_RETAIN"},
19461 {"bits": [7, 7], "name": "VTE_W_RECIP_NAN_IS_0"},
19462 {"bits": [8, 8], "name": "VS_XY_NAN_TO_INF"},
19463 {"bits": [9, 9], "name": "VS_XY_INF_RETAIN"},
19464 {"bits": [10, 10], "name": "VS_Z_NAN_TO_INF"},
19465 {"bits": [11, 11], "name": "VS_Z_INF_RETAIN"},
19466 {"bits": [12, 12], "name": "VS_W_NAN_TO_INF"},
19467 {"bits": [13, 13], "name": "VS_W_INF_RETAIN"},
19468 {"bits": [14, 14], "name": "VS_CLIP_DIST_INF_DISCARD"},
19469 {"bits": [20, 20], "name": "VTE_NO_OUTPUT_NEG_0"}
19470 ]
19471 },
19472 "PA_CL_NGG_CNTL": {
19473 "fields": [
19474 {"bits": [0, 0], "name": "VERTEX_REUSE_OFF"},
19475 {"bits": [1, 1], "name": "INDEX_BUF_EDGE_FLAG_ENA"},
19476 {"bits": [2, 9], "name": "VERTEX_REUSE_DEPTH_GFX103"}
19477 ]
19478 },
19479 "PA_CL_OBJPRIM_ID_CNTL": {
19480 "fields": [
19481 {"bits": [0, 0], "name": "OBJ_ID_SEL"},
19482 {"bits": [1, 1], "name": "ADD_PIPED_PRIM_ID"}
19483 ]
19484 },
19485 "PA_CL_VPORT_XOFFSET": {
19486 "fields": [
19487 {"bits": [0, 31], "name": "VPORT_XOFFSET"}
19488 ]
19489 },
19490 "PA_CL_VPORT_XSCALE": {
19491 "fields": [
19492 {"bits": [0, 31], "name": "VPORT_XSCALE"}
19493 ]
19494 },
19495 "PA_CL_VPORT_YOFFSET": {
19496 "fields": [
19497 {"bits": [0, 31], "name": "VPORT_YOFFSET"}
19498 ]
19499 },
19500 "PA_CL_VPORT_YSCALE": {
19501 "fields": [
19502 {"bits": [0, 31], "name": "VPORT_YSCALE"}
19503 ]
19504 },
19505 "PA_CL_VPORT_ZOFFSET": {
19506 "fields": [
19507 {"bits": [0, 31], "name": "VPORT_ZOFFSET"}
19508 ]
19509 },
19510 "PA_CL_VPORT_ZSCALE": {
19511 "fields": [
19512 {"bits": [0, 31], "name": "VPORT_ZSCALE"}
19513 ]
19514 },
19515 "PA_CL_VS_OUT_CNTL": {
19516 "fields": [
19517 {"bits": [0, 0], "name": "CLIP_DIST_ENA_0"},
19518 {"bits": [1, 1], "name": "CLIP_DIST_ENA_1"},
19519 {"bits": [2, 2], "name": "CLIP_DIST_ENA_2"},
19520 {"bits": [3, 3], "name": "CLIP_DIST_ENA_3"},
19521 {"bits": [4, 4], "name": "CLIP_DIST_ENA_4"},
19522 {"bits": [5, 5], "name": "CLIP_DIST_ENA_5"},
19523 {"bits": [6, 6], "name": "CLIP_DIST_ENA_6"},
19524 {"bits": [7, 7], "name": "CLIP_DIST_ENA_7"},
19525 {"bits": [8, 8], "name": "CULL_DIST_ENA_0"},
19526 {"bits": [9, 9], "name": "CULL_DIST_ENA_1"},
19527 {"bits": [10, 10], "name": "CULL_DIST_ENA_2"},
19528 {"bits": [11, 11], "name": "CULL_DIST_ENA_3"},
19529 {"bits": [12, 12], "name": "CULL_DIST_ENA_4"},
19530 {"bits": [13, 13], "name": "CULL_DIST_ENA_5"},
19531 {"bits": [14, 14], "name": "CULL_DIST_ENA_6"},
19532 {"bits": [15, 15], "name": "CULL_DIST_ENA_7"},
19533 {"bits": [16, 16], "name": "USE_VTX_POINT_SIZE"},
19534 {"bits": [17, 17], "name": "USE_VTX_EDGE_FLAG"},
19535 {"bits": [18, 18], "name": "USE_VTX_RENDER_TARGET_INDX"},
19536 {"bits": [19, 19], "name": "USE_VTX_VIEWPORT_INDX"},
19537 {"bits": [20, 20], "name": "USE_VTX_KILL_FLAG"},
19538 {"bits": [21, 21], "name": "VS_OUT_MISC_VEC_ENA"},
19539 {"bits": [22, 22], "name": "VS_OUT_CCDIST0_VEC_ENA"},
19540 {"bits": [23, 23], "name": "VS_OUT_CCDIST1_VEC_ENA"},
19541 {"bits": [24, 24], "name": "VS_OUT_MISC_SIDE_BUS_ENA"},
19542 {"bits": [25, 25], "name": "USE_VTX_GS_CUT_FLAG"},
19543 {"bits": [27, 27], "name": "USE_VTX_LINE_WIDTH"},
19544 {"bits": [29, 29], "name": "BYPASS_VTX_RATE_COMBINER_GFX103"},
19545 {"bits": [30, 30], "name": "BYPASS_PRIM_RATE_COMBINER_GFX103"}
19546 ]
19547 },
19548 "PA_CL_VTE_CNTL": {
19549 "fields": [
19550 {"bits": [0, 0], "name": "VPORT_X_SCALE_ENA"},
19551 {"bits": [1, 1], "name": "VPORT_X_OFFSET_ENA"},
19552 {"bits": [2, 2], "name": "VPORT_Y_SCALE_ENA"},
19553 {"bits": [3, 3], "name": "VPORT_Y_OFFSET_ENA"},
19554 {"bits": [4, 4], "name": "VPORT_Z_SCALE_ENA"},
19555 {"bits": [5, 5], "name": "VPORT_Z_OFFSET_ENA"},
19556 {"bits": [8, 8], "name": "VTX_XY_FMT"},
19557 {"bits": [9, 9], "name": "VTX_Z_FMT"},
19558 {"bits": [10, 10], "name": "VTX_W0_FMT"},
19559 {"bits": [11, 11], "name": "PERFCOUNTER_REF"}
19560 ]
19561 },
19562 "PA_PH_PERFCOUNTER0_SELECT": {
19563 "fields": [
19564 {"bits": [0, 9], "enum_ref": "PH_PERFCNT_SEL", "name": "PERF_SEL"},
19565 {"bits": [10, 19], "enum_ref": "PH_PERFCNT_SEL", "name": "PERF_SEL1"},
19566 {"bits": [20, 23], "name": "CNTR_MODE"},
19567 {"bits": [24, 27], "name": "PERF_MODE1"},
19568 {"bits": [28, 31], "name": "PERF_MODE"}
19569 ]
19570 },
19571 "PA_PH_PERFCOUNTER0_SELECT1": {
19572 "fields": [
19573 {"bits": [0, 9], "enum_ref": "PH_PERFCNT_SEL", "name": "PERF_SEL2"},
19574 {"bits": [10, 19], "enum_ref": "PH_PERFCNT_SEL", "name": "PERF_SEL3"},
19575 {"bits": [24, 27], "name": "PERF_MODE3"},
19576 {"bits": [28, 31], "name": "PERF_MODE2"}
19577 ]
19578 },
19579 "PA_PH_PERFCOUNTER4_SELECT": {
19580 "fields": [
19581 {"bits": [0, 9], "enum_ref": "PH_PERFCNT_SEL", "name": "PERF_SEL"}
19582 ]
19583 },
19584 "PA_SC_AA_CONFIG": {
19585 "fields": [
19586 {"bits": [0, 2], "name": "MSAA_NUM_SAMPLES"},
19587 {"bits": [4, 4], "name": "AA_MASK_CENTROID_DTMN"},
19588 {"bits": [13, 16], "name": "MAX_SAMPLE_DIST"},
19589 {"bits": [20, 22], "name": "MSAA_EXPOSED_SAMPLES"},
19590 {"bits": [24, 25], "name": "DETAIL_TO_EXPOSED_MODE"},
19591 {"bits": [26, 27], "enum_ref": "CovToShaderSel", "name": "COVERAGE_TO_SHADER_SELECT"},
19592 {"bits": [28, 28], "name": "SAMPLE_COVERAGE_ENCODING_GFX103"},
19593 {"bits": [29, 29], "name": "COVERED_CENTROID_IS_CENTER_GFX103"}
19594 ]
19595 },
19596 "PA_SC_AA_MASK_X0Y0_X1Y0": {
19597 "fields": [
19598 {"bits": [0, 15], "name": "AA_MASK_X0Y0"},
19599 {"bits": [16, 31], "name": "AA_MASK_X1Y0"}
19600 ]
19601 },
19602 "PA_SC_AA_MASK_X0Y1_X1Y1": {
19603 "fields": [
19604 {"bits": [0, 15], "name": "AA_MASK_X0Y1"},
19605 {"bits": [16, 31], "name": "AA_MASK_X1Y1"}
19606 ]
19607 },
19608 "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_0": {
19609 "fields": [
19610 {"bits": [0, 3], "name": "S0_X"},
19611 {"bits": [4, 7], "name": "S0_Y"},
19612 {"bits": [8, 11], "name": "S1_X"},
19613 {"bits": [12, 15], "name": "S1_Y"},
19614 {"bits": [16, 19], "name": "S2_X"},
19615 {"bits": [20, 23], "name": "S2_Y"},
19616 {"bits": [24, 27], "name": "S3_X"},
19617 {"bits": [28, 31], "name": "S3_Y"}
19618 ]
19619 },
19620 "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_1": {
19621 "fields": [
19622 {"bits": [0, 3], "name": "S4_X"},
19623 {"bits": [4, 7], "name": "S4_Y"},
19624 {"bits": [8, 11], "name": "S5_X"},
19625 {"bits": [12, 15], "name": "S5_Y"},
19626 {"bits": [16, 19], "name": "S6_X"},
19627 {"bits": [20, 23], "name": "S6_Y"},
19628 {"bits": [24, 27], "name": "S7_X"},
19629 {"bits": [28, 31], "name": "S7_Y"}
19630 ]
19631 },
19632 "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_2": {
19633 "fields": [
19634 {"bits": [0, 3], "name": "S8_X"},
19635 {"bits": [4, 7], "name": "S8_Y"},
19636 {"bits": [8, 11], "name": "S9_X"},
19637 {"bits": [12, 15], "name": "S9_Y"},
19638 {"bits": [16, 19], "name": "S10_X"},
19639 {"bits": [20, 23], "name": "S10_Y"},
19640 {"bits": [24, 27], "name": "S11_X"},
19641 {"bits": [28, 31], "name": "S11_Y"}
19642 ]
19643 },
19644 "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_3": {
19645 "fields": [
19646 {"bits": [0, 3], "name": "S12_X"},
19647 {"bits": [4, 7], "name": "S12_Y"},
19648 {"bits": [8, 11], "name": "S13_X"},
19649 {"bits": [12, 15], "name": "S13_Y"},
19650 {"bits": [16, 19], "name": "S14_X"},
19651 {"bits": [20, 23], "name": "S14_Y"},
19652 {"bits": [24, 27], "name": "S15_X"},
19653 {"bits": [28, 31], "name": "S15_Y"}
19654 ]
19655 },
19656 "PA_SC_BINNER_CNTL_0": {
19657 "fields": [
19658 {"bits": [0, 1], "enum_ref": "BinningMode", "name": "BINNING_MODE"},
19659 {"bits": [2, 2], "name": "BIN_SIZE_X"},
19660 {"bits": [3, 3], "name": "BIN_SIZE_Y"},
19661 {"bits": [4, 6], "enum_ref": "BinSizeExtend", "name": "BIN_SIZE_X_EXTEND"},
19662 {"bits": [7, 9], "enum_ref": "BinSizeExtend", "name": "BIN_SIZE_Y_EXTEND"},
19663 {"bits": [10, 12], "name": "CONTEXT_STATES_PER_BIN"},
19664 {"bits": [13, 17], "name": "PERSISTENT_STATES_PER_BIN"},
19665 {"bits": [18, 18], "name": "DISABLE_START_OF_PRIM"},
19666 {"bits": [19, 26], "name": "FPOVS_PER_BATCH"},
19667 {"bits": [27, 27], "name": "OPTIMAL_BIN_SELECTION"},
19668 {"bits": [28, 28], "name": "FLUSH_ON_BINNING_TRANSITION"},
19669 {"bits": [29, 30], "enum_ref": "BinMapMode", "name": "BIN_MAPPING_MODE"}
19670 ]
19671 },
19672 "PA_SC_BINNER_CNTL_1": {
19673 "fields": [
19674 {"bits": [0, 15], "name": "MAX_ALLOC_COUNT"},
19675 {"bits": [16, 31], "name": "MAX_PRIM_PER_BATCH"}
19676 ]
19677 },
19678 "PA_SC_CENTROID_PRIORITY_0": {
19679 "fields": [
19680 {"bits": [0, 3], "name": "DISTANCE_0"},
19681 {"bits": [4, 7], "name": "DISTANCE_1"},
19682 {"bits": [8, 11], "name": "DISTANCE_2"},
19683 {"bits": [12, 15], "name": "DISTANCE_3"},
19684 {"bits": [16, 19], "name": "DISTANCE_4"},
19685 {"bits": [20, 23], "name": "DISTANCE_5"},
19686 {"bits": [24, 27], "name": "DISTANCE_6"},
19687 {"bits": [28, 31], "name": "DISTANCE_7"}
19688 ]
19689 },
19690 "PA_SC_CENTROID_PRIORITY_1": {
19691 "fields": [
19692 {"bits": [0, 3], "name": "DISTANCE_8"},
19693 {"bits": [4, 7], "name": "DISTANCE_9"},
19694 {"bits": [8, 11], "name": "DISTANCE_10"},
19695 {"bits": [12, 15], "name": "DISTANCE_11"},
19696 {"bits": [16, 19], "name": "DISTANCE_12"},
19697 {"bits": [20, 23], "name": "DISTANCE_13"},
19698 {"bits": [24, 27], "name": "DISTANCE_14"},
19699 {"bits": [28, 31], "name": "DISTANCE_15"}
19700 ]
19701 },
19702 "PA_SC_CLIPRECT_0_BR": {
19703 "fields": [
19704 {"bits": [0, 14], "name": "BR_X"},
19705 {"bits": [16, 30], "name": "BR_Y"}
19706 ]
19707 },
19708 "PA_SC_CLIPRECT_0_TL": {
19709 "fields": [
19710 {"bits": [0, 14], "name": "TL_X"},
19711 {"bits": [16, 30], "name": "TL_Y"}
19712 ]
19713 },
19714 "PA_SC_CLIPRECT_RULE": {
19715 "fields": [
19716 {"bits": [0, 15], "name": "CLIP_RULE"}
19717 ]
19718 },
19719 "PA_SC_CONSERVATIVE_RASTERIZATION_CNTL": {
19720 "fields": [
19721 {"bits": [0, 0], "name": "OVER_RAST_ENABLE"},
19722 {"bits": [1, 4], "name": "OVER_RAST_SAMPLE_SELECT"},
19723 {"bits": [5, 5], "name": "UNDER_RAST_ENABLE"},
19724 {"bits": [6, 9], "name": "UNDER_RAST_SAMPLE_SELECT"},
19725 {"bits": [10, 10], "name": "PBB_UNCERTAINTY_REGION_ENABLE"},
19726 {"bits": [11, 11], "name": "ZMM_TRI_EXTENT"},
19727 {"bits": [12, 12], "name": "ZMM_TRI_OFFSET"},
19728 {"bits": [13, 13], "name": "OVERRIDE_OVER_RAST_INNER_TO_NORMAL"},
19729 {"bits": [14, 14], "name": "OVERRIDE_UNDER_RAST_INNER_TO_NORMAL"},
19730 {"bits": [15, 15], "name": "DEGENERATE_OVERRIDE_INNER_TO_NORMAL_DISABLE"},
19731 {"bits": [16, 17], "enum_ref": "ScUncertaintyRegionMode", "name": "UNCERTAINTY_REGION_MODE"},
19732 {"bits": [18, 18], "name": "OUTER_UNCERTAINTY_EDGERULE_OVERRIDE"},
19733 {"bits": [19, 19], "name": "INNER_UNCERTAINTY_EDGERULE_OVERRIDE"},
19734 {"bits": [20, 20], "name": "NULL_SQUAD_AA_MASK_ENABLE"},
19735 {"bits": [21, 21], "name": "COVERAGE_AA_MASK_ENABLE"},
19736 {"bits": [22, 22], "name": "PREZ_AA_MASK_ENABLE"},
19737 {"bits": [23, 23], "name": "POSTZ_AA_MASK_ENABLE"},
19738 {"bits": [24, 24], "name": "CENTROID_SAMPLE_OVERRIDE"},
19739 {"bits": [25, 26], "enum_ref": "ScUncertaintyRegionMult", "name": "UNCERTAINTY_REGION_MULT"},
19740 {"bits": [27, 28], "enum_ref": "ScUncertaintyRegionMult", "name": "UNCERTAINTY_REGION_PBB_MULT"}
19741 ]
19742 },
19743 "PA_SC_EDGERULE": {
19744 "fields": [
19745 {"bits": [0, 3], "name": "ER_TRI"},
19746 {"bits": [4, 7], "name": "ER_POINT"},
19747 {"bits": [8, 11], "name": "ER_RECT"},
19748 {"bits": [12, 17], "name": "ER_LINE_LR"},
19749 {"bits": [18, 23], "name": "ER_LINE_RL"},
19750 {"bits": [24, 27], "name": "ER_LINE_TB"},
19751 {"bits": [28, 31], "name": "ER_LINE_BT"}
19752 ]
19753 },
19754 "PA_SC_GENERIC_SCISSOR_TL": {
19755 "fields": [
19756 {"bits": [0, 14], "name": "TL_X"},
19757 {"bits": [16, 30], "name": "TL_Y"},
19758 {"bits": [31, 31], "name": "WINDOW_OFFSET_DISABLE"}
19759 ]
19760 },
19761 "PA_SC_HORIZ_GRID": {
19762 "fields": [
19763 {"bits": [0, 7], "name": "TOP_QTR"},
19764 {"bits": [8, 15], "name": "TOP_HALF"},
19765 {"bits": [16, 23], "name": "BOT_HALF"},
19766 {"bits": [24, 31], "name": "BOT_QTR"}
19767 ]
19768 },
19769 "PA_SC_LINE_CNTL": {
19770 "fields": [
19771 {"bits": [9, 9], "name": "EXPAND_LINE_WIDTH"},
19772 {"bits": [10, 10], "name": "LAST_PIXEL"},
19773 {"bits": [11, 11], "name": "PERPENDICULAR_ENDCAP_ENA"},
19774 {"bits": [12, 12], "name": "DX10_DIAMOND_TEST_ENA"},
19775 {"bits": [13, 13], "name": "EXTRA_DX_DY_PRECISION"}
19776 ]
19777 },
19778 "PA_SC_LINE_STIPPLE": {
19779 "fields": [
19780 {"bits": [0, 15], "name": "LINE_PATTERN"},
19781 {"bits": [16, 23], "name": "REPEAT_COUNT"},
19782 {"bits": [28, 28], "name": "PATTERN_BIT_ORDER"},
19783 {"bits": [29, 30], "name": "AUTO_RESET_CNTL"}
19784 ]
19785 },
19786 "PA_SC_LINE_STIPPLE_STATE": {
19787 "fields": [
19788 {"bits": [0, 3], "name": "CURRENT_PTR"},
19789 {"bits": [8, 15], "name": "CURRENT_COUNT"}
19790 ]
19791 },
19792 "PA_SC_MODE_CNTL_0": {
19793 "fields": [
19794 {"bits": [0, 0], "name": "MSAA_ENABLE"},
19795 {"bits": [1, 1], "name": "VPORT_SCISSOR_ENABLE"},
19796 {"bits": [2, 2], "name": "LINE_STIPPLE_ENABLE"},
19797 {"bits": [3, 3], "name": "SEND_UNLIT_STILES_TO_PKR"},
19798 {"bits": [4, 4], "name": "SCALE_LINE_WIDTH_PAD"},
19799 {"bits": [5, 5], "name": "ALTERNATE_RBS_PER_TILE"},
19800 {"bits": [6, 6], "name": "COARSE_TILE_STARTS_ON_EVEN_RB"}
19801 ]
19802 },
19803 "PA_SC_MODE_CNTL_1": {
19804 "fields": [
19805 {"bits": [0, 0], "name": "WALK_SIZE"},
19806 {"bits": [1, 1], "name": "WALK_ALIGNMENT"},
19807 {"bits": [2, 2], "name": "WALK_ALIGN8_PRIM_FITS_ST"},
19808 {"bits": [3, 3], "name": "WALK_FENCE_ENABLE"},
19809 {"bits": [4, 6], "name": "WALK_FENCE_SIZE"},
19810 {"bits": [7, 7], "name": "SUPERTILE_WALK_ORDER_ENABLE"},
19811 {"bits": [8, 8], "name": "TILE_WALK_ORDER_ENABLE"},
19812 {"bits": [9, 9], "name": "TILE_COVER_DISABLE"},
19813 {"bits": [10, 10], "name": "TILE_COVER_NO_SCISSOR"},
19814 {"bits": [11, 11], "name": "ZMM_LINE_EXTENT"},
19815 {"bits": [12, 12], "name": "ZMM_LINE_OFFSET"},
19816 {"bits": [13, 13], "name": "ZMM_RECT_EXTENT"},
19817 {"bits": [14, 14], "name": "KILL_PIX_POST_HI_Z"},
19818 {"bits": [15, 15], "name": "KILL_PIX_POST_DETAIL_MASK"},
19819 {"bits": [16, 16], "name": "PS_ITER_SAMPLE"},
19820 {"bits": [17, 17], "name": "MULTI_SHADER_ENGINE_PRIM_DISCARD_ENABLE"},
19821 {"bits": [18, 18], "name": "MULTI_GPU_SUPERTILE_ENABLE"},
19822 {"bits": [19, 19], "name": "GPU_ID_OVERRIDE_ENABLE"},
19823 {"bits": [20, 23], "name": "GPU_ID_OVERRIDE"},
19824 {"bits": [24, 24], "name": "MULTI_GPU_PRIM_DISCARD_ENABLE"},
19825 {"bits": [25, 25], "name": "FORCE_EOV_CNTDWN_ENABLE"},
19826 {"bits": [26, 26], "name": "FORCE_EOV_REZ_ENABLE"},
19827 {"bits": [27, 27], "name": "OUT_OF_ORDER_PRIMITIVE_ENABLE"},
19828 {"bits": [28, 30], "name": "OUT_OF_ORDER_WATER_MARK"}
19829 ]
19830 },
19831 "PA_SC_NGG_MODE_CNTL": {
19832 "fields": [
19833 {"bits": [0, 10], "name": "MAX_DEALLOCS_IN_WAVE"},
19834 {"bits": [16, 23], "name": "MAX_FPOVS_IN_WAVE"}
19835 ]
19836 },
19837 "PA_SC_P3D_TRAP_SCREEN_H": {
19838 "fields": [
19839 {"bits": [0, 13], "name": "X_COORD"}
19840 ]
19841 },
19842 "PA_SC_P3D_TRAP_SCREEN_HV_EN": {
19843 "fields": [
19844 {"bits": [0, 0], "name": "ENABLE_HV_PRE_SHADER"},
19845 {"bits": [1, 1], "name": "FORCE_PRE_SHADER_ALL_PIXELS"}
19846 ]
19847 },
19848 "PA_SC_P3D_TRAP_SCREEN_V": {
19849 "fields": [
19850 {"bits": [0, 13], "name": "Y_COORD"}
19851 ]
19852 },
19853 "PA_SC_PERFCOUNTER0_SELECT": {
19854 "fields": [
19855 {"bits": [0, 9], "enum_ref": "SC_PERFCNT_SEL", "name": "PERF_SEL"},
19856 {"bits": [10, 19], "enum_ref": "SC_PERFCNT_SEL", "name": "PERF_SEL1"},
19857 {"bits": [20, 23], "name": "CNTR_MODE"},
19858 {"bits": [24, 27], "name": "PERF_MODE1"},
19859 {"bits": [28, 31], "name": "PERF_MODE"}
19860 ]
19861 },
19862 "PA_SC_PERFCOUNTER0_SELECT1": {
19863 "fields": [
19864 {"bits": [0, 9], "enum_ref": "SC_PERFCNT_SEL", "name": "PERF_SEL2"},
19865 {"bits": [10, 19], "enum_ref": "SC_PERFCNT_SEL", "name": "PERF_SEL3"},
19866 {"bits": [24, 27], "name": "PERF_MODE3"},
19867 {"bits": [28, 31], "name": "PERF_MODE2"}
19868 ]
19869 },
19870 "PA_SC_PERFCOUNTER1_SELECT": {
19871 "fields": [
19872 {"bits": [0, 9], "enum_ref": "SC_PERFCNT_SEL", "name": "PERF_SEL"}
19873 ]
19874 },
19875 "PA_SC_RASTER_CONFIG": {
19876 "fields": [
19877 {"bits": [0, 1], "enum_ref": "RbMap", "name": "RB_MAP_PKR0"},
19878 {"bits": [2, 3], "enum_ref": "RbMap", "name": "RB_MAP_PKR1"},
19879 {"bits": [4, 5], "enum_ref": "RbXsel2", "name": "RB_XSEL2"},
19880 {"bits": [6, 6], "enum_ref": "RbXsel", "name": "RB_XSEL"},
19881 {"bits": [7, 7], "enum_ref": "RbYsel", "name": "RB_YSEL"},
19882 {"bits": [8, 9], "enum_ref": "PkrMap", "name": "PKR_MAP"},
19883 {"bits": [10, 11], "enum_ref": "PkrXsel", "name": "PKR_XSEL"},
19884 {"bits": [12, 13], "enum_ref": "PkrYsel", "name": "PKR_YSEL"},
19885 {"bits": [14, 15], "enum_ref": "PkrXsel2", "name": "PKR_XSEL2"},
19886 {"bits": [16, 17], "enum_ref": "ScMap", "name": "SC_MAP"},
19887 {"bits": [18, 19], "enum_ref": "ScXsel", "name": "SC_XSEL"},
19888 {"bits": [20, 21], "enum_ref": "ScYsel", "name": "SC_YSEL"},
19889 {"bits": [24, 25], "enum_ref": "SeMap", "name": "SE_MAP"},
19890 {"bits": [26, 27], "enum_ref": "SeXsel", "name": "SE_XSEL"},
19891 {"bits": [28, 29], "enum_ref": "SeYsel", "name": "SE_YSEL"}
19892 ]
19893 },
19894 "PA_SC_RASTER_CONFIG_1": {
19895 "fields": [
19896 {"bits": [0, 1], "enum_ref": "SePairMap", "name": "SE_PAIR_MAP"},
19897 {"bits": [2, 3], "enum_ref": "SePairXsel", "name": "SE_PAIR_XSEL"},
19898 {"bits": [4, 5], "enum_ref": "SePairYsel", "name": "SE_PAIR_YSEL"}
19899 ]
19900 },
19901 "PA_SC_RIGHT_VERT_GRID": {
19902 "fields": [
19903 {"bits": [0, 7], "name": "LEFT_QTR"},
19904 {"bits": [8, 15], "name": "LEFT_HALF"},
19905 {"bits": [16, 23], "name": "RIGHT_HALF"},
19906 {"bits": [24, 31], "name": "RIGHT_QTR"}
19907 ]
19908 },
19909 "PA_SC_SCREEN_EXTENT_CONTROL": {
19910 "fields": [
19911 {"bits": [0, 1], "name": "SLICE_EVEN_ENABLE"},
19912 {"bits": [2, 3], "name": "SLICE_ODD_ENABLE"}
19913 ]
19914 },
19915 "PA_SC_SCREEN_EXTENT_MIN_0": {
19916 "fields": [
19917 {"bits": [0, 15], "name": "X"},
19918 {"bits": [16, 31], "name": "Y"}
19919 ]
19920 },
19921 "PA_SC_SCREEN_SCISSOR_BR": {
19922 "fields": [
19923 {"bits": [0, 15], "name": "BR_X"},
19924 {"bits": [16, 31], "name": "BR_Y"}
19925 ]
19926 },
19927 "PA_SC_SCREEN_SCISSOR_TL": {
19928 "fields": [
19929 {"bits": [0, 15], "name": "TL_X"},
19930 {"bits": [16, 31], "name": "TL_Y"}
19931 ]
19932 },
19933 "PA_SC_SHADER_CONTROL": {
19934 "fields": [
19935 {"bits": [0, 1], "name": "REALIGN_DQUADS_AFTER_N_WAVES"},
19936 {"bits": [2, 2], "name": "LOAD_COLLISION_WAVEID"},
19937 {"bits": [3, 3], "name": "LOAD_INTRAWAVE_COLLISION"},
19938 {"bits": [5, 6], "name": "WAVE_BREAK_REGION_SIZE"}
19939 ]
19940 },
19941 "PA_SC_TILE_STEERING_OVERRIDE": {
19942 "fields": [
19943 {"bits": [0, 0], "name": "ENABLE"},
19944 {"bits": [1, 2], "name": "NUM_SE"},
19945 {"bits": [5, 6], "name": "NUM_RB_PER_SE"},
19946 {"bits": [12, 13], "name": "NUM_SC"},
19947 {"bits": [16, 17], "name": "NUM_RB_PER_SC"},
19948 {"bits": [20, 20], "name": "NUM_PACKER_PER_SC"}
19949 ]
19950 },
19951 "PA_SC_VPORT_ZMAX_0": {
19952 "fields": [
19953 {"bits": [0, 31], "name": "VPORT_ZMAX"}
19954 ]
19955 },
19956 "PA_SC_VPORT_ZMIN_0": {
19957 "fields": [
19958 {"bits": [0, 31], "name": "VPORT_ZMIN"}
19959 ]
19960 },
19961 "PA_SC_WINDOW_OFFSET": {
19962 "fields": [
19963 {"bits": [0, 15], "name": "WINDOW_X_OFFSET"},
19964 {"bits": [16, 31], "name": "WINDOW_Y_OFFSET"}
19965 ]
19966 },
19967 "PA_STATE_STEREO_X": {
19968 "fields": [
19969 {"bits": [0, 31], "name": "STEREO_X_OFFSET"}
19970 ]
19971 },
19972 "PA_STEREO_CNTL": {
19973 "fields": [
19974 {"bits": [1, 4], "name": "STEREO_MODE"},
19975 {"bits": [5, 7], "name": "RT_SLICE_MODE"},
19976 {"bits": [8, 11], "name": "RT_SLICE_OFFSET"},
19977 {"bits": [16, 18], "name": "VP_ID_MODE"},
19978 {"bits": [19, 22], "name": "VP_ID_OFFSET"}
19979 ]
19980 },
19981 "PA_SU_HARDWARE_SCREEN_OFFSET": {
19982 "fields": [
19983 {"bits": [0, 8], "name": "HW_SCREEN_OFFSET_X"},
19984 {"bits": [16, 24], "name": "HW_SCREEN_OFFSET_Y"}
19985 ]
19986 },
19987 "PA_SU_LINE_CNTL": {
19988 "fields": [
19989 {"bits": [0, 15], "name": "WIDTH"}
19990 ]
19991 },
19992 "PA_SU_LINE_STIPPLE_CNTL": {
19993 "fields": [
19994 {"bits": [0, 1], "name": "LINE_STIPPLE_RESET"},
19995 {"bits": [2, 2], "name": "EXPAND_FULL_LENGTH"},
19996 {"bits": [3, 3], "name": "FRACTIONAL_ACCUM"},
19997 {"bits": [4, 4], "name": "DIAMOND_ADJUST"}
19998 ]
19999 },
20000 "PA_SU_LINE_STIPPLE_SCALE": {
20001 "fields": [
20002 {"bits": [0, 31], "name": "LINE_STIPPLE_SCALE"}
20003 ]
20004 },
20005 "PA_SU_LINE_STIPPLE_VALUE": {
20006 "fields": [
20007 {"bits": [0, 23], "name": "LINE_STIPPLE_VALUE"}
20008 ]
20009 },
20010 "PA_SU_OVER_RASTERIZATION_CNTL": {
20011 "fields": [
20012 {"bits": [0, 0], "name": "DISCARD_0_AREA_TRIANGLES"},
20013 {"bits": [1, 1], "name": "DISCARD_0_AREA_LINES"},
20014 {"bits": [2, 2], "name": "DISCARD_0_AREA_POINTS"},
20015 {"bits": [3, 3], "name": "DISCARD_0_AREA_RECTANGLES"},
20016 {"bits": [4, 4], "name": "USE_PROVOKING_ZW"}
20017 ]
20018 },
20019 "PA_SU_PERFCOUNTER0_HI": {
20020 "fields": [
20021 {"bits": [0, 15], "name": "PERFCOUNTER_HI"}
20022 ]
20023 },
20024 "PA_SU_PERFCOUNTER0_SELECT": {
20025 "fields": [
20026 {"bits": [0, 9], "enum_ref": "SU_PERFCNT_SEL", "name": "PERF_SEL"},
20027 {"bits": [10, 19], "enum_ref": "SU_PERFCNT_SEL", "name": "PERF_SEL1"},
20028 {"bits": [20, 23], "name": "CNTR_MODE"},
20029 {"bits": [24, 27], "name": "PERF_MODE1"},
20030 {"bits": [28, 31], "name": "PERF_MODE"}
20031 ]
20032 },
20033 "PA_SU_PERFCOUNTER0_SELECT1": {
20034 "fields": [
20035 {"bits": [0, 9], "enum_ref": "SU_PERFCNT_SEL", "name": "PERF_SEL2"},
20036 {"bits": [10, 19], "enum_ref": "SU_PERFCNT_SEL", "name": "PERF_SEL3"},
20037 {"bits": [24, 27], "name": "PERF_MODE3"},
20038 {"bits": [28, 31], "name": "PERF_MODE2"}
20039 ]
20040 },
20041 "PA_SU_POINT_MINMAX": {
20042 "fields": [
20043 {"bits": [0, 15], "name": "MIN_SIZE"},
20044 {"bits": [16, 31], "name": "MAX_SIZE"}
20045 ]
20046 },
20047 "PA_SU_POINT_SIZE": {
20048 "fields": [
20049 {"bits": [0, 15], "name": "HEIGHT"},
20050 {"bits": [16, 31], "name": "WIDTH"}
20051 ]
20052 },
20053 "PA_SU_POLY_OFFSET_CLAMP": {
20054 "fields": [
20055 {"bits": [0, 31], "name": "CLAMP"}
20056 ]
20057 },
20058 "PA_SU_POLY_OFFSET_DB_FMT_CNTL": {
20059 "fields": [
20060 {"bits": [0, 7], "name": "POLY_OFFSET_NEG_NUM_DB_BITS"},
20061 {"bits": [8, 8], "name": "POLY_OFFSET_DB_IS_FLOAT_FMT"}
20062 ]
20063 },
20064 "PA_SU_POLY_OFFSET_FRONT_SCALE": {
20065 "fields": [
20066 {"bits": [0, 31], "name": "SCALE"}
20067 ]
20068 },
20069 "PA_SU_PRIM_FILTER_CNTL": {
20070 "fields": [
20071 {"bits": [0, 0], "name": "TRIANGLE_FILTER_DISABLE"},
20072 {"bits": [1, 1], "name": "LINE_FILTER_DISABLE"},
20073 {"bits": [2, 2], "name": "POINT_FILTER_DISABLE"},
20074 {"bits": [3, 3], "name": "RECTANGLE_FILTER_DISABLE"},
20075 {"bits": [4, 4], "name": "TRIANGLE_EXPAND_ENA"},
20076 {"bits": [5, 5], "name": "LINE_EXPAND_ENA"},
20077 {"bits": [6, 6], "name": "POINT_EXPAND_ENA"},
20078 {"bits": [7, 7], "name": "RECTANGLE_EXPAND_ENA"},
20079 {"bits": [8, 15], "name": "PRIM_EXPAND_CONSTANT"},
20080 {"bits": [30, 30], "name": "XMAX_RIGHT_EXCLUSION"},
20081 {"bits": [31, 31], "name": "YMAX_BOTTOM_EXCLUSION"}
20082 ]
20083 },
20084 "PA_SU_SC_MODE_CNTL": {
20085 "fields": [
20086 {"bits": [0, 0], "name": "CULL_FRONT"},
20087 {"bits": [1, 1], "name": "CULL_BACK"},
20088 {"bits": [2, 2], "name": "FACE"},
20089 {"bits": [3, 4], "name": "POLY_MODE"},
20090 {"bits": [5, 7], "name": "POLYMODE_FRONT_PTYPE"},
20091 {"bits": [8, 10], "name": "POLYMODE_BACK_PTYPE"},
20092 {"bits": [11, 11], "name": "POLY_OFFSET_FRONT_ENABLE"},
20093 {"bits": [12, 12], "name": "POLY_OFFSET_BACK_ENABLE"},
20094 {"bits": [13, 13], "name": "POLY_OFFSET_PARA_ENABLE"},
20095 {"bits": [16, 16], "name": "VTX_WINDOW_OFFSET_ENABLE"},
20096 {"bits": [19, 19], "name": "PROVOKING_VTX_LAST"},
20097 {"bits": [20, 20], "name": "PERSP_CORR_DIS"},
20098 {"bits": [21, 21], "name": "MULTI_PRIM_IB_ENA"},
20099 {"bits": [22, 22], "name": "RIGHT_TRIANGLE_ALTERNATE_GRADIENT_REF"},
20100 {"bits": [23, 23], "name": "NEW_QUAD_DECOMPOSITION"},
20101 {"bits": [24, 24], "name": "KEEP_TOGETHER_ENABLE"}
20102 ]
20103 },
20104 "PA_SU_SMALL_PRIM_FILTER_CNTL": {
20105 "fields": [
20106 {"bits": [0, 0], "name": "SMALL_PRIM_FILTER_ENABLE"},
20107 {"bits": [1, 1], "name": "TRIANGLE_FILTER_DISABLE"},
20108 {"bits": [2, 2], "name": "LINE_FILTER_DISABLE"},
20109 {"bits": [3, 3], "name": "POINT_FILTER_DISABLE"},
20110 {"bits": [4, 4], "name": "RECTANGLE_FILTER_DISABLE"},
20111 {"bits": [6, 6], "name": "SC_1XMSAA_COMPATIBLE_DISABLE"}
20112 ]
20113 },
20114 "PA_SU_VTX_CNTL": {
20115 "fields": [
20116 {"bits": [0, 0], "name": "PIX_CENTER"},
20117 {"bits": [1, 2], "name": "ROUND_MODE"},
20118 {"bits": [3, 5], "name": "QUANT_MODE"}
20119 ]
20120 },
20121 "RLC_CSIB_ADDR_LO": {
20122 "fields": [
20123 {"bits": [0, 31], "name": "ADDRESS"}
20124 ]
20125 },
20126 "RLC_GPM_PERF_COUNT_0": {
20127 "fields": [
20128 {"bits": [0, 3], "name": "FEATURE_SEL"},
20129 {"bits": [4, 7], "name": "SE_INDEX"},
20130 {"bits": [8, 11], "name": "SA_INDEX"},
20131 {"bits": [12, 15], "name": "WGP_INDEX"},
20132 {"bits": [16, 17], "name": "EVENT_SEL"},
20133 {"bits": [18, 19], "name": "UNUSED"},
20134 {"bits": [20, 20], "name": "ENABLE"},
20135 {"bits": [21, 31], "name": "RESERVED"}
20136 ]
20137 },
20138 "RLC_GPU_IOV_PERF_CNT_CNTL": {
20139 "fields": [
20140 {"bits": [0, 0], "name": "ENABLE"},
20141 {"bits": [1, 1], "name": "MODE_SELECT"},
20142 {"bits": [2, 2], "name": "RESET"},
20143 {"bits": [3, 31], "name": "RESERVED"}
20144 ]
20145 },
20146 "RLC_GPU_IOV_PERF_CNT_WR_ADDR": {
20147 "fields": [
20148 {"bits": [0, 3], "name": "VFID"},
20149 {"bits": [4, 5], "name": "CNT_ID"},
20150 {"bits": [6, 31], "name": "RESERVED"}
20151 ]
20152 },
20153 "RLC_PERFCOUNTER0_SELECT": {
20154 "fields": [
20155 {"bits": [0, 7], "name": "PERFCOUNTER_SELECT"}
20156 ]
20157 },
20158 "RLC_PERFMON_CLK_CNTL": {
20159 "fields": [
20160 {"bits": [0, 0], "name": "PERFMON_CLOCK_STATE"}
20161 ]
20162 },
20163 "RLC_PERFMON_CNTL": {
20164 "fields": [
20165 {"bits": [0, 2], "name": "PERFMON_STATE"},
20166 {"bits": [10, 10], "name": "PERFMON_SAMPLE_ENABLE"}
20167 ]
20168 },
20169 "RLC_SPM_ACCUM_CTRL": {
20170 "fields": [
20171 {"bits": [0, 0], "name": "StrobeResetPerfMonitors"},
20172 {"bits": [1, 1], "name": "StrobeStartAccumulation"},
20173 {"bits": [2, 2], "name": "StrobeRearmAccum"},
20174 {"bits": [3, 3], "name": "StrobeSpmDoneInt"},
20175 {"bits": [4, 4], "name": "StrobeAccumDoneInt"},
20176 {"bits": [5, 5], "name": "StrobeResetAccum"},
20177 {"bits": [6, 9], "name": "StrobeStartSpm"},
20178 {"bits": [10, 31], "name": "RESERVED"}
20179 ]
20180 },
20181 "RLC_SPM_ACCUM_CTRLRAM_ADDR": {
20182 "fields": [
20183 {"bits": [0, 8], "name": "addr"},
20184 {"bits": [9, 31], "name": "RESERVED"}
20185 ]
20186 },
20187 "RLC_SPM_ACCUM_CTRLRAM_DATA": {
20188 "fields": [
20189 {"bits": [0, 7], "name": "data"},
20190 {"bits": [8, 31], "name": "RESERVED"}
20191 ]
20192 },
20193 "RLC_SPM_ACCUM_DATARAM_ADDR": {
20194 "fields": [
20195 {"bits": [0, 6], "name": "addr"},
20196 {"bits": [7, 31], "name": "RESERVED"}
20197 ]
20198 },
20199 "RLC_SPM_ACCUM_DATARAM_DATA": {
20200 "fields": [
20201 {"bits": [0, 31], "name": "data"}
20202 ]
20203 },
20204 "RLC_SPM_ACCUM_DATARAM_WRCOUNT": {
20205 "fields": [
20206 {"bits": [0, 18], "name": "DataRamWrCount"},
20207 {"bits": [19, 31], "name": "RESERVED"}
20208 ]
20209 },
20210 "RLC_SPM_ACCUM_MODE": {
20211 "fields": [
20212 {"bits": [0, 0], "name": "EnableAccum"},
20213 {"bits": [1, 1], "name": "AutoAccumEn"},
20214 {"bits": [2, 2], "name": "AutoSpmEn"},
20215 {"bits": [3, 3], "name": "Globals_LoadOverride"},
20216 {"bits": [4, 4], "name": "SE0_LoadOverride"},
20217 {"bits": [5, 5], "name": "SE1_LoadOverride"},
20218 {"bits": [6, 6], "name": "AutoResetPerfmonDisable"},
20219 {"bits": [7, 31], "name": "RESERVED"}
20220 ]
20221 },
20222 "RLC_SPM_ACCUM_SAMPLES_REQUESTED": {
20223 "fields": [
20224 {"bits": [0, 7], "name": "SamplesRequested"},
20225 {"bits": [8, 31], "name": "RESERVED"}
20226 ]
20227 },
20228 "RLC_SPM_ACCUM_STATUS": {
20229 "fields": [
20230 {"bits": [0, 7], "name": "NumbSamplesCompleted"},
20231 {"bits": [8, 8], "name": "AccumDone"},
20232 {"bits": [9, 9], "name": "SpmDone"},
20233 {"bits": [10, 10], "name": "AccumOverflow"},
20234 {"bits": [11, 11], "name": "AccumArmed"},
20235 {"bits": [12, 12], "name": "SequenceInProgress"},
20236 {"bits": [13, 13], "name": "FinalSequenceInProgress"},
20237 {"bits": [14, 14], "name": "AllFifosEmpty"},
20238 {"bits": [15, 15], "name": "FSMIsIdle"},
20239 {"bits": [16, 31], "name": "RESERVED"}
20240 ]
20241 },
20242 "RLC_SPM_ACCUM_THRESHOLD": {
20243 "fields": [
20244 {"bits": [0, 15], "name": "Threshold"},
20245 {"bits": [16, 31], "name": "RESERVED"}
20246 ]
20247 },
20248 "RLC_SPM_DESER_START_SKEW": {
20249 "fields": [
20250 {"bits": [0, 6], "name": "DESER_START_SKEW"},
20251 {"bits": [7, 31], "name": "RESERVED"}
20252 ]
20253 },
20254 "RLC_SPM_GLB_SAMPLEDELAY_IND_ADDR": {
20255 "fields": [
20256 {"bits": [0, 31], "name": "GLB_SAMPLEDELAY_INDEX"}
20257 ]
20258 },
20259 "RLC_SPM_GLB_SAMPLEDELAY_IND_DATA": {
20260 "fields": [
20261 {"bits": [0, 6], "name": "data"},
20262 {"bits": [7, 31], "name": "RESERVED"}
20263 ]
20264 },
20265 "RLC_SPM_GLOBALS_MUXSEL_SKEW": {
20266 "fields": [
20267 {"bits": [0, 6], "name": "GLOBALS_MUXSEL_SKEW"},
20268 {"bits": [7, 31], "name": "RESERVED"}
20269 ]
20270 },
20271 "RLC_SPM_GLOBALS_SAMPLE_SKEW": {
20272 "fields": [
20273 {"bits": [0, 6], "name": "GLOBALS_SAMPLE_SKEW"},
20274 {"bits": [7, 31], "name": "RESERVED"}
20275 ]
20276 },
20277 "RLC_SPM_GLOBAL_MUXSEL_ADDR": {
20278 "fields": [
20279 {"bits": [0, 7], "name": "PERFMON_SEL_ADDR"},
20280 {"bits": [8, 31], "name": "RESERVED"}
20281 ]
20282 },
20283 "RLC_SPM_PERFMON_CNTL": {
20284 "fields": [
20285 {"bits": [0, 11], "name": "RESERVED1"},
20286 {"bits": [12, 13], "name": "PERFMON_RING_MODE"},
20287 {"bits": [14, 15], "name": "RESERVED"},
20288 {"bits": [16, 31], "name": "PERFMON_SAMPLE_INTERVAL"}
20289 ]
20290 },
20291 "RLC_SPM_PERFMON_GLB_SEGMENT_SIZE": {
20292 "fields": [
20293 {"bits": [0, 7], "name": "PERFMON_SEGMENT_SIZE"},
20294 {"bits": [8, 15], "name": "GLOBAL_NUM_LINE"},
20295 {"bits": [16, 31], "name": "RESERVED"}
20296 ]
20297 },
20298 "RLC_SPM_PERFMON_RING_BASE_HI": {
20299 "fields": [
20300 {"bits": [0, 15], "name": "RING_BASE_HI"},
20301 {"bits": [16, 31], "name": "RESERVED"}
20302 ]
20303 },
20304 "RLC_SPM_PERFMON_RING_BASE_LO": {
20305 "fields": [
20306 {"bits": [0, 31], "name": "RING_BASE_LO"}
20307 ]
20308 },
20309 "RLC_SPM_PERFMON_RING_SIZE": {
20310 "fields": [
20311 {"bits": [0, 31], "name": "RING_BASE_SIZE"}
20312 ]
20313 },
20314 "RLC_SPM_PERFMON_SE3TO0_SEGMENT_SIZE": {
20315 "fields": [
20316 {"bits": [0, 7], "name": "SE0_NUM_LINE"},
20317 {"bits": [8, 15], "name": "SE1_NUM_LINE"},
20318 {"bits": [16, 23], "name": "SE2_NUM_LINE"},
20319 {"bits": [24, 31], "name": "SE3_NUM_LINE"}
20320 ]
20321 },
20322 "RLC_SPM_PERFMON_SEGMENT_SIZE": {
20323 "fields": [
20324 {"bits": [0, 7], "name": "PERFMON_SEGMENT_SIZE"},
20325 {"bits": [8, 10], "name": "RESERVED1"},
20326 {"bits": [11, 15], "name": "GLOBAL_NUM_LINE"},
20327 {"bits": [16, 20], "name": "SE0_NUM_LINE"},
20328 {"bits": [21, 25], "name": "SE1_NUM_LINE"},
20329 {"bits": [26, 30], "name": "SE2_NUM_LINE"},
20330 {"bits": [31, 31], "name": "RESERVED"}
20331 ]
20332 },
20333 "RLC_SPM_RING_RDPTR": {
20334 "fields": [
20335 {"bits": [0, 31], "name": "PERFMON_RING_RDPTR"}
20336 ]
20337 },
20338 "RLC_SPM_SEGMENT_THRESHOLD": {
20339 "fields": [
20340 {"bits": [0, 7], "name": "NUM_SEGMENT_THRESHOLD"},
20341 {"bits": [8, 31], "name": "RESERVED"}
20342 ]
20343 },
20344 "RLC_SPM_SE_MUXSEL_ADDR": {
20345 "fields": [
20346 {"bits": [0, 8], "name": "PERFMON_SEL_ADDR"},
20347 {"bits": [9, 31], "name": "RESERVED"}
20348 ]
20349 },
20350 "RLC_SPM_SE_MUXSEL_DATA": {
20351 "fields": [
20352 {"bits": [0, 31], "name": "PERFMON_SEL_DATA"}
20353 ]
20354 },
20355 "RLC_SPM_SE_MUXSEL_SKEW": {
20356 "fields": [
20357 {"bits": [0, 6], "name": "SE_MUXSEL_SKEW"},
20358 {"bits": [7, 31], "name": "RESERVED"}
20359 ]
20360 },
20361 "RLC_SPM_SE_SAMPLEDELAY_IND_ADDR": {
20362 "fields": [
20363 {"bits": [0, 31], "name": "SE_SAMPLEDELAY_INDEX"}
20364 ]
20365 },
20366 "RLC_SPM_SE_SAMPLE_SKEW": {
20367 "fields": [
20368 {"bits": [0, 6], "name": "SE_SAMPLE_SKEW"},
20369 {"bits": [7, 31], "name": "RESERVED"}
20370 ]
20371 },
20372 "RMI_PERFCOUNTER0_SELECT": {
20373 "fields": [
20374 {"bits": [0, 8], "enum_ref": "RMIPerfSel", "name": "PERF_SEL"},
20375 {"bits": [10, 18], "enum_ref": "RMIPerfSel", "name": "PERF_SEL1"},
20376 {"bits": [20, 23], "name": "CNTR_MODE"},
20377 {"bits": [24, 27], "name": "PERF_MODE1"},
20378 {"bits": [28, 31], "name": "PERF_MODE"}
20379 ]
20380 },
20381 "RMI_PERFCOUNTER0_SELECT1": {
20382 "fields": [
20383 {"bits": [0, 8], "enum_ref": "RMIPerfSel", "name": "PERF_SEL2"},
20384 {"bits": [10, 18], "enum_ref": "RMIPerfSel", "name": "PERF_SEL3"},
20385 {"bits": [24, 27], "name": "PERF_MODE3"},
20386 {"bits": [28, 31], "name": "PERF_MODE2"}
20387 ]
20388 },
20389 "RMI_PERFCOUNTER1_SELECT": {
20390 "fields": [
20391 {"bits": [0, 8], "enum_ref": "RMIPerfSel", "name": "PERF_SEL"},
20392 {"bits": [28, 31], "name": "PERF_MODE"}
20393 ]
20394 },
20395 "RMI_PERF_COUNTER_CNTL": {
20396 "fields": [
20397 {"bits": [0, 1], "name": "TRANS_BASED_PERF_EN_SEL"},
20398 {"bits": [2, 3], "name": "EVENT_BASED_PERF_EN_SEL"},
20399 {"bits": [4, 5], "name": "TC_PERF_EN_SEL"},
20400 {"bits": [6, 7], "name": "PERF_EVENT_WINDOW_MASK0"},
20401 {"bits": [8, 9], "name": "PERF_EVENT_WINDOW_MASK1"},
20402 {"bits": [10, 13], "name": "PERF_COUNTER_CID"},
20403 {"bits": [14, 18], "name": "PERF_COUNTER_VMID"},
20404 {"bits": [19, 24], "name": "PERF_COUNTER_BURST_LENGTH_THRESHOLD"},
20405 {"bits": [25, 25], "name": "PERF_SOFT_RESET"},
20406 {"bits": [26, 26], "name": "PERF_CNTR_SPM_SEL"}
20407 ]
20408 },
20409 "SCRATCH_ADDR": {
20410 "fields": [
20411 {"bits": [0, 31], "name": "OBSOLETE_ADDR"}
20412 ]
20413 },
20414 "SCRATCH_REG0": {
20415 "fields": [
20416 {"bits": [0, 31], "name": "SCRATCH_REG0"}
20417 ]
20418 },
20419 "SCRATCH_REG1": {
20420 "fields": [
20421 {"bits": [0, 31], "name": "SCRATCH_REG1"}
20422 ]
20423 },
20424 "SCRATCH_REG2": {
20425 "fields": [
20426 {"bits": [0, 31], "name": "SCRATCH_REG2"}
20427 ]
20428 },
20429 "SCRATCH_REG3": {
20430 "fields": [
20431 {"bits": [0, 31], "name": "SCRATCH_REG3"}
20432 ]
20433 },
20434 "SCRATCH_REG4": {
20435 "fields": [
20436 {"bits": [0, 31], "name": "SCRATCH_REG4"}
20437 ]
20438 },
20439 "SCRATCH_REG5": {
20440 "fields": [
20441 {"bits": [0, 31], "name": "SCRATCH_REG5"}
20442 ]
20443 },
20444 "SCRATCH_REG6": {
20445 "fields": [
20446 {"bits": [0, 31], "name": "SCRATCH_REG6"}
20447 ]
20448 },
20449 "SCRATCH_REG7": {
20450 "fields": [
20451 {"bits": [0, 31], "name": "SCRATCH_REG7"}
20452 ]
20453 },
20454 "SCRATCH_UMSK": {
20455 "fields": [
20456 {"bits": [0, 7], "name": "OBSOLETE_UMSK"},
20457 {"bits": [16, 17], "name": "OBSOLETE_SWAP"}
20458 ]
20459 },
20460 "SPI_BARYC_CNTL": {
20461 "fields": [
20462 {"bits": [0, 0], "name": "PERSP_CENTER_CNTL"},
20463 {"bits": [4, 4], "name": "PERSP_CENTROID_CNTL"},
20464 {"bits": [8, 8], "name": "LINEAR_CENTER_CNTL"},
20465 {"bits": [12, 12], "name": "LINEAR_CENTROID_CNTL"},
20466 {"bits": [16, 17], "name": "POS_FLOAT_LOCATION"},
20467 {"bits": [20, 20], "name": "POS_FLOAT_ULC"},
20468 {"bits": [24, 24], "name": "FRONT_FACE_ALL_BITS"}
20469 ]
20470 },
20471 "SPI_CONFIG_CNTL": {
20472 "fields": [
20473 {"bits": [0, 20], "name": "GPR_WRITE_PRIORITY"},
20474 {"bits": [21, 23], "name": "EXP_PRIORITY_ORDER"},
20475 {"bits": [24, 24], "name": "ENABLE_SQG_TOP_EVENTS"},
20476 {"bits": [25, 25], "name": "ENABLE_SQG_BOP_EVENTS"},
20477 {"bits": [26, 26], "name": "RSRC_MGMT_RESET"},
20478 {"bits": [27, 27], "name": "TTRACE_STALL_ALL"},
20479 {"bits": [28, 28], "name": "ALLOC_ARB_LRU_ENA"},
20480 {"bits": [29, 29], "name": "EXP_ARB_LRU_ENA"},
20481 {"bits": [30, 31], "name": "PS_PKR_PRIORITY_CNTL"}
20482 ]
20483 },
20484 "SPI_CONFIG_CNTL_1": {
20485 "fields": [
20486 {"bits": [0, 3], "name": "VTX_DONE_DELAY"},
20487 {"bits": [4, 4], "name": "INTERP_ONE_PRIM_PER_ROW"},
20488 {"bits": [5, 6], "name": "PC_LIMIT_ENABLE"},
20489 {"bits": [7, 7], "name": "PC_LIMIT_STRICT"},
20490 {"bits": [8, 8], "name": "CRC_SIMD_ID_WADDR_DISABLE"},
20491 {"bits": [9, 9], "name": "LBPW_CU_CHK_MODE"},
20492 {"bits": [10, 13], "name": "LBPW_CU_CHK_CNT"},
20493 {"bits": [14, 14], "name": "CSC_PWR_SAVE_DISABLE"},
20494 {"bits": [15, 15], "name": "CSG_PWR_SAVE_DISABLE"},
20495 {"bits": [16, 20], "name": "MAX_VTX_SYNC_CNT"}
20496 ]
20497 },
20498 "SPI_CONFIG_CNTL_2": {
20499 "fields": [
20500 {"bits": [0, 3], "name": "CONTEXT_SAVE_WAIT_GDS_REQUEST_CYCLE_OVHD"},
20501 {"bits": [4, 7], "name": "CONTEXT_SAVE_WAIT_GDS_GRANT_CYCLE_OVHD"}
20502 ]
20503 },
20504 "SPI_CONFIG_CNTL_REMAP": {
20505 "fields": [
20506 {"bits": [0, 31], "name": "RESERVED"}
20507 ]
20508 },
20509 "SPI_CSQ_WF_ACTIVE_COUNT_0": {
20510 "fields": [
20511 {"bits": [0, 10], "name": "COUNT"},
20512 {"bits": [16, 26], "name": "EVENTS"}
20513 ]
20514 },
20515 "SPI_CSQ_WF_ACTIVE_STATUS": {
20516 "fields": [
20517 {"bits": [0, 31], "name": "ACTIVE"}
20518 ]
20519 },
20520 "SPI_DEBUG_BUSY": {
20521 "fields": [
20522 {"bits": [0, 0], "name": "LS_BUSY"},
20523 {"bits": [1, 1], "name": "HS_BUSY"},
20524 {"bits": [2, 2], "name": "ES_BUSY"},
20525 {"bits": [3, 3], "name": "GS_BUSY"},
20526 {"bits": [4, 4], "name": "VS_BUSY"},
20527 {"bits": [5, 5], "name": "PS0_BUSY"},
20528 {"bits": [6, 6], "name": "PS1_BUSY"},
20529 {"bits": [7, 7], "name": "PS2_BUSY"},
20530 {"bits": [8, 8], "name": "PS3_BUSY"},
20531 {"bits": [9, 9], "name": "CSG_BUSY"},
20532 {"bits": [10, 10], "name": "CS0_BUSY"},
20533 {"bits": [11, 11], "name": "CS1_BUSY"},
20534 {"bits": [12, 12], "name": "CS2_BUSY"},
20535 {"bits": [13, 13], "name": "CS3_BUSY"},
20536 {"bits": [14, 14], "name": "CS4_BUSY"},
20537 {"bits": [15, 15], "name": "CS5_BUSY"},
20538 {"bits": [16, 16], "name": "CS6_BUSY"},
20539 {"bits": [17, 17], "name": "CS7_BUSY"},
20540 {"bits": [18, 18], "name": "LDS_WR_CTL0_BUSY"},
20541 {"bits": [19, 19], "name": "LDS_WR_CTL1_BUSY"},
20542 {"bits": [20, 20], "name": "PC_DEALLOC_BUSY"},
20543 {"bits": [21, 21], "name": "OFC_LDS_BUSY"},
20544 {"bits": [22, 22], "name": "EVENT_CLCTR_BUSY"},
20545 {"bits": [23, 23], "name": "GRBM_BUSY"},
20546 {"bits": [24, 24], "name": "SPIS_BUSY"},
20547 {"bits": [25, 25], "name": "RSRC_ALLOC_BUSY"}
20548 ]
20549 },
20550 "SPI_DEBUG_CNTL": {
20551 "fields": [
20552 {"bits": [0, 0], "name": "DEBUG_GRBM_OVERRIDE"},
20553 {"bits": [1, 3], "name": "DEBUG_THREAD_TYPE_SEL"},
20554 {"bits": [4, 9], "name": "DEBUG_GROUP_SEL"},
20555 {"bits": [10, 15], "name": "DEBUG_SIMD_SEL"},
20556 {"bits": [16, 16], "name": "DEBUG_SH_SEL"},
20557 {"bits": [17, 17], "name": "SPI_ECO_SPARE_0"},
20558 {"bits": [18, 18], "name": "SPI_ECO_SPARE_1"},
20559 {"bits": [19, 19], "name": "SPI_ECO_SPARE_2"},
20560 {"bits": [20, 20], "name": "SPI_ECO_SPARE_3"},
20561 {"bits": [21, 21], "name": "CGTS_VBUS_SP0_OVERRIDE"},
20562 {"bits": [22, 22], "name": "CGTS_VBUS_SP1_OVERRIDE"},
20563 {"bits": [23, 23], "name": "CGTS_VBUS_LDS_OVERRIDE"},
20564 {"bits": [24, 24], "name": "CGTT_LEGACY_MODE"},
20565 {"bits": [25, 27], "name": "DEBUG_PIPE_SEL"},
20566 {"bits": [28, 29], "name": "DEBUG_PIXEL_PIPE_SEL"},
20567 {"bits": [30, 30], "name": "BCI_PIPE_PER_STAGE_CG_OVERRIDE"},
20568 {"bits": [31, 31], "name": "DEBUG_REG_EN"}
20569 ]
20570 },
20571 "SPI_DEBUG_CNTL_2": {
20572 "fields": [
20573 {"bits": [0, 0], "name": "ECO_SPARE_0"},
20574 {"bits": [1, 1], "name": "ECO_SPARE_1"},
20575 {"bits": [2, 2], "name": "ECO_SPARE_2"},
20576 {"bits": [3, 3], "name": "ECO_SPARE_3"},
20577 {"bits": [4, 4], "name": "ECO_SPARE_4"},
20578 {"bits": [5, 5], "name": "ECO_SPARE_5"},
20579 {"bits": [6, 6], "name": "ECO_SPARE_6"},
20580 {"bits": [7, 7], "name": "ECO_SPARE_7"}
20581 ]
20582 },
20583 "SPI_DSM_CNTL": {
20584 "fields": [
20585 {"bits": [0, 1], "name": "SPI_SR_MEM_DSM_IRRITATOR_DATA"},
20586 {"bits": [2, 2], "name": "SPI_SR_MEM_ENABLE_SINGLE_WRITE"}
20587 ]
20588 },
20589 "SPI_DSM_CNTL2": {
20590 "fields": [
20591 {"bits": [0, 1], "name": "SPI_SR_MEM_ENABLE_ERROR_INJECT"},
20592 {"bits": [2, 2], "name": "SPI_SR_MEM_SELECT_INJECT_DELAY"},
20593 {"bits": [3, 8], "name": "SPI_SR_MEM_INJECT_DELAY"}
20594 ]
20595 },
20596 "SPI_EDC_CNT": {
20597 "fields": [
20598 {"bits": [0, 1], "name": "SPI_SR_MEM_SED_COUNT"}
20599 ]
20600 },
20601 "SPI_GDS_CREDITS": {
20602 "fields": [
20603 {"bits": [0, 7], "name": "DS_DATA_CREDITS"},
20604 {"bits": [8, 15], "name": "DS_CMD_CREDITS"}
20605 ]
20606 },
20607 "SPI_GFX_CNTL": {
20608 "fields": [
20609 {"bits": [0, 0], "name": "RESET_COUNTS"}
20610 ]
20611 },
20612 "SPI_INTERP_CONTROL_0": {
20613 "fields": [
20614 {"bits": [0, 0], "name": "FLAT_SHADE_ENA"},
20615 {"bits": [1, 1], "name": "PNT_SPRITE_ENA"},
20616 {"bits": [2, 4], "enum_ref": "SPI_PNT_SPRITE_OVERRIDE", "name": "PNT_SPRITE_OVRD_X"},
20617 {"bits": [5, 7], "enum_ref": "SPI_PNT_SPRITE_OVERRIDE", "name": "PNT_SPRITE_OVRD_Y"},
20618 {"bits": [8, 10], "enum_ref": "SPI_PNT_SPRITE_OVERRIDE", "name": "PNT_SPRITE_OVRD_Z"},
20619 {"bits": [11, 13], "enum_ref": "SPI_PNT_SPRITE_OVERRIDE", "name": "PNT_SPRITE_OVRD_W"},
20620 {"bits": [14, 14], "name": "PNT_SPRITE_TOP_1"}
20621 ]
20622 },
20623 "SPI_LB_CTR_CTRL": {
20624 "fields": [
20625 {"bits": [0, 0], "name": "LOAD"},
20626 {"bits": [1, 2], "enum_ref": "SPI_LB_WAVES_SELECT", "name": "WAVES_SELECT"},
20627 {"bits": [3, 3], "name": "CLEAR_ON_READ"},
20628 {"bits": [4, 4], "name": "RESET_COUNTS"}
20629 ]
20630 },
20631 "SPI_LB_DATA_PERWGP_WAVE_CS": {
20632 "fields": [
20633 {"bits": [0, 15], "name": "ACTIVE"}
20634 ]
20635 },
20636 "SPI_LB_DATA_PERWGP_WAVE_HSGS": {
20637 "fields": [
20638 {"bits": [0, 15], "name": "WGP_USED_HS"},
20639 {"bits": [16, 31], "name": "WGP_USED_GS"}
20640 ]
20641 },
20642 "SPI_LB_DATA_PERWGP_WAVE_VSPS": {
20643 "fields": [
20644 {"bits": [0, 15], "name": "WGP_USED_VS"},
20645 {"bits": [16, 31], "name": "WGP_USED_PS"}
20646 ]
20647 },
20648 "SPI_LB_DATA_REG": {
20649 "fields": [
20650 {"bits": [0, 31], "name": "CNT_DATA"}
20651 ]
20652 },
20653 "SPI_LB_DATA_WAVES": {
20654 "fields": [
20655 {"bits": [0, 15], "name": "COUNT0"},
20656 {"bits": [16, 31], "name": "COUNT1"}
20657 ]
20658 },
20659 "SPI_LB_WGP_MASK": {
20660 "fields": [
20661 {"bits": [0, 15], "name": "WGP_MASK"}
20662 ]
20663 },
20664 "SPI_P0_TRAP_SCREEN_GPR_MIN": {
20665 "fields": [
20666 {"bits": [0, 5], "name": "VGPR_MIN"},
20667 {"bits": [6, 9], "name": "SGPR_MIN"}
20668 ]
20669 },
20670 "SPI_P0_TRAP_SCREEN_PSBA_HI": {
20671 "fields": [
20672 {"bits": [0, 7], "name": "MEM_BASE"}
20673 ]
20674 },
20675 "SPI_P0_TRAP_SCREEN_PSBA_LO": {
20676 "fields": [
20677 {"bits": [0, 31], "name": "MEM_BASE"}
20678 ]
20679 },
20680 "SPI_PERFCOUNTER0_SELECT": {
20681 "fields": [
20682 {"bits": [0, 9], "enum_ref": "SPI_PERFCNT_SEL", "name": "PERF_SEL"},
20683 {"bits": [10, 19], "enum_ref": "SPI_PERFCNT_SEL", "name": "PERF_SEL1"},
20684 {"bits": [20, 23], "name": "CNTR_MODE"},
20685 {"bits": [24, 27], "name": "PERF_MODE1"},
20686 {"bits": [28, 31], "name": "PERF_MODE"}
20687 ]
20688 },
20689 "SPI_PERFCOUNTER0_SELECT1": {
20690 "fields": [
20691 {"bits": [0, 9], "enum_ref": "SPI_PERFCNT_SEL", "name": "PERF_SEL2"},
20692 {"bits": [10, 19], "enum_ref": "SPI_PERFCNT_SEL", "name": "PERF_SEL3"},
20693 {"bits": [24, 27], "name": "PERF_MODE3"},
20694 {"bits": [28, 31], "name": "PERF_MODE2"}
20695 ]
20696 },
20697 "SPI_PERFCOUNTER4_SELECT": {
20698 "fields": [
20699 {"bits": [0, 9], "enum_ref": "SPI_PERFCNT_SEL", "name": "PERF_SEL"}
20700 ]
20701 },
20702 "SPI_PERFCOUNTER_BINS": {
20703 "fields": [
20704 {"bits": [0, 3], "name": "BIN0_MIN"},
20705 {"bits": [4, 7], "name": "BIN0_MAX"},
20706 {"bits": [8, 11], "name": "BIN1_MIN"},
20707 {"bits": [12, 15], "name": "BIN1_MAX"},
20708 {"bits": [16, 19], "name": "BIN2_MIN"},
20709 {"bits": [20, 23], "name": "BIN2_MAX"},
20710 {"bits": [24, 27], "name": "BIN3_MIN"},
20711 {"bits": [28, 31], "name": "BIN3_MAX"}
20712 ]
20713 },
20714 "SPI_PS_INPUT_CNTL_0": {
20715 "fields": [
20716 {"bits": [0, 5], "name": "OFFSET"},
20717 {"bits": [8, 9], "name": "DEFAULT_VAL"},
20718 {"bits": [10, 10], "name": "FLAT_SHADE"},
20719 {"bits": [13, 16], "name": "CYL_WRAP"},
20720 {"bits": [17, 17], "name": "PT_SPRITE_TEX"},
20721 {"bits": [18, 18], "name": "DUP"},
20722 {"bits": [19, 19], "name": "FP16_INTERP_MODE"},
20723 {"bits": [20, 20], "name": "USE_DEFAULT_ATTR1"},
20724 {"bits": [21, 22], "name": "DEFAULT_VAL_ATTR1"},
20725 {"bits": [23, 23], "name": "PT_SPRITE_TEX_ATTR1"},
20726 {"bits": [24, 24], "name": "ATTR0_VALID"},
20727 {"bits": [25, 25], "name": "ATTR1_VALID"}
20728 ]
20729 },
20730 "SPI_PS_INPUT_CNTL_20": {
20731 "fields": [
20732 {"bits": [0, 5], "name": "OFFSET"},
20733 {"bits": [8, 9], "name": "DEFAULT_VAL"},
20734 {"bits": [10, 10], "name": "FLAT_SHADE"},
20735 {"bits": [18, 18], "name": "DUP"},
20736 {"bits": [19, 19], "name": "FP16_INTERP_MODE"},
20737 {"bits": [20, 20], "name": "USE_DEFAULT_ATTR1"},
20738 {"bits": [21, 22], "name": "DEFAULT_VAL_ATTR1"},
20739 {"bits": [24, 24], "name": "ATTR0_VALID"},
20740 {"bits": [25, 25], "name": "ATTR1_VALID"}
20741 ]
20742 },
20743 "SPI_PS_INPUT_ENA": {
20744 "fields": [
20745 {"bits": [0, 0], "name": "PERSP_SAMPLE_ENA"},
20746 {"bits": [1, 1], "name": "PERSP_CENTER_ENA"},
20747 {"bits": [2, 2], "name": "PERSP_CENTROID_ENA"},
20748 {"bits": [3, 3], "name": "PERSP_PULL_MODEL_ENA"},
20749 {"bits": [4, 4], "name": "LINEAR_SAMPLE_ENA"},
20750 {"bits": [5, 5], "name": "LINEAR_CENTER_ENA"},
20751 {"bits": [6, 6], "name": "LINEAR_CENTROID_ENA"},
20752 {"bits": [7, 7], "name": "LINE_STIPPLE_TEX_ENA"},
20753 {"bits": [8, 8], "name": "POS_X_FLOAT_ENA"},
20754 {"bits": [9, 9], "name": "POS_Y_FLOAT_ENA"},
20755 {"bits": [10, 10], "name": "POS_Z_FLOAT_ENA"},
20756 {"bits": [11, 11], "name": "POS_W_FLOAT_ENA"},
20757 {"bits": [12, 12], "name": "FRONT_FACE_ENA"},
20758 {"bits": [13, 13], "name": "ANCILLARY_ENA"},
20759 {"bits": [14, 14], "name": "SAMPLE_COVERAGE_ENA"},
20760 {"bits": [15, 15], "name": "POS_FIXED_PT_ENA"}
20761 ]
20762 },
20763 "SPI_PS_IN_CONTROL": {
20764 "fields": [
20765 {"bits": [0, 5], "name": "NUM_INTERP"},
20766 {"bits": [6, 6], "name": "PARAM_GEN"},
20767 {"bits": [7, 7], "name": "OFFCHIP_PARAM_EN"},
20768 {"bits": [8, 8], "name": "LATE_PC_DEALLOC"},
20769 {"bits": [14, 14], "name": "BC_OPTIMIZE_DISABLE"},
20770 {"bits": [15, 15], "name": "PS_W32_EN"}
20771 ]
20772 },
20773 "SPI_PS_MAX_WAVE_ID": {
20774 "fields": [
20775 {"bits": [0, 11], "name": "MAX_WAVE_ID"},
20776 {"bits": [16, 25], "name": "MAX_COLLISION_WAVE_ID"}
20777 ]
20778 },
20779 "SPI_SHADER_COL_FORMAT": {
20780 "fields": [
20781 {"bits": [0, 3], "enum_ref": "SPI_SHADER_EX_FORMAT", "name": "COL0_EXPORT_FORMAT"},
20782 {"bits": [4, 7], "enum_ref": "SPI_SHADER_EX_FORMAT", "name": "COL1_EXPORT_FORMAT"},
20783 {"bits": [8, 11], "enum_ref": "SPI_SHADER_EX_FORMAT", "name": "COL2_EXPORT_FORMAT"},
20784 {"bits": [12, 15], "enum_ref": "SPI_SHADER_EX_FORMAT", "name": "COL3_EXPORT_FORMAT"},
20785 {"bits": [16, 19], "enum_ref": "SPI_SHADER_EX_FORMAT", "name": "COL4_EXPORT_FORMAT"},
20786 {"bits": [20, 23], "enum_ref": "SPI_SHADER_EX_FORMAT", "name": "COL5_EXPORT_FORMAT"},
20787 {"bits": [24, 27], "enum_ref": "SPI_SHADER_EX_FORMAT", "name": "COL6_EXPORT_FORMAT"},
20788 {"bits": [28, 31], "enum_ref": "SPI_SHADER_EX_FORMAT", "name": "COL7_EXPORT_FORMAT"}
20789 ]
20790 },
20791 "SPI_SHADER_IDX_FORMAT": {
20792 "fields": [
20793 {"bits": [0, 3], "enum_ref": "SPI_SHADER_FORMAT", "name": "IDX0_EXPORT_FORMAT"}
20794 ]
20795 },
20796 "SPI_SHADER_LATE_ALLOC_VS": {
20797 "fields": [
20798 {"bits": [0, 5], "name": "LIMIT"}
20799 ]
20800 },
20801 "SPI_SHADER_PGM_RSRC1_ES": {
20802 "fields": [
20803 {"bits": [0, 5], "name": "VGPRS"},
20804 {"bits": [6, 9], "name": "SGPRS"},
20805 {"bits": [10, 11], "name": "PRIORITY"},
20806 {"bits": [12, 19], "name": "FLOAT_MODE"},
20807 {"bits": [20, 20], "name": "PRIV"},
20808 {"bits": [21, 21], "name": "DX10_CLAMP"},
20809 {"bits": [22, 22], "name": "DEBUG_MODE"},
20810 {"bits": [23, 23], "name": "IEEE_MODE"},
20811 {"bits": [24, 25], "name": "VGPR_COMP_CNT"},
20812 {"bits": [26, 26], "name": "CU_GROUP_ENABLE"},
20813 {"bits": [30, 30], "name": "CDBG_USER"},
20814 {"bits": [31, 31], "name": "FP16_OVFL"}
20815 ]
20816 },
20817 "SPI_SHADER_PGM_RSRC1_GS": {
20818 "fields": [
20819 {"bits": [0, 5], "name": "VGPRS"},
20820 {"bits": [6, 9], "name": "SGPRS"},
20821 {"bits": [10, 11], "name": "PRIORITY"},
20822 {"bits": [12, 19], "name": "FLOAT_MODE"},
20823 {"bits": [20, 20], "name": "PRIV"},
20824 {"bits": [21, 21], "name": "DX10_CLAMP"},
20825 {"bits": [22, 22], "name": "DEBUG_MODE"},
20826 {"bits": [23, 23], "name": "IEEE_MODE"},
20827 {"bits": [24, 24], "name": "CU_GROUP_ENABLE"},
20828 {"bits": [25, 25], "name": "MEM_ORDERED"},
20829 {"bits": [26, 26], "name": "FWD_PROGRESS"},
20830 {"bits": [27, 27], "name": "WGP_MODE"},
20831 {"bits": [28, 28], "name": "CDBG_USER"},
20832 {"bits": [29, 30], "name": "GS_VGPR_COMP_CNT"},
20833 {"bits": [31, 31], "name": "FP16_OVFL"}
20834 ]
20835 },
20836 "SPI_SHADER_PGM_RSRC1_HS": {
20837 "fields": [
20838 {"bits": [0, 5], "name": "VGPRS"},
20839 {"bits": [6, 9], "name": "SGPRS"},
20840 {"bits": [10, 11], "name": "PRIORITY"},
20841 {"bits": [12, 19], "name": "FLOAT_MODE"},
20842 {"bits": [20, 20], "name": "PRIV"},
20843 {"bits": [21, 21], "name": "DX10_CLAMP"},
20844 {"bits": [22, 22], "name": "DEBUG_MODE"},
20845 {"bits": [23, 23], "name": "IEEE_MODE"},
20846 {"bits": [24, 24], "name": "MEM_ORDERED"},
20847 {"bits": [25, 25], "name": "FWD_PROGRESS"},
20848 {"bits": [26, 26], "name": "WGP_MODE"},
20849 {"bits": [27, 27], "name": "CDBG_USER"},
20850 {"bits": [28, 29], "name": "LS_VGPR_COMP_CNT"},
20851 {"bits": [30, 30], "name": "FP16_OVFL"}
20852 ]
20853 },
20854 "SPI_SHADER_PGM_RSRC1_LS": {
20855 "fields": [
20856 {"bits": [0, 5], "name": "VGPRS"},
20857 {"bits": [6, 9], "name": "SGPRS"},
20858 {"bits": [10, 11], "name": "PRIORITY"},
20859 {"bits": [12, 19], "name": "FLOAT_MODE"},
20860 {"bits": [20, 20], "name": "PRIV"},
20861 {"bits": [21, 21], "name": "DX10_CLAMP"},
20862 {"bits": [22, 22], "name": "DEBUG_MODE"},
20863 {"bits": [23, 23], "name": "IEEE_MODE"},
20864 {"bits": [24, 25], "name": "VGPR_COMP_CNT"},
20865 {"bits": [29, 29], "name": "CDBG_USER"},
20866 {"bits": [30, 30], "name": "FP16_OVFL"}
20867 ]
20868 },
20869 "SPI_SHADER_PGM_RSRC1_PS": {
20870 "fields": [
20871 {"bits": [0, 5], "name": "VGPRS"},
20872 {"bits": [6, 9], "name": "SGPRS"},
20873 {"bits": [10, 11], "name": "PRIORITY"},
20874 {"bits": [12, 19], "name": "FLOAT_MODE"},
20875 {"bits": [20, 20], "name": "PRIV"},
20876 {"bits": [21, 21], "name": "DX10_CLAMP"},
20877 {"bits": [22, 22], "name": "DEBUG_MODE"},
20878 {"bits": [23, 23], "name": "IEEE_MODE"},
20879 {"bits": [24, 24], "name": "CU_GROUP_DISABLE"},
20880 {"bits": [25, 25], "name": "MEM_ORDERED"},
20881 {"bits": [26, 26], "name": "FWD_PROGRESS"},
20882 {"bits": [28, 28], "name": "CDBG_USER"},
20883 {"bits": [29, 29], "name": "FP16_OVFL"}
20884 ]
20885 },
20886 "SPI_SHADER_PGM_RSRC1_VS": {
20887 "fields": [
20888 {"bits": [0, 5], "name": "VGPRS"},
20889 {"bits": [6, 9], "name": "SGPRS"},
20890 {"bits": [10, 11], "name": "PRIORITY"},
20891 {"bits": [12, 19], "name": "FLOAT_MODE"},
20892 {"bits": [20, 20], "name": "PRIV"},
20893 {"bits": [21, 21], "name": "DX10_CLAMP"},
20894 {"bits": [22, 22], "name": "DEBUG_MODE"},
20895 {"bits": [23, 23], "name": "IEEE_MODE"},
20896 {"bits": [24, 25], "name": "VGPR_COMP_CNT"},
20897 {"bits": [26, 26], "name": "CU_GROUP_ENABLE"},
20898 {"bits": [27, 27], "name": "MEM_ORDERED"},
20899 {"bits": [28, 28], "name": "FWD_PROGRESS"},
20900 {"bits": [30, 30], "name": "CDBG_USER"},
20901 {"bits": [31, 31], "name": "FP16_OVFL"}
20902 ]
20903 },
20904 "SPI_SHADER_PGM_RSRC2_ES_VS": {
20905 "fields": [
20906 {"bits": [0, 0], "name": "SCRATCH_EN"},
20907 {"bits": [1, 5], "name": "USER_SGPR"},
20908 {"bits": [6, 6], "name": "TRAP_PRESENT"},
20909 {"bits": [7, 7], "name": "OC_LDS_EN"},
20910 {"bits": [8, 16], "name": "EXCP_EN"},
20911 {"bits": [20, 28], "name": "LDS_SIZE"}
20912 ]
20913 },
20914 "SPI_SHADER_PGM_RSRC2_GS": {
20915 "fields": [
20916 {"bits": [0, 0], "name": "SCRATCH_EN"},
20917 {"bits": [1, 5], "name": "USER_SGPR"},
20918 {"bits": [6, 6], "name": "TRAP_PRESENT"},
20919 {"bits": [7, 15], "name": "EXCP_EN"},
20920 {"bits": [16, 17], "name": "ES_VGPR_COMP_CNT"},
20921 {"bits": [18, 18], "name": "OC_LDS_EN"},
20922 {"bits": [19, 26], "name": "LDS_SIZE"},
20923 {"bits": [27, 27], "name": "USER_SGPR_MSB"},
20924 {"bits": [28, 31], "name": "SHARED_VGPR_CNT"}
20925 ]
20926 },
20927 "SPI_SHADER_PGM_RSRC2_GS_VS": {
20928 "fields": [
20929 {"bits": [0, 0], "name": "SCRATCH_EN"},
20930 {"bits": [1, 5], "name": "USER_SGPR"},
20931 {"bits": [6, 6], "name": "TRAP_PRESENT"},
20932 {"bits": [7, 15], "name": "EXCP_EN"},
20933 {"bits": [16, 17], "name": "VGPR_COMP_CNT"},
20934 {"bits": [18, 18], "name": "OC_LDS_EN"},
20935 {"bits": [19, 26], "name": "LDS_SIZE"},
20936 {"bits": [27, 27], "name": "SKIP_USGPR0"},
20937 {"bits": [28, 28], "name": "USER_SGPR_MSB"}
20938 ]
20939 },
20940 "SPI_SHADER_PGM_RSRC2_HS": {
20941 "fields": [
20942 {"bits": [0, 0], "name": "SCRATCH_EN"},
20943 {"bits": [1, 5], "name": "USER_SGPR"},
20944 {"bits": [6, 6], "name": "TRAP_PRESENT"},
20945 {"bits": [7, 7], "name": "OC_LDS_EN"},
20946 {"bits": [8, 8], "name": "TG_SIZE_EN"},
20947 {"bits": [9, 17], "name": "EXCP_EN"},
20948 {"bits": [18, 26], "name": "LDS_SIZE"},
20949 {"bits": [27, 27], "name": "USER_SGPR_MSB"},
20950 {"bits": [28, 31], "name": "SHARED_VGPR_CNT"}
20951 ]
20952 },
20953 "SPI_SHADER_PGM_RSRC2_LS_VS": {
20954 "fields": [
20955 {"bits": [0, 0], "name": "SCRATCH_EN"},
20956 {"bits": [1, 5], "name": "USER_SGPR"},
20957 {"bits": [6, 6], "name": "TRAP_PRESENT"},
20958 {"bits": [7, 15], "name": "LDS_SIZE"},
20959 {"bits": [16, 24], "name": "EXCP_EN"}
20960 ]
20961 },
20962 "SPI_SHADER_PGM_RSRC2_PS": {
20963 "fields": [
20964 {"bits": [0, 0], "name": "SCRATCH_EN"},
20965 {"bits": [1, 5], "name": "USER_SGPR"},
20966 {"bits": [6, 6], "name": "TRAP_PRESENT"},
20967 {"bits": [7, 7], "name": "WAVE_CNT_EN"},
20968 {"bits": [8, 15], "name": "EXTRA_LDS_SIZE"},
20969 {"bits": [16, 24], "name": "EXCP_EN"},
20970 {"bits": [25, 25], "name": "LOAD_COLLISION_WAVEID"},
20971 {"bits": [26, 26], "name": "LOAD_INTRAWAVE_COLLISION"},
20972 {"bits": [27, 27], "name": "USER_SGPR_MSB"},
20973 {"bits": [28, 31], "name": "SHARED_VGPR_CNT"}
20974 ]
20975 },
20976 "SPI_SHADER_PGM_RSRC2_VS": {
20977 "fields": [
20978 {"bits": [0, 0], "name": "SCRATCH_EN"},
20979 {"bits": [1, 5], "name": "USER_SGPR"},
20980 {"bits": [6, 6], "name": "TRAP_PRESENT"},
20981 {"bits": [7, 7], "name": "OC_LDS_EN"},
20982 {"bits": [8, 8], "name": "SO_BASE0_EN"},
20983 {"bits": [9, 9], "name": "SO_BASE1_EN"},
20984 {"bits": [10, 10], "name": "SO_BASE2_EN"},
20985 {"bits": [11, 11], "name": "SO_BASE3_EN"},
20986 {"bits": [12, 12], "name": "SO_EN"},
20987 {"bits": [13, 21], "name": "EXCP_EN"},
20988 {"bits": [22, 22], "name": "PC_BASE_EN"},
20989 {"bits": [24, 24], "name": "DISPATCH_DRAW_EN"},
20990 {"bits": [27, 27], "name": "USER_SGPR_MSB"},
20991 {"bits": [28, 31], "name": "SHARED_VGPR_CNT"}
20992 ]
20993 },
20994 "SPI_SHADER_PGM_RSRC3_GS": {
20995 "fields": [
20996 {"bits": [0, 15], "name": "CU_EN"},
20997 {"bits": [16, 21], "name": "WAVE_LIMIT"},
20998 {"bits": [22, 25], "name": "LOCK_LOW_THRESHOLD"},
20999 {"bits": [26, 31], "name": "GROUP_FIFO_DEPTH"}
21000 ]
21001 },
21002 "SPI_SHADER_PGM_RSRC3_HS": {
21003 "fields": [
21004 {"bits": [0, 5], "name": "WAVE_LIMIT"},
21005 {"bits": [6, 9], "name": "LOCK_LOW_THRESHOLD"},
21006 {"bits": [10, 15], "name": "GROUP_FIFO_DEPTH"},
21007 {"bits": [16, 31], "name": "CU_EN"}
21008 ]
21009 },
21010 "SPI_SHADER_PGM_RSRC3_PS": {
21011 "fields": [
21012 {"bits": [0, 15], "name": "CU_EN"},
21013 {"bits": [16, 21], "name": "WAVE_LIMIT"},
21014 {"bits": [22, 25], "name": "LOCK_LOW_THRESHOLD"}
21015 ]
21016 },
21017 "SPI_SHADER_PGM_RSRC4_GS": {
21018 "fields": [
21019 {"bits": [0, 15], "name": "CU_EN"},
21020 {"bits": [16, 22], "name": "SPI_SHADER_LATE_ALLOC_GS"}
21021 ]
21022 },
21023 "SPI_SHADER_PGM_RSRC4_PS": {
21024 "fields": [
21025 {"bits": [0, 15], "name": "CU_EN"}
21026 ]
21027 },
21028 "SPI_SHADER_POS_FORMAT": {
21029 "fields": [
21030 {"bits": [0, 3], "enum_ref": "SPI_SHADER_FORMAT", "name": "POS0_EXPORT_FORMAT"},
21031 {"bits": [4, 7], "enum_ref": "SPI_SHADER_FORMAT", "name": "POS1_EXPORT_FORMAT"},
21032 {"bits": [8, 11], "enum_ref": "SPI_SHADER_FORMAT", "name": "POS2_EXPORT_FORMAT"},
21033 {"bits": [12, 15], "enum_ref": "SPI_SHADER_FORMAT", "name": "POS3_EXPORT_FORMAT"},
21034 {"bits": [16, 19], "enum_ref": "SPI_SHADER_FORMAT", "name": "POS4_EXPORT_FORMAT"}
21035 ]
21036 },
21037 "SPI_SHADER_REQ_CTRL_ESGS": {
21038 "fields": [
21039 {"bits": [0, 0], "name": "SOFT_GROUPING_EN"},
21040 {"bits": [1, 4], "name": "NUMBER_OF_REQUESTS_PER_CU"},
21041 {"bits": [5, 8], "name": "SOFT_GROUPING_ALLOCATION_TIMEOUT"},
21042 {"bits": [9, 9], "name": "HARD_LOCK_HYSTERESIS"},
21043 {"bits": [10, 14], "name": "HARD_LOCK_LOW_THRESHOLD"},
21044 {"bits": [15, 15], "name": "PRODUCER_REQUEST_LOCKOUT"},
21045 {"bits": [16, 16], "name": "GLOBAL_SCANNING_EN"},
21046 {"bits": [17, 19], "name": "ALLOCATION_RATE_THROTTLING_THRESHOLD"}
21047 ]
21048 },
21049 "SPI_SHADER_Z_FORMAT": {
21050 "fields": [
21051 {"bits": [0, 3], "enum_ref": "SPI_SHADER_EX_FORMAT", "name": "Z_EXPORT_FORMAT"}
21052 ]
21053 },
21054 "SPI_SLAVE_DEBUG_BUSY": {
21055 "fields": [
21056 {"bits": [0, 0], "name": "LS_VTX_BUSY"},
21057 {"bits": [1, 1], "name": "HS_VTX_BUSY"},
21058 {"bits": [2, 2], "name": "ES_VTX_BUSY"},
21059 {"bits": [3, 3], "name": "GS_VTX_BUSY"},
21060 {"bits": [4, 4], "name": "VS_VTX_BUSY"},
21061 {"bits": [5, 5], "name": "VGPR_WC00_BUSY"},
21062 {"bits": [6, 6], "name": "VGPR_WC01_BUSY"},
21063 {"bits": [7, 7], "name": "SGPR_WC00_BUSY"},
21064 {"bits": [8, 8], "name": "SGPR_WC01_BUSY"},
21065 {"bits": [9, 9], "name": "WAVEBUFFER_BUSY"},
21066 {"bits": [10, 10], "name": "WAVE_WR_WCTL_BUSY"},
21067 {"bits": [11, 11], "name": "EVENT_CNTL_BUSY"},
21068 {"bits": [12, 12], "name": "SAVE_CTX_BUSY"},
21069 {"bits": [13, 13], "name": "WR_CTL_MUX_BUSY"}
21070 ]
21071 },
21072 "SPI_START_PHASE": {
21073 "fields": [
21074 {"bits": [0, 1], "name": "PC_X_PHASE"}
21075 ]
21076 },
21077 "SPI_SX_EXPORT_BUFFER_SIZES": {
21078 "fields": [
21079 {"bits": [0, 15], "name": "COLOR_BUFFER_SIZE"},
21080 {"bits": [16, 31], "name": "POSITION_BUFFER_SIZE"}
21081 ]
21082 },
21083 "SPI_SX_SCOREBOARD_BUFFER_SIZES": {
21084 "fields": [
21085 {"bits": [0, 15], "name": "COLOR_SCOREBOARD_SIZE"},
21086 {"bits": [16, 31], "name": "POSITION_SCOREBOARD_SIZE"}
21087 ]
21088 },
21089 "SPI_VS_OUT_CONFIG": {
21090 "fields": [
21091 {"bits": [1, 5], "name": "VS_EXPORT_COUNT"},
21092 {"bits": [6, 6], "name": "VS_HALF_PACK"},
21093 {"bits": [7, 7], "name": "NO_PC_EXPORT"}
21094 ]
21095 },
21096 "SPI_WAVE_LIMIT_CNTL": {
21097 "fields": [
21098 {"bits": [0, 1], "name": "PS_WAVE_GRAN"},
21099 {"bits": [2, 3], "name": "VS_WAVE_GRAN"},
21100 {"bits": [4, 5], "name": "GS_WAVE_GRAN"},
21101 {"bits": [6, 7], "name": "HS_WAVE_GRAN"}
21102 ]
21103 },
21104 "SPI_WF_LIFETIME_CNTL": {
21105 "fields": [
21106 {"bits": [0, 3], "name": "SAMPLE_PERIOD"},
21107 {"bits": [4, 4], "name": "EN"}
21108 ]
21109 },
21110 "SPI_WF_LIFETIME_DEBUG": {
21111 "fields": [
21112 {"bits": [0, 30], "name": "START_VALUE"},
21113 {"bits": [31, 31], "name": "OVERRIDE_EN"}
21114 ]
21115 },
21116 "SPI_WF_LIFETIME_LIMIT_0": {
21117 "fields": [
21118 {"bits": [0, 30], "name": "MAX_CNT"},
21119 {"bits": [31, 31], "name": "EN_WARN"}
21120 ]
21121 },
21122 "SPI_WF_LIFETIME_STATUS_0": {
21123 "fields": [
21124 {"bits": [0, 30], "name": "MAX_CNT"},
21125 {"bits": [31, 31], "name": "INT_SENT"}
21126 ]
21127 },
21128 "SQC_CACHES": {
21129 "fields": [
21130 {"bits": [0, 0], "name": "TARGET_INST"},
21131 {"bits": [1, 1], "name": "TARGET_DATA"},
21132 {"bits": [2, 2], "name": "INVALIDATE"},
21133 {"bits": [3, 3], "name": "WRITEBACK"},
21134 {"bits": [4, 4], "name": "VOL"},
21135 {"bits": [16, 16], "name": "COMPLETE"},
21136 {"bits": [17, 18], "name": "L2_WB_POLICY"}
21137 ]
21138 },
21139 "SQC_WRITEBACK": {
21140 "fields": [
21141 {"bits": [0, 0], "name": "DWB"},
21142 {"bits": [1, 1], "name": "DIRTY"}
21143 ]
21144 },
21145 "SQ_PERFCOUNTER0_SELECT": {
21146 "fields": [
21147 {"bits": [0, 8], "enum_ref": "SQ_PERF_SEL", "name": "PERF_SEL"},
21148 {"bits": [12, 15], "name": "SQC_BANK_MASK"},
21149 {"bits": [20, 23], "name": "SPM_MODE"},
21150 {"bits": [28, 31], "name": "PERF_MODE"}
21151 ]
21152 },
21153 "SQ_PERFCOUNTER_CTRL": {
21154 "fields": [
21155 {"bits": [0, 0], "name": "PS_EN"},
21156 {"bits": [1, 1], "name": "VS_EN"},
21157 {"bits": [2, 2], "name": "GS_EN"},
21158 {"bits": [3, 3], "name": "ES_EN"},
21159 {"bits": [4, 4], "name": "HS_EN"},
21160 {"bits": [5, 5], "name": "LS_EN"},
21161 {"bits": [6, 6], "name": "CS_EN"},
21162 {"bits": [8, 9], "name": "CNTR_RATE"},
21163 {"bits": [13, 13], "name": "DISABLE_FLUSH"}
21164 ]
21165 },
21166 "SQ_PERFCOUNTER_CTRL2": {
21167 "fields": [
21168 {"bits": [0, 0], "name": "FORCE_EN"}
21169 ]
21170 },
21171 "SQ_THREAD_TRACE_BUF0_BASE": {
21172 "fields": [
21173 {"bits": [0, 31], "name": "BASE_LO"}
21174 ]
21175 },
21176 "SQ_THREAD_TRACE_BUF0_SIZE": {
21177 "fields": [
21178 {"bits": [0, 3], "name": "BASE_HI"},
21179 {"bits": [8, 29], "name": "SIZE"}
21180 ]
21181 },
21182 "SQ_THREAD_TRACE_WPTR": {
21183 "fields": [
21184 {"bits": [0, 28], "name": "OFFSET"},
21185 {"bits": [31, 31], "name": "BUFFER_ID"}
21186 ]
21187 },
21188 "SQ_THREAD_TRACE_MASK": {
21189 "fields": [
21190 {"bits": [0, 1], "name": "SIMD_SEL"},
21191 {"bits": [4, 7], "name": "WGP_SEL"},
21192 {"bits": [9, 9], "name": "SA_SEL"},
21193 {"bits": [10, 16], "name": "WTYPE_INCLUDE"}
21194 ]
21195 },
21196 "SQ_THREAD_TRACE_TOKEN_MASK": {
21197 "fields": [
21198 {"bits": [0, 11], "enum_ref": "ThreadTraceTokenExclude", "name": "TOKEN_EXCLUDE"},
21199 {"bits": [16, 23], "enum_ref": "ThreadTraceRegInclude", "name": "REG_INCLUDE"},
21200 {"bits": [24, 25], "name": "INST_EXCLUDE"},
21201 {"bits": [31, 31], "name": "REG_DETAIL_ALL"}
21202 ]
21203 },
21204 "SQ_THREAD_TRACE_CTRL": {
21205 "fields": [
21206 {"bits": [0, 1], "name": "MODE"},
21207 {"bits": [2, 2], "name": "ALL_VMID"},
21208 {"bits": [3, 3], "name": "CH_PERF_END"},
21209 {"bits": [4, 4], "name": "INTERRUPT_EN"},
21210 {"bits": [5, 5], "name": "DOUBLE_BUFFER"},
21211 {"bits": [6, 8], "name": "HIWATER"},
21212 {"bits": [9, 9], "name": "REG_STALL_EN"},
21213 {"bits": [10, 10], "name": "SPI_STALL_EN"},
21214 {"bits": [11, 11], "name": "SQ_STALL_EN"},
21215 {"bits": [12, 12], "name": "REG_DROP_ON_STALL"},
21216 {"bits": [13, 13], "name": "UTIL_TIMER"},
21217 {"bits": [14, 15], "name": "WAVESTART_MODE"},
21218 {"bits": [16, 17], "name": "RT_FREQ"},
21219 {"bits": [18, 18], "name": "SYNC_COUNT_MARKERS"},
21220 {"bits": [19, 19], "name": "SYNC_COUNT_DRAWS"},
21221 {"bits": [30, 30], "name": "CAPTURE_ALL"},
21222 {"bits": [31, 31], "name": "DRAW_EVENT_EN"}
21223 ]
21224 },
21225 "SQ_THREAD_TRACE_STATUS": {
21226 "fields": [
21227 {"bits": [0, 11], "name": "FINISH_PENDING"},
21228 {"bits": [12, 23], "name": "FINISH_DONE"},
21229 {"bits": [24, 24], "name": "UTC_ERR"},
21230 {"bits": [25, 25], "name": "BUSY"},
21231 {"bits": [26, 26], "name": "EVENT_CNTR_OVERFLOW"},
21232 {"bits": [27, 27], "name": "EVENT_CNTR_STALL"}
21233 ]
21234 },
21235 "SQ_THREAD_TRACE_DROPPED_CNTR": {
21236 "fields": [
21237 {"bits": [0, 31], "name": "CNTR"}
21238 ]
21239 },
21240 "SX_BLEND_OPT_CONTROL": {
21241 "fields": [
21242 {"bits": [0, 0], "name": "MRT0_COLOR_OPT_DISABLE"},
21243 {"bits": [1, 1], "name": "MRT0_ALPHA_OPT_DISABLE"},
21244 {"bits": [4, 4], "name": "MRT1_COLOR_OPT_DISABLE"},
21245 {"bits": [5, 5], "name": "MRT1_ALPHA_OPT_DISABLE"},
21246 {"bits": [8, 8], "name": "MRT2_COLOR_OPT_DISABLE"},
21247 {"bits": [9, 9], "name": "MRT2_ALPHA_OPT_DISABLE"},
21248 {"bits": [12, 12], "name": "MRT3_COLOR_OPT_DISABLE"},
21249 {"bits": [13, 13], "name": "MRT3_ALPHA_OPT_DISABLE"},
21250 {"bits": [16, 16], "name": "MRT4_COLOR_OPT_DISABLE"},
21251 {"bits": [17, 17], "name": "MRT4_ALPHA_OPT_DISABLE"},
21252 {"bits": [20, 20], "name": "MRT5_COLOR_OPT_DISABLE"},
21253 {"bits": [21, 21], "name": "MRT5_ALPHA_OPT_DISABLE"},
21254 {"bits": [24, 24], "name": "MRT6_COLOR_OPT_DISABLE"},
21255 {"bits": [25, 25], "name": "MRT6_ALPHA_OPT_DISABLE"},
21256 {"bits": [28, 28], "name": "MRT7_COLOR_OPT_DISABLE"},
21257 {"bits": [29, 29], "name": "MRT7_ALPHA_OPT_DISABLE"},
21258 {"bits": [31, 31], "name": "PIXEN_ZERO_OPT_DISABLE"}
21259 ]
21260 },
21261 "SX_BLEND_OPT_EPSILON": {
21262 "fields": [
21263 {"bits": [0, 3], "name": "MRT0_EPSILON"},
21264 {"bits": [4, 7], "name": "MRT1_EPSILON"},
21265 {"bits": [8, 11], "name": "MRT2_EPSILON"},
21266 {"bits": [12, 15], "name": "MRT3_EPSILON"},
21267 {"bits": [16, 19], "name": "MRT4_EPSILON"},
21268 {"bits": [20, 23], "name": "MRT5_EPSILON"},
21269 {"bits": [24, 27], "name": "MRT6_EPSILON"},
21270 {"bits": [28, 31], "name": "MRT7_EPSILON"}
21271 ]
21272 },
21273 "SX_DEBUG_1": {
21274 "fields": [
21275 {"bits": [0, 6], "name": "SX_DB_QUAD_CREDIT"},
21276 {"bits": [8, 8], "name": "DISABLE_BLEND_OPT_DONT_RD_DST"},
21277 {"bits": [9, 9], "name": "DISABLE_BLEND_OPT_BYPASS"},
21278 {"bits": [10, 10], "name": "DISABLE_BLEND_OPT_DISCARD_PIXEL"},
21279 {"bits": [11, 11], "name": "DISABLE_QUAD_PAIR_OPT"},
21280 {"bits": [12, 12], "name": "DISABLE_PIX_EN_ZERO_OPT"},
21281 {"bits": [13, 13], "name": "DISABLE_SX_DB_FGCG"},
21282 {"bits": [14, 14], "name": "ENABLE_SAME_PC_GDS_CGTS"},
21283 {"bits": [15, 15], "name": "DISABLE_RAM_FGCG"},
21284 {"bits": [16, 16], "name": "PC_DISABLE_SAME_ADDR_OPT"},
21285 {"bits": [17, 31], "name": "DEBUG_DATA"}
21286 ]
21287 },
21288 "SX_DEBUG_BUSY": {
21289 "fields": [
21290 {"bits": [0, 0], "name": "POS_FREE_OR_VALIDS"},
21291 {"bits": [1, 1], "name": "POS_REQUESTER_BUSY"},
21292 {"bits": [2, 2], "name": "PA_SX_BUSY"},
21293 {"bits": [3, 3], "name": "POS_SCBD_BUSY"},
21294 {"bits": [4, 4], "name": "POS_BANK3VAL3_BUSY"},
21295 {"bits": [5, 5], "name": "POS_BANK3VAL2_BUSY"},
21296 {"bits": [6, 6], "name": "POS_BANK3VAL1_BUSY"},
21297 {"bits": [7, 7], "name": "POS_BANK3VAL0_BUSY"},
21298 {"bits": [8, 8], "name": "POS_BANK2VAL3_BUSY"},
21299 {"bits": [9, 9], "name": "POS_BANK2VAL2_BUSY"},
21300 {"bits": [10, 10], "name": "POS_BANK2VAL1_BUSY"},
21301 {"bits": [11, 11], "name": "POS_BANK2VAL0_BUSY"},
21302 {"bits": [12, 12], "name": "POS_BANK1VAL3_BUSY"},
21303 {"bits": [13, 13], "name": "POS_BANK1VAL2_BUSY"},
21304 {"bits": [14, 14], "name": "POS_BANK1VAL1_BUSY"},
21305 {"bits": [15, 15], "name": "POS_BANK1VAL0_BUSY"},
21306 {"bits": [16, 16], "name": "POS_BANK0VAL3_BUSY"},
21307 {"bits": [17, 17], "name": "POS_BANK0VAL2_BUSY"},
21308 {"bits": [18, 18], "name": "POS_BANK0VAL1_BUSY"},
21309 {"bits": [19, 19], "name": "POS_BANK0VAL0_BUSY"},
21310 {"bits": [20, 20], "name": "RESERVED"},
21311 {"bits": [21, 21], "name": "COL_WRCTRL1_VALIDQ3"},
21312 {"bits": [22, 22], "name": "COL_WRCTRL1_VALIDQ2"},
21313 {"bits": [23, 23], "name": "COL_WRCTRL1_VALIDQ1"},
21314 {"bits": [24, 24], "name": "COL_WRCTRL0_VALIDQ3"},
21315 {"bits": [25, 25], "name": "COL_WRCTRL0_VALIDQ2"},
21316 {"bits": [26, 26], "name": "COL_WRCTRL0_VALIDQ1"},
21317 {"bits": [27, 27], "name": "PCCMD_VALID"},
21318 {"bits": [28, 28], "name": "VDATA1_VALID"},
21319 {"bits": [29, 29], "name": "VDATA0_VALID"},
21320 {"bits": [30, 30], "name": "CMD_BUSYORVAL"},
21321 {"bits": [31, 31], "name": "ADDR_BUSYORVAL"}
21322 ]
21323 },
21324 "SX_DEBUG_BUSY_2": {
21325 "fields": [
21326 {"bits": [0, 0], "name": "COL_SCBD0_BUSY"},
21327 {"bits": [1, 1], "name": "COL_REQ3_FREECNT_NE0"},
21328 {"bits": [2, 2], "name": "COL_REQ3_IDLE"},
21329 {"bits": [3, 3], "name": "COL_REQ3_BUSY"},
21330 {"bits": [4, 4], "name": "COL_REQ2_FREECNT_NE0"},
21331 {"bits": [5, 5], "name": "COL_REQ2_IDLE"},
21332 {"bits": [6, 6], "name": "COL_REQ2_BUSY"},
21333 {"bits": [7, 7], "name": "COL_REQ1_FREECNT_NE0"},
21334 {"bits": [8, 8], "name": "COL_REQ1_IDLE"},
21335 {"bits": [9, 9], "name": "COL_REQ1_BUSY"},
21336 {"bits": [10, 10], "name": "COL_REQ0_FREECNT_NE0"},
21337 {"bits": [11, 11], "name": "COL_REQ0_IDLE"},
21338 {"bits": [12, 12], "name": "COL_REQ0_BUSY"},
21339 {"bits": [13, 13], "name": "COL_DBIF3_SENDFREE_BUSY"},
21340 {"bits": [14, 14], "name": "COL_DBIF3_FIFO_BUSY"},
21341 {"bits": [15, 15], "name": "COL_DBIF3_QUAD_FREE"},
21342 {"bits": [16, 16], "name": "COL_DBIF2_SENDFREE_BUSY"},
21343 {"bits": [17, 17], "name": "COL_DBIF2_FIFO_BUSY"},
21344 {"bits": [18, 18], "name": "COL_DBIF2_QUAD_FREE"},
21345 {"bits": [19, 19], "name": "COL_DBIF1_SENDFREE_BUSY"},
21346 {"bits": [20, 20], "name": "COL_DBIF1_FIFO_BUSY"},
21347 {"bits": [21, 21], "name": "COL_DBIF1_QUAD_FREE"},
21348 {"bits": [22, 22], "name": "COL_DBIF0_SENDFREE_BUSY"},
21349 {"bits": [23, 23], "name": "COL_DBIF0_FIFO_BUSY"},
21350 {"bits": [24, 24], "name": "COL_DBIF0_QUAD_FREE"},
21351 {"bits": [25, 25], "name": "COL_BUFF3_BANK3_VAL3_BUSY"},
21352 {"bits": [26, 26], "name": "COL_BUFF3_BANK3_VAL2_BUSY"},
21353 {"bits": [27, 27], "name": "COL_BUFF3_BANK3_VAL1_BUSY"},
21354 {"bits": [28, 28], "name": "COL_BUFF3_BANK3_VAL0_BUSY"},
21355 {"bits": [29, 29], "name": "COL_BUFF3_BANK2_VAL3_BUSY"},
21356 {"bits": [30, 30], "name": "COL_BUFF3_BANK2_VAL2_BUSY"},
21357 {"bits": [31, 31], "name": "COL_BUFF3_BANK2_VAL1_BUSY"}
21358 ]
21359 },
21360 "SX_DEBUG_BUSY_3": {
21361 "fields": [
21362 {"bits": [0, 0], "name": "COL_BUFF3_BANK2_VAL0_BUSY"},
21363 {"bits": [1, 1], "name": "COL_BUFF3_BANK1_VAL3_BUSY"},
21364 {"bits": [2, 2], "name": "COL_BUFF3_BANK1_VAL2_BUSY"},
21365 {"bits": [3, 3], "name": "COL_BUFF3_BANK1_VAL1_BUSY"},
21366 {"bits": [4, 4], "name": "COL_BUFF3_BANK1_VAL0_BUSY"},
21367 {"bits": [5, 5], "name": "COL_BUFF3_BANK0_VAL3_BUSY"},
21368 {"bits": [6, 6], "name": "COL_BUFF3_BANK0_VAL2_BUSY"},
21369 {"bits": [7, 7], "name": "COL_BUFF3_BANK0_VAL1_BUSY"},
21370 {"bits": [8, 8], "name": "COL_BUFF3_BANK0_VAL0_BUSY"},
21371 {"bits": [9, 9], "name": "COL_BUFF2_BANK3_VAL3_BUSY"},
21372 {"bits": [10, 10], "name": "COL_BUFF2_BANK3_VAL2_BUSY"},
21373 {"bits": [11, 11], "name": "COL_BUFF2_BANK3_VAL1_BUSY"},
21374 {"bits": [12, 12], "name": "COL_BUFF2_BANK3_VAL0_BUSY"},
21375 {"bits": [13, 13], "name": "COL_BUFF2_BANK2_VAL3_BUSY"},
21376 {"bits": [14, 14], "name": "COL_BUFF2_BANK2_VAL2_BUSY"},
21377 {"bits": [15, 15], "name": "COL_BUFF2_BANK2_VAL1_BUSY"},
21378 {"bits": [16, 16], "name": "COL_BUFF2_BANK2_VAL0_BUSY"},
21379 {"bits": [17, 17], "name": "COL_BUFF2_BANK1_VAL3_BUSY"},
21380 {"bits": [18, 18], "name": "COL_BUFF2_BANK1_VAL2_BUSY"},
21381 {"bits": [19, 19], "name": "COL_BUFF2_BANK1_VAL1_BUSY"},
21382 {"bits": [20, 20], "name": "COL_BUFF2_BANK1_VAL0_BUSY"},
21383 {"bits": [21, 21], "name": "COL_BUFF2_BANK0_VAL3_BUSY"},
21384 {"bits": [22, 22], "name": "COL_BUFF2_BANK0_VAL2_BUSY"},
21385 {"bits": [23, 23], "name": "COL_BUFF2_BANK0_VAL1_BUSY"},
21386 {"bits": [24, 24], "name": "COL_BUFF2_BANK0_VAL0_BUSY"},
21387 {"bits": [25, 25], "name": "COL_BUFF1_BANK3_VAL3_BUSY"},
21388 {"bits": [26, 26], "name": "COL_BUFF1_BANK3_VAL2_BUSY"},
21389 {"bits": [27, 27], "name": "COL_BUFF1_BANK3_VAL1_BUSY"},
21390 {"bits": [28, 28], "name": "COL_BUFF1_BANK3_VAL0_BUSY"},
21391 {"bits": [29, 29], "name": "COL_BUFF1_BANK2_VAL3_BUSY"},
21392 {"bits": [30, 30], "name": "COL_BUFF1_BANK2_VAL2_BUSY"},
21393 {"bits": [31, 31], "name": "COL_BUFF1_BANK2_VAL1_BUSY"}
21394 ]
21395 },
21396 "SX_DEBUG_BUSY_4": {
21397 "fields": [
21398 {"bits": [0, 0], "name": "COL_BUFF1_BANK2_VAL0_BUSY"},
21399 {"bits": [1, 1], "name": "COL_BUFF1_BANK1_VAL3_BUSY"},
21400 {"bits": [2, 2], "name": "COL_BUFF1_BANK1_VAL2_BUSY"},
21401 {"bits": [3, 3], "name": "COL_BUFF1_BANK1_VAL1_BUSY"},
21402 {"bits": [4, 4], "name": "COL_BUFF1_BANK1_VAL0_BUSY"},
21403 {"bits": [5, 5], "name": "COL_BUFF1_BANK0_VAL3_BUSY"},
21404 {"bits": [6, 6], "name": "COL_BUFF1_BANK0_VAL2_BUSY"},
21405 {"bits": [7, 7], "name": "COL_BUFF1_BANK0_VAL1_BUSY"},
21406 {"bits": [8, 8], "name": "COL_BUFF1_BANK0_VAL0_BUSY"},
21407 {"bits": [9, 9], "name": "COL_BUFF0_BANK3_VAL3_BUSY"},
21408 {"bits": [10, 10], "name": "COL_BUFF0_BANK3_VAL2_BUSY"},
21409 {"bits": [11, 11], "name": "COL_BUFF0_BANK3_VAL1_BUSY"},
21410 {"bits": [12, 12], "name": "COL_BUFF0_BANK3_VAL0_BUSY"},
21411 {"bits": [13, 13], "name": "COL_BUFF0_BANK2_VAL3_BUSY"},
21412 {"bits": [14, 14], "name": "COL_BUFF0_BANK2_VAL2_BUSY"},
21413 {"bits": [15, 15], "name": "COL_BUFF0_BANK2_VAL1_BUSY"},
21414 {"bits": [16, 16], "name": "COL_BUFF0_BANK2_VAL0_BUSY"},
21415 {"bits": [17, 17], "name": "COL_BUFF0_BANK1_VAL3_BUSY"},
21416 {"bits": [18, 18], "name": "COL_BUFF0_BANK1_VAL2_BUSY"},
21417 {"bits": [19, 19], "name": "COL_BUFF0_BANK1_VAL1_BUSY"},
21418 {"bits": [20, 20], "name": "COL_BUFF0_BANK1_VAL0_BUSY"},
21419 {"bits": [21, 21], "name": "COL_BUFF0_BANK0_VAL3_BUSY"},
21420 {"bits": [22, 22], "name": "COL_BUFF0_BANK0_VAL2_BUSY"},
21421 {"bits": [23, 23], "name": "COL_BUFF0_BANK0_VAL1_BUSY"},
21422 {"bits": [24, 24], "name": "COL_BUFF0_BANK0_VAL0_BUSY"},
21423 {"bits": [25, 25], "name": "COL_BUFF3_BANK7_VAL3_BUSY"},
21424 {"bits": [26, 26], "name": "COL_BUFF3_BANK7_VAL2_BUSY"},
21425 {"bits": [27, 27], "name": "COL_BUFF3_BANK7_VAL1_BUSY"},
21426 {"bits": [28, 28], "name": "COL_BUFF3_BANK7_VAL0_BUSY"},
21427 {"bits": [29, 29], "name": "COL_BUFF3_BANK6_VAL3_BUSY"},
21428 {"bits": [30, 30], "name": "COL_BUFF3_BANK6_VAL2_BUSY"},
21429 {"bits": [31, 31], "name": "COL_BUFF3_BANK6_VAL1_BUSY"}
21430 ]
21431 },
21432 "SX_DEBUG_BUSY_5": {
21433 "fields": [
21434 {"bits": [0, 0], "name": "COL_BUFF3_BANK6_VAL0_BUSY"},
21435 {"bits": [1, 1], "name": "COL_BUFF3_BANK5_VAL3_BUSY"},
21436 {"bits": [2, 2], "name": "COL_BUFF3_BANK5_VAL2_BUSY"},
21437 {"bits": [3, 3], "name": "COL_BUFF3_BANK5_VAL1_BUSY"},
21438 {"bits": [4, 4], "name": "COL_BUFF3_BANK5_VAL0_BUSY"},
21439 {"bits": [5, 5], "name": "COL_BUFF3_BANK4_VAL3_BUSY"},
21440 {"bits": [6, 6], "name": "COL_BUFF3_BANK4_VAL2_BUSY"},
21441 {"bits": [7, 7], "name": "COL_BUFF3_BANK4_VAL1_BUSY"},
21442 {"bits": [8, 8], "name": "COL_BUFF3_BANK4_VAL0_BUSY"},
21443 {"bits": [9, 9], "name": "COL_BUFF2_BANK7_VAL3_BUSY"},
21444 {"bits": [10, 10], "name": "COL_BUFF2_BANK7_VAL2_BUSY"},
21445 {"bits": [11, 11], "name": "COL_BUFF2_BANK7_VAL1_BUSY"},
21446 {"bits": [12, 12], "name": "COL_BUFF2_BANK7_VAL0_BUSY"},
21447 {"bits": [13, 13], "name": "COL_BUFF2_BANK6_VAL3_BUSY"},
21448 {"bits": [14, 14], "name": "COL_BUFF2_BANK6_VAL2_BUSY"},
21449 {"bits": [15, 15], "name": "COL_BUFF2_BANK6_VAL1_BUSY"},
21450 {"bits": [16, 16], "name": "COL_BUFF2_BANK6_VAL0_BUSY"},
21451 {"bits": [17, 17], "name": "COL_BUFF2_BANK5_VAL3_BUSY"},
21452 {"bits": [18, 18], "name": "COL_BUFF2_BANK5_VAL2_BUSY"},
21453 {"bits": [19, 19], "name": "COL_BUFF2_BANK5_VAL1_BUSY"},
21454 {"bits": [20, 20], "name": "COL_BUFF2_BANK5_VAL0_BUSY"},
21455 {"bits": [21, 21], "name": "COL_BUFF2_BANK4_VAL3_BUSY"},
21456 {"bits": [22, 22], "name": "COL_BUFF2_BANK4_VAL2_BUSY"},
21457 {"bits": [23, 23], "name": "COL_BUFF2_BANK4_VAL1_BUSY"},
21458 {"bits": [24, 24], "name": "COL_BUFF2_BANK4_VAL0_BUSY"},
21459 {"bits": [25, 25], "name": "COL_BUFF1_BANK7_VAL3_BUSY"},
21460 {"bits": [26, 26], "name": "COL_BUFF1_BANK7_VAL2_BUSY"},
21461 {"bits": [27, 27], "name": "COL_BUFF1_BANK7_VAL1_BUSY"},
21462 {"bits": [28, 28], "name": "COL_BUFF1_BANK7_VAL0_BUSY"},
21463 {"bits": [29, 29], "name": "COL_BUFF1_BANK6_VAL3_BUSY"},
21464 {"bits": [30, 30], "name": "COL_BUFF1_BANK6_VAL2_BUSY"},
21465 {"bits": [31, 31], "name": "COL_BUFF1_BANK6_VAL1_BUSY"}
21466 ]
21467 },
21468 "SX_DEBUG_BUSY_6": {
21469 "fields": [
21470 {"bits": [0, 0], "name": "COL_BUFF1_BANK6_VAL0_BUSY"},
21471 {"bits": [1, 1], "name": "COL_BUFF1_BANK5_VAL3_BUSY"},
21472 {"bits": [2, 2], "name": "COL_BUFF1_BANK5_VAL2_BUSY"},
21473 {"bits": [3, 3], "name": "COL_BUFF1_BANK5_VAL1_BUSY"},
21474 {"bits": [4, 4], "name": "COL_BUFF1_BANK5_VAL0_BUSY"},
21475 {"bits": [5, 5], "name": "COL_BUFF1_BANK4_VAL3_BUSY"},
21476 {"bits": [6, 6], "name": "COL_BUFF1_BANK4_VAL2_BUSY"},
21477 {"bits": [7, 7], "name": "COL_BUFF1_BANK4_VAL1_BUSY"},
21478 {"bits": [8, 8], "name": "COL_BUFF1_BANK4_VAL0_BUSY"},
21479 {"bits": [9, 9], "name": "COL_BUFF0_BANK7_VAL3_BUSY"},
21480 {"bits": [10, 10], "name": "COL_BUFF0_BANK7_VAL2_BUSY"},
21481 {"bits": [11, 11], "name": "COL_BUFF0_BANK7_VAL1_BUSY"},
21482 {"bits": [12, 12], "name": "COL_BUFF0_BANK7_VAL0_BUSY"},
21483 {"bits": [13, 13], "name": "COL_BUFF0_BANK6_VAL3_BUSY"},
21484 {"bits": [14, 14], "name": "COL_BUFF0_BANK6_VAL2_BUSY"},
21485 {"bits": [15, 15], "name": "COL_BUFF0_BANK6_VAL1_BUSY"},
21486 {"bits": [16, 16], "name": "COL_BUFF0_BANK6_VAL0_BUSY"},
21487 {"bits": [17, 17], "name": "COL_BUFF0_BANK5_VAL3_BUSY"},
21488 {"bits": [18, 18], "name": "COL_BUFF0_BANK5_VAL2_BUSY"},
21489 {"bits": [19, 19], "name": "COL_BUFF0_BANK5_VAL1_BUSY"},
21490 {"bits": [20, 20], "name": "COL_BUFF0_BANK5_VAL0_BUSY"},
21491 {"bits": [21, 21], "name": "COL_BUFF0_BANK4_VAL3_BUSY"},
21492 {"bits": [22, 22], "name": "COL_BUFF0_BANK4_VAL2_BUSY"},
21493 {"bits": [23, 23], "name": "COL_BUFF0_BANK4_VAL1_BUSY"},
21494 {"bits": [24, 24], "name": "COL_BUFF0_BANK4_VAL0_BUSY"},
21495 {"bits": [25, 25], "name": "COL_REQ3_CREDIT_BUSY"},
21496 {"bits": [26, 26], "name": "COL_REQ3_FLOP_BUSY"},
21497 {"bits": [27, 27], "name": "COL_REQ2_CREDIT_BUSY"},
21498 {"bits": [28, 28], "name": "COL_REQ2_FLOP_BUSY"},
21499 {"bits": [29, 29], "name": "COL_REQ1_CREDIT_BUSY"},
21500 {"bits": [30, 30], "name": "COL_REQ1_FLOP_BUSY"},
21501 {"bits": [31, 31], "name": "COL_REQ0_CREDIT_BUSY"}
21502 ]
21503 },
21504 "SX_DEBUG_BUSY_7": {
21505 "fields": [
21506 {"bits": [0, 0], "name": "COL_REQ0_FLOP_BUSY"},
21507 {"bits": [1, 1], "name": "COL_SCBD0_BUSY"},
21508 {"bits": [2, 2], "name": "COL_BLEND3_DATA_VALIDQ1"},
21509 {"bits": [3, 3], "name": "COL_BLEND3_DATA_VALIDQ1_ADJ"},
21510 {"bits": [4, 4], "name": "COL_BLEND3_DATA_VALIDQ2"},
21511 {"bits": [5, 5], "name": "COL_BLEND3_DATA_VALIDQ3"},
21512 {"bits": [6, 6], "name": "COL_BLEND3_DATA_VALIDQ4"},
21513 {"bits": [7, 7], "name": "COL_BLEND3_DATA_VALIDQ5"},
21514 {"bits": [8, 8], "name": "COL_BLEND3_DATA_VALID_OUT"},
21515 {"bits": [9, 9], "name": "RESERVED"},
21516 {"bits": [10, 10], "name": "COL_BLEND2_DATA_VALIDQ1"},
21517 {"bits": [11, 11], "name": "COL_BLEND2_DATA_VALIDQ1_ADJ"},
21518 {"bits": [12, 12], "name": "COL_BLEND2_DATA_VALIDQ2"},
21519 {"bits": [13, 13], "name": "COL_BLEND2_DATA_VALIDQ3"},
21520 {"bits": [14, 14], "name": "COL_BLEND2_DATA_VALIDQ4"},
21521 {"bits": [15, 15], "name": "COL_BLEND2_DATA_VALIDQ5"},
21522 {"bits": [16, 16], "name": "COL_BLEND2_DATA_VALID_OUT"},
21523 {"bits": [17, 17], "name": "RESERVED"},
21524 {"bits": [18, 18], "name": "COL_BLEND1_DATA_VALIDQ1"},
21525 {"bits": [19, 19], "name": "COL_BLEND1_DATA_VALIDQ1_ADJ"},
21526 {"bits": [20, 20], "name": "COL_BLEND1_DATA_VALIDQ2"},
21527 {"bits": [21, 21], "name": "COL_BLEND1_DATA_VALIDQ3"},
21528 {"bits": [22, 22], "name": "COL_BLEND1_DATA_VALIDQ4"},
21529 {"bits": [23, 23], "name": "COL_BLEND1_DATA_VALIDQ5"},
21530 {"bits": [24, 24], "name": "COL_BLEND1_DATA_VALID_OUT"},
21531 {"bits": [25, 25], "name": "RESERVED"},
21532 {"bits": [26, 26], "name": "COL_BLEND0_DATA_VALIDQ1"},
21533 {"bits": [27, 27], "name": "COL_BLEND0_DATA_VALIDQ1_ADJ"},
21534 {"bits": [28, 28], "name": "COL_BLEND0_DATA_VALIDQ2"},
21535 {"bits": [29, 29], "name": "COL_BLEND0_DATA_VALIDQ3"},
21536 {"bits": [30, 30], "name": "COL_BLEND0_DATA_VALIDQ4"},
21537 {"bits": [31, 31], "name": "COL_BLEND0_DATA_VALIDQ5"}
21538 ]
21539 },
21540 "SX_DEBUG_BUSY_8": {
21541 "fields": [
21542 {"bits": [0, 0], "name": "COL_BLEND0_DATA_VALID_OUT"},
21543 {"bits": [1, 1], "name": "RESERVED"},
21544 {"bits": [2, 2], "name": "POS_BANK7VAL3_BUSY"},
21545 {"bits": [3, 3], "name": "POS_BANK7VAL2_BUSY"},
21546 {"bits": [4, 4], "name": "POS_BANK7VAL1_BUSY"},
21547 {"bits": [5, 5], "name": "POS_BANK7VAL0_BUSY"},
21548 {"bits": [6, 6], "name": "POS_BANK6VAL3_BUSY"},
21549 {"bits": [7, 7], "name": "POS_BANK6VAL2_BUSY"},
21550 {"bits": [8, 8], "name": "POS_BANK6VAL1_BUSY"},
21551 {"bits": [9, 9], "name": "POS_BANK6VAL0_BUSY"},
21552 {"bits": [10, 10], "name": "POS_BANK5VAL3_BUSY"},
21553 {"bits": [11, 11], "name": "POS_BANK5VAL2_BUSY"},
21554 {"bits": [12, 12], "name": "POS_BANK5VAL1_BUSY"},
21555 {"bits": [13, 13], "name": "POS_BANK5VAL0_BUSY"},
21556 {"bits": [14, 14], "name": "POS_BANK4VAL3_BUSY"},
21557 {"bits": [15, 15], "name": "POS_BANK4VAL2_BUSY"},
21558 {"bits": [16, 16], "name": "POS_BANK4VAL1_BUSY"},
21559 {"bits": [17, 17], "name": "POS_BANK4VAL0_BUSY"},
21560 {"bits": [18, 18], "name": "POS_WRCTRL1_VALIDQ3"},
21561 {"bits": [19, 19], "name": "POS_WRCTRL1_VALIDQ2"},
21562 {"bits": [20, 20], "name": "POS_WRCTRL1_VALIDQ1"},
21563 {"bits": [21, 21], "name": "IDX_WRCTRL1_VALIDQ3"},
21564 {"bits": [22, 22], "name": "IDX_WRCTRL1_VALIDQ2"},
21565 {"bits": [23, 23], "name": "IDX_WRCTRL1_VALIDQ1"},
21566 {"bits": [24, 24], "name": "IDX_SCBD_BUSY"},
21567 {"bits": [25, 25], "name": "IDX_FREE_OR_VALIDS"},
21568 {"bits": [26, 26], "name": "IDX_REQUESTER_BUSY"},
21569 {"bits": [27, 27], "name": "PA_SX_IDX_BUSY"},
21570 {"bits": [28, 28], "name": "IDX_BANK7VAL3_BUSY"},
21571 {"bits": [29, 29], "name": "IDX_BANK7VAL2_BUSY"},
21572 {"bits": [30, 30], "name": "IDX_BANK7VAL1_BUSY"},
21573 {"bits": [31, 31], "name": "IDX_BANK7VAL0_BUSY"}
21574 ]
21575 },
21576 "SX_DEBUG_BUSY_9": {
21577 "fields": [
21578 {"bits": [0, 0], "name": "IDX_BANK6VAL3_BUSY"},
21579 {"bits": [1, 1], "name": "IDX_BANK6VAL2_BUSY"},
21580 {"bits": [2, 2], "name": "IDX_BANK6VAL1_BUSY"},
21581 {"bits": [3, 3], "name": "IDX_BANK6VAL0_BUSY"},
21582 {"bits": [4, 4], "name": "IDX_BANK5VAL3_BUSY"},
21583 {"bits": [5, 5], "name": "IDX_BANK5VAL2_BUSY"},
21584 {"bits": [6, 6], "name": "IDX_BANK5VAL1_BUSY"},
21585 {"bits": [7, 7], "name": "IDX_BANK5VAL0_BUSY"},
21586 {"bits": [8, 8], "name": "IDX_BANK4VAL3_BUSY"},
21587 {"bits": [9, 9], "name": "IDX_BANK4VAL2_BUSY"},
21588 {"bits": [10, 10], "name": "IDX_BANK4VAL1_BUSY"},
21589 {"bits": [11, 11], "name": "IDX_BANK4VAL0_BUSY"},
21590 {"bits": [12, 12], "name": "IDX_BANK3VAL3_BUSY"},
21591 {"bits": [13, 13], "name": "IDX_BANK3VAL2_BUSY"},
21592 {"bits": [14, 14], "name": "IDX_BANK3VAL1_BUSY"},
21593 {"bits": [15, 15], "name": "IDX_BANK3VAL0_BUSY"},
21594 {"bits": [16, 16], "name": "IDX_BANK2VAL3_BUSY"},
21595 {"bits": [17, 17], "name": "IDX_BANK2VAL2_BUSY"},
21596 {"bits": [18, 18], "name": "IDX_BANK2VAL1_BUSY"},
21597 {"bits": [19, 19], "name": "IDX_BANK2VAL0_BUSY"},
21598 {"bits": [20, 20], "name": "IDX_BANK1VAL3_BUSY"},
21599 {"bits": [21, 21], "name": "IDX_BANK1VAL2_BUSY"},
21600 {"bits": [22, 22], "name": "IDX_BANK1VAL1_BUSY"},
21601 {"bits": [23, 23], "name": "IDX_BANK1VAL0_BUSY"},
21602 {"bits": [24, 24], "name": "IDX_BANK0VAL3_BUSY"},
21603 {"bits": [25, 25], "name": "IDX_BANK0VAL2_BUSY"},
21604 {"bits": [26, 26], "name": "IDX_BANK0VAL1_BUSY"},
21605 {"bits": [27, 27], "name": "IDX_BANK0VAL0_BUSY"},
21606 {"bits": [28, 28], "name": "SX_SX_IN_VALID"},
21607 {"bits": [29, 29], "name": "SX_SX_OUT_VALID"},
21608 {"bits": [30, 31], "name": "RESERVED"}
21609 ]
21610 },
21611 "SX_MRT0_BLEND_OPT": {
21612 "fields": [
21613 {"bits": [0, 2], "enum_ref": "SX_BLEND_OPT", "name": "COLOR_SRC_OPT"},
21614 {"bits": [4, 6], "enum_ref": "SX_BLEND_OPT", "name": "COLOR_DST_OPT"},
21615 {"bits": [8, 10], "enum_ref": "SX_OPT_COMB_FCN", "name": "COLOR_COMB_FCN"},
21616 {"bits": [16, 18], "enum_ref": "SX_BLEND_OPT", "name": "ALPHA_SRC_OPT"},
21617 {"bits": [20, 22], "enum_ref": "SX_BLEND_OPT", "name": "ALPHA_DST_OPT"},
21618 {"bits": [24, 26], "enum_ref": "SX_OPT_COMB_FCN", "name": "ALPHA_COMB_FCN"}
21619 ]
21620 },
21621 "SX_PERFCOUNTER0_SELECT": {
21622 "fields": [
21623 {"bits": [0, 9], "enum_ref": "SX_PERFCOUNTER_VALS", "name": "PERFCOUNTER_SELECT"},
21624 {"bits": [10, 19], "name": "PERFCOUNTER_SELECT1"},
21625 {"bits": [20, 23], "name": "CNTR_MODE"}
21626 ]
21627 },
21628 "SX_PERFCOUNTER0_SELECT1": {
21629 "fields": [
21630 {"bits": [0, 9], "name": "PERFCOUNTER_SELECT2"},
21631 {"bits": [10, 19], "name": "PERFCOUNTER_SELECT3"}
21632 ]
21633 },
21634 "SX_PS_DOWNCONVERT_CONTROL": {
21635 "fields": [
21636 {"bits": [0, 0], "name": "MRT0_FMT_MAPPING_DISABLE"},
21637 {"bits": [1, 1], "name": "MRT1_FMT_MAPPING_DISABLE"},
21638 {"bits": [2, 2], "name": "MRT2_FMT_MAPPING_DISABLE"},
21639 {"bits": [3, 3], "name": "MRT3_FMT_MAPPING_DISABLE"},
21640 {"bits": [4, 4], "name": "MRT4_FMT_MAPPING_DISABLE"},
21641 {"bits": [5, 5], "name": "MRT5_FMT_MAPPING_DISABLE"},
21642 {"bits": [6, 6], "name": "MRT6_FMT_MAPPING_DISABLE"},
21643 {"bits": [7, 7], "name": "MRT7_FMT_MAPPING_DISABLE"}
21644 ]
21645 },
21646 "SX_PS_DOWNCONVERT": {
21647 "fields": [
21648 {"bits": [0, 3], "enum_ref": "SX_DOWNCONVERT_FORMAT", "name": "MRT0"},
21649 {"bits": [4, 7], "enum_ref": "SX_DOWNCONVERT_FORMAT", "name": "MRT1"},
21650 {"bits": [8, 11], "enum_ref": "SX_DOWNCONVERT_FORMAT", "name": "MRT2"},
21651 {"bits": [12, 15], "enum_ref": "SX_DOWNCONVERT_FORMAT", "name": "MRT3"},
21652 {"bits": [16, 19], "enum_ref": "SX_DOWNCONVERT_FORMAT", "name": "MRT4"},
21653 {"bits": [20, 23], "enum_ref": "SX_DOWNCONVERT_FORMAT", "name": "MRT5"},
21654 {"bits": [24, 27], "enum_ref": "SX_DOWNCONVERT_FORMAT", "name": "MRT6"},
21655 {"bits": [28, 31], "enum_ref": "SX_DOWNCONVERT_FORMAT", "name": "MRT7"}
21656 ]
21657 },
21658 "TA_BC_BASE_ADDR_HI": {
21659 "fields": [
21660 {"bits": [0, 7], "name": "ADDRESS"}
21661 ]
21662 },
21663 "TA_PERFCOUNTER0_SELECT": {
21664 "fields": [
21665 {"bits": [0, 7], "enum_ref": "TA_PERFCOUNT_SEL", "name": "PERF_SEL"},
21666 {"bits": [10, 17], "enum_ref": "TA_PERFCOUNT_SEL", "name": "PERF_SEL1"},
21667 {"bits": [20, 23], "name": "CNTR_MODE"},
21668 {"bits": [24, 27], "name": "PERF_MODE1"},
21669 {"bits": [28, 31], "name": "PERF_MODE"}
21670 ]
21671 },
21672 "TA_PERFCOUNTER0_SELECT1": {
21673 "fields": [
21674 {"bits": [0, 7], "enum_ref": "TA_PERFCOUNT_SEL", "name": "PERF_SEL2"},
21675 {"bits": [10, 17], "enum_ref": "TA_PERFCOUNT_SEL", "name": "PERF_SEL3"},
21676 {"bits": [24, 27], "name": "PERF_MODE3"},
21677 {"bits": [28, 31], "name": "PERF_MODE2"}
21678 ]
21679 },
21680 "TA_PERFCOUNTER1_SELECT": {
21681 "fields": [
21682 {"bits": [0, 7], "enum_ref": "TA_PERFCOUNT_SEL", "name": "PERF_SEL"},
21683 {"bits": [20, 23], "name": "CNTR_MODE"},
21684 {"bits": [28, 31], "name": "PERF_MODE"}
21685 ]
21686 },
21687 "TCP_PERFCOUNTER0_SELECT": {
21688 "fields": [
21689 {"bits": [0, 9], "enum_ref": "TCP_PERFCOUNT_SELECT", "name": "PERF_SEL"},
21690 {"bits": [10, 19], "enum_ref": "TCP_PERFCOUNT_SELECT", "name": "PERF_SEL1"},
21691 {"bits": [20, 23], "name": "CNTR_MODE"},
21692 {"bits": [24, 27], "name": "PERF_MODE1"},
21693 {"bits": [28, 31], "name": "PERF_MODE"}
21694 ]
21695 },
21696 "TCP_PERFCOUNTER0_SELECT1": {
21697 "fields": [
21698 {"bits": [0, 9], "enum_ref": "TCP_PERFCOUNT_SELECT", "name": "PERF_SEL2"},
21699 {"bits": [10, 19], "enum_ref": "TCP_PERFCOUNT_SELECT", "name": "PERF_SEL3"},
21700 {"bits": [24, 27], "name": "PERF_MODE3"},
21701 {"bits": [28, 31], "name": "PERF_MODE2"}
21702 ]
21703 },
21704 "TCP_PERFCOUNTER2_SELECT": {
21705 "fields": [
21706 {"bits": [0, 9], "enum_ref": "TCP_PERFCOUNT_SELECT", "name": "PERF_SEL"},
21707 {"bits": [20, 23], "name": "CNTR_MODE"},
21708 {"bits": [28, 31], "name": "PERF_MODE"}
21709 ]
21710 },
21711 "TD_PERFCOUNTER0_SELECT": {
21712 "fields": [
21713 {"bits": [0, 7], "enum_ref": "TD_PERFCOUNT_SEL", "name": "PERF_SEL"},
21714 {"bits": [10, 17], "enum_ref": "TD_PERFCOUNT_SEL", "name": "PERF_SEL1"},
21715 {"bits": [20, 23], "name": "CNTR_MODE"},
21716 {"bits": [24, 27], "name": "PERF_MODE1"},
21717 {"bits": [28, 31], "name": "PERF_MODE"}
21718 ]
21719 },
21720 "TD_PERFCOUNTER0_SELECT1": {
21721 "fields": [
21722 {"bits": [0, 7], "enum_ref": "TD_PERFCOUNT_SEL", "name": "PERF_SEL2"},
21723 {"bits": [10, 17], "enum_ref": "TD_PERFCOUNT_SEL", "name": "PERF_SEL3"},
21724 {"bits": [24, 27], "name": "PERF_MODE3"},
21725 {"bits": [28, 31], "name": "PERF_MODE2"}
21726 ]
21727 },
21728 "TD_PERFCOUNTER1_SELECT": {
21729 "fields": [
21730 {"bits": [0, 7], "enum_ref": "TD_PERFCOUNT_SEL", "name": "PERF_SEL"},
21731 {"bits": [20, 23], "name": "CNTR_MODE"},
21732 {"bits": [28, 31], "name": "PERF_MODE"}
21733 ]
21734 },
21735 "UTCL1_PERFCOUNTER0_SELECT": {
21736 "fields": [
21737 {"bits": [0, 9], "enum_ref": "UTCL1PerfSel", "name": "PERF_SEL"},
21738 {"bits": [28, 31], "name": "COUNTER_MODE"}
21739 ]
21740 },
21741 "VGT_DISPATCH_DRAW_INDEX": {
21742 "fields": [
21743 {"bits": [0, 31], "name": "MATCH_INDEX"}
21744 ]
21745 },
21746 "VGT_DMA_BASE_HI": {
21747 "fields": [
21748 {"bits": [0, 15], "name": "BASE_ADDR"}
21749 ]
21750 },
21751 "VGT_DMA_INDEX_TYPE": {
21752 "fields": [
21753 {"bits": [0, 1], "enum_ref": "VGT_INDEX_TYPE_MODE", "name": "INDEX_TYPE"},
21754 {"bits": [2, 3], "enum_ref": "VGT_DMA_SWAP_MODE", "name": "SWAP_MODE"},
21755 {"bits": [4, 5], "enum_ref": "VGT_DMA_BUF_TYPE", "name": "BUF_TYPE"},
21756 {"bits": [6, 7], "enum_ref": "VGT_RDREQ_POLICY", "name": "RDREQ_POLICY"},
21757 {"bits": [8, 8], "name": "ATC"},
21758 {"bits": [9, 9], "name": "NOT_EOP"},
21759 {"bits": [10, 10], "name": "REQ_PATH"},
21760 {"bits": [11, 13], "name": "MTYPE"}
21761 ]
21762 },
21763 "VGT_DMA_MAX_SIZE": {
21764 "fields": [
21765 {"bits": [0, 31], "name": "MAX_SIZE"}
21766 ]
21767 },
21768 "VGT_DMA_NUM_INSTANCES": {
21769 "fields": [
21770 {"bits": [0, 31], "name": "NUM_INSTANCES"}
21771 ]
21772 },
21773 "VGT_DMA_SIZE": {
21774 "fields": [
21775 {"bits": [0, 31], "name": "NUM_INDICES"}
21776 ]
21777 },
21778 "VGT_DRAW_INITIATOR": {
21779 "fields": [
21780 {"bits": [0, 1], "enum_ref": "VGT_DI_SOURCE_SELECT", "name": "SOURCE_SELECT"},
21781 {"bits": [2, 3], "enum_ref": "VGT_DI_MAJOR_MODE_SELECT", "name": "MAJOR_MODE"},
21782 {"bits": [4, 4], "name": "SPRITE_EN_R6XX"},
21783 {"bits": [5, 5], "name": "NOT_EOP"},
21784 {"bits": [6, 6], "name": "USE_OPAQUE"},
21785 {"bits": [7, 7], "name": "UNROLLED_INST"},
21786 {"bits": [8, 8], "name": "GRBM_SKEW_NO_DEC"},
21787 {"bits": [29, 31], "name": "REG_RT_INDEX"}
21788 ]
21789 },
21790 "VGT_DRAW_PAYLOAD_CNTL": {
21791 "fields": [
21792 {"bits": [0, 0], "name": "OBJPRIM_ID_EN"},
21793 {"bits": [1, 1], "name": "EN_REG_RT_INDEX"},
21794 {"bits": [2, 2], "name": "OBJECT_ID_INST_EN"},
21795 {"bits": [3, 3], "name": "EN_PRIM_PAYLOAD"},
21796 {"bits": [4, 4], "name": "EN_DRAW_VP"}
21797 ]
21798 },
21799 "VGT_ESGS_RING_ITEMSIZE": {
21800 "fields": [
21801 {"bits": [0, 14], "name": "ITEMSIZE"}
21802 ]
21803 },
21804 "VGT_ESGS_RING_SIZE": {
21805 "fields": [
21806 {"bits": [0, 31], "name": "MEM_SIZE"}
21807 ]
21808 },
21809 "VGT_ES_PER_GS": {
21810 "fields": [
21811 {"bits": [0, 10], "name": "ES_PER_GS"}
21812 ]
21813 },
21814 "VGT_EVENT_ADDRESS_REG": {
21815 "fields": [
21816 {"bits": [0, 27], "name": "ADDRESS_LOW"}
21817 ]
21818 },
21819 "VGT_EVENT_INITIATOR": {
21820 "fields": [
21821 {"bits": [0, 5], "enum_ref": "VGT_EVENT_TYPE", "name": "EVENT_TYPE"},
21822 {"bits": [10, 26], "name": "ADDRESS_HI"},
21823 {"bits": [27, 27], "name": "EXTENDED_EVENT"}
21824 ]
21825 },
21826 "VGT_GSVS_RING_OFFSET_1": {
21827 "fields": [
21828 {"bits": [0, 14], "name": "OFFSET"}
21829 ]
21830 },
21831 "VGT_GS_INSTANCE_CNT": {
21832 "fields": [
21833 {"bits": [0, 0], "name": "ENABLE"},
21834 {"bits": [2, 8], "name": "CNT"},
21835 {"bits": [31, 31], "name": "EN_MAX_VERT_OUT_PER_GS_INSTANCE"}
21836 ]
21837 },
21838 "VGT_GS_MAX_VERT_OUT": {
21839 "fields": [
21840 {"bits": [0, 10], "name": "MAX_VERT_OUT"}
21841 ]
21842 },
21843 "VGT_GS_MODE": {
21844 "fields": [
21845 {"bits": [0, 2], "enum_ref": "VGT_GS_MODE_TYPE", "name": "MODE"},
21846 {"bits": [3, 3], "name": "RESERVED_0"},
21847 {"bits": [4, 5], "enum_ref": "VGT_GS_CUT_MODE", "name": "CUT_MODE"},
21848 {"bits": [6, 10], "name": "RESERVED_1"},
21849 {"bits": [11, 11], "name": "GS_C_PACK_EN"},
21850 {"bits": [12, 12], "name": "RESERVED_2"},
21851 {"bits": [13, 13], "name": "ES_PASSTHRU"},
21852 {"bits": [14, 14], "name": "COMPUTE_MODE"},
21853 {"bits": [15, 15], "name": "FAST_COMPUTE_MODE"},
21854 {"bits": [16, 16], "name": "ELEMENT_INFO_EN"},
21855 {"bits": [17, 17], "name": "PARTIAL_THD_AT_EOI"},
21856 {"bits": [18, 18], "name": "SUPPRESS_CUTS"},
21857 {"bits": [19, 19], "name": "ES_WRITE_OPTIMIZE"},
21858 {"bits": [20, 20], "name": "GS_WRITE_OPTIMIZE"},
21859 {"bits": [21, 22], "name": "ONCHIP"}
21860 ]
21861 },
21862 "VGT_GS_ONCHIP_CNTL": {
21863 "fields": [
21864 {"bits": [0, 10], "name": "ES_VERTS_PER_SUBGRP"},
21865 {"bits": [11, 21], "name": "GS_PRIMS_PER_SUBGRP"},
21866 {"bits": [22, 31], "name": "GS_INST_PRIMS_IN_SUBGRP"}
21867 ]
21868 },
21869 "VGT_GS_OUT_PRIM_TYPE": {
21870 "fields": [
21871 {"bits": [0, 5], "enum_ref": "VGT_GS_OUT_PRIM_TYPE__OUTPRIM_TYPE", "name": "OUTPRIM_TYPE"},
21872 {"bits": [8, 13], "enum_ref": "VGT_GS_OUT_PRIM_TYPE__OUTPRIM_TYPE", "name": "OUTPRIM_TYPE_1"},
21873 {"bits": [16, 21], "enum_ref": "VGT_GS_OUT_PRIM_TYPE__OUTPRIM_TYPE", "name": "OUTPRIM_TYPE_2"},
21874 {"bits": [22, 27], "enum_ref": "VGT_GS_OUT_PRIM_TYPE__OUTPRIM_TYPE", "name": "OUTPRIM_TYPE_3"},
21875 {"bits": [31, 31], "name": "UNIQUE_TYPE_PER_STREAM"}
21876 ]
21877 },
21878 "VGT_GS_PER_ES": {
21879 "fields": [
21880 {"bits": [0, 10], "name": "GS_PER_ES"}
21881 ]
21882 },
21883 "VGT_GS_PER_VS": {
21884 "fields": [
21885 {"bits": [0, 3], "name": "GS_PER_VS"}
21886 ]
21887 },
21888 "VGT_HOS_MAX_TESS_LEVEL": {
21889 "fields": [
21890 {"bits": [0, 31], "name": "MAX_TESS"}
21891 ]
21892 },
21893 "VGT_HOS_MIN_TESS_LEVEL": {
21894 "fields": [
21895 {"bits": [0, 31], "name": "MIN_TESS"}
21896 ]
21897 },
21898 "VGT_HS_OFFCHIP_PARAM_UMD": {
21899 "fields": [
21900 {"bits": [0, 8], "name": "OFFCHIP_BUFFERING"},
21901 {"bits": [9, 10], "name": "OFFCHIP_GRANULARITY"},
21902 {"bits": [0, 9], "name": "OFFCHIP_BUFFERING_GFX103"},
21903 {"bits": [10, 11], "name": "OFFCHIP_GRANULARITY_GFX103"}
21904 ]
21905 },
21906 "VGT_INSTANCE_BASE_ID": {
21907 "fields": [
21908 {"bits": [0, 31], "name": "INSTANCE_BASE_ID"}
21909 ]
21910 },
21911 "VGT_INSTANCE_STEP_RATE_0": {
21912 "fields": [
21913 {"bits": [0, 31], "name": "STEP_RATE"}
21914 ]
21915 },
21916 "VGT_LS_HS_CONFIG": {
21917 "fields": [
21918 {"bits": [0, 7], "name": "NUM_PATCHES"},
21919 {"bits": [8, 13], "name": "HS_NUM_INPUT_CP"},
21920 {"bits": [14, 19], "name": "HS_NUM_OUTPUT_CP"}
21921 ]
21922 },
21923 "VGT_MULTI_PRIM_IB_RESET_INDX": {
21924 "fields": [
21925 {"bits": [0, 31], "name": "RESET_INDX"}
21926 ]
21927 },
21928 "VGT_OUTPUT_PATH_CNTL": {
21929 "fields": [
21930 {"bits": [0, 2], "name": "PATH_SELECT"}
21931 ]
21932 },
21933 "VGT_OUT_DEALLOC_CNTL": {
21934 "fields": [
21935 {"bits": [0, 6], "name": "DEALLOC_DIST"}
21936 ]
21937 },
21938 "VGT_PRIMITIVEID_EN": {
21939 "fields": [
21940 {"bits": [0, 0], "name": "PRIMITIVEID_EN"},
21941 {"bits": [1, 1], "name": "DISABLE_RESET_ON_EOI"},
21942 {"bits": [2, 2], "name": "NGG_DISABLE_PROVOK_REUSE"}
21943 ]
21944 },
21945 "VGT_PRIMITIVEID_RESET": {
21946 "fields": [
21947 {"bits": [0, 31], "name": "VALUE"}
21948 ]
21949 },
21950 "VGT_PRIMITIVE_TYPE": {
21951 "fields": [
21952 {"bits": [0, 5], "enum_ref": "VGT_DI_PRIM_TYPE", "name": "PRIM_TYPE"}
21953 ]
21954 },
21955 "VGT_REUSE_OFF": {
21956 "fields": [
21957 {"bits": [0, 0], "name": "REUSE_OFF"}
21958 ]
21959 },
21960 "VGT_SHADER_STAGES_EN": {
21961 "fields": [
21962 {"bits": [0, 1], "enum_ref": "VGT_STAGES_LS_EN", "name": "LS_EN"},
21963 {"bits": [2, 2], "enum_ref": "VGT_STAGES_HS_EN", "name": "HS_EN"},
21964 {"bits": [3, 4], "enum_ref": "VGT_STAGES_ES_EN", "name": "ES_EN"},
21965 {"bits": [5, 5], "enum_ref": "VGT_STAGES_GS_EN", "name": "GS_EN"},
21966 {"bits": [6, 7], "enum_ref": "VGT_STAGES_VS_EN", "name": "VS_EN"},
21967 {"bits": [8, 8], "name": "DYNAMIC_HS"},
21968 {"bits": [9, 9], "name": "DISPATCH_DRAW_EN"},
21969 {"bits": [10, 10], "name": "DIS_DEALLOC_ACCUM_0"},
21970 {"bits": [11, 11], "name": "DIS_DEALLOC_ACCUM_1"},
21971 {"bits": [12, 12], "name": "VS_WAVE_ID_EN"},
21972 {"bits": [13, 13], "name": "PRIMGEN_EN"},
21973 {"bits": [14, 14], "name": "ORDERED_ID_MODE"},
21974 {"bits": [15, 18], "name": "MAX_PRIMGRP_IN_WAVE"},
21975 {"bits": [19, 20], "name": "GS_FAST_LAUNCH"},
21976 {"bits": [21, 21], "name": "HS_W32_EN"},
21977 {"bits": [22, 22], "name": "GS_W32_EN"},
21978 {"bits": [23, 23], "name": "VS_W32_EN"},
21979 {"bits": [24, 24], "name": "NGG_WAVE_ID_EN"},
21980 {"bits": [25, 25], "name": "PRIMGEN_PASSTHRU_EN"}
21981 ]
21982 },
21983 "VGT_STRMOUT_BUFFER_CONFIG": {
21984 "fields": [
21985 {"bits": [0, 3], "name": "STREAM_0_BUFFER_EN"},
21986 {"bits": [4, 7], "name": "STREAM_1_BUFFER_EN"},
21987 {"bits": [8, 11], "name": "STREAM_2_BUFFER_EN"},
21988 {"bits": [12, 15], "name": "STREAM_3_BUFFER_EN"}
21989 ]
21990 },
21991 "VGT_STRMOUT_CONFIG": {
21992 "fields": [
21993 {"bits": [0, 0], "name": "STREAMOUT_0_EN"},
21994 {"bits": [1, 1], "name": "STREAMOUT_1_EN"},
21995 {"bits": [2, 2], "name": "STREAMOUT_2_EN"},
21996 {"bits": [3, 3], "name": "STREAMOUT_3_EN"},
21997 {"bits": [4, 6], "name": "RAST_STREAM"},
21998 {"bits": [7, 7], "name": "EN_PRIMS_NEEDED_CNT"},
21999 {"bits": [8, 11], "name": "RAST_STREAM_MASK"},
22000 {"bits": [31, 31], "name": "USE_RAST_STREAM_MASK"}
22001 ]
22002 },
22003 "VGT_STRMOUT_DRAW_OPAQUE_VERTEX_STRIDE": {
22004 "fields": [
22005 {"bits": [0, 8], "name": "VERTEX_STRIDE"}
22006 ]
22007 },
22008 "VGT_STRMOUT_VTX_STRIDE_0": {
22009 "fields": [
22010 {"bits": [0, 9], "name": "STRIDE"}
22011 ]
22012 },
22013 "VGT_TESS_DISTRIBUTION": {
22014 "fields": [
22015 {"bits": [0, 7], "name": "ACCUM_ISOLINE"},
22016 {"bits": [8, 15], "name": "ACCUM_TRI"},
22017 {"bits": [16, 23], "name": "ACCUM_QUAD"},
22018 {"bits": [24, 28], "name": "DONUT_SPLIT"},
22019 {"bits": [29, 31], "name": "TRAP_SPLIT"}
22020 ]
22021 },
22022 "VGT_TF_MEMORY_BASE": {
22023 "fields": [
22024 {"bits": [0, 31], "name": "BASE"}
22025 ]
22026 },
22027 "VGT_TF_PARAM": {
22028 "fields": [
22029 {"bits": [0, 1], "enum_ref": "VGT_TESS_TYPE", "name": "TYPE"},
22030 {"bits": [2, 4], "enum_ref": "VGT_TESS_PARTITION", "name": "PARTITIONING"},
22031 {"bits": [5, 7], "enum_ref": "VGT_TESS_TOPOLOGY", "name": "TOPOLOGY"},
22032 {"bits": [8, 8], "name": "RESERVED_REDUC_AXIS"},
22033 {"bits": [9, 9], "name": "DEPRECATED"},
22034 {"bits": [10, 13], "name": "NUM_DS_WAVES_PER_SIMD"},
22035 {"bits": [14, 14], "name": "DISABLE_DONUTS"},
22036 {"bits": [15, 16], "enum_ref": "VGT_RDREQ_POLICY", "name": "RDREQ_POLICY"},
22037 {"bits": [17, 18], "enum_ref": "VGT_DIST_MODE", "name": "DISTRIBUTION_MODE"},
22038 {"bits": [19, 19], "enum_ref": "VGT_DETECT_ONE", "name": "DETECT_ONE"},
22039 {"bits": [20, 20], "enum_ref": "VGT_DETECT_ZERO", "name": "DETECT_ZERO"},
22040 {"bits": [23, 25], "name": "MTYPE"}
22041 ]
22042 },
22043 "VGT_TF_RING_SIZE": {
22044 "fields": [
22045 {"bits": [0, 15], "name": "SIZE"}
22046 ]
22047 },
22048 "VGT_VERTEX_REUSE_BLOCK_CNTL": {
22049 "fields": [
22050 {"bits": [0, 7], "name": "VTX_REUSE_DEPTH"}
22051 ]
22052 },
22053 "VGT_VTX_CNT_EN": {
22054 "fields": [
22055 {"bits": [0, 0], "name": "VTX_CNT_EN"}
22056 ]
22057 }
22058 }
22059 }