ac: update register and packet definitions for preemption
[mesa.git] / src / amd / registers / gfx10.json
1 {
2 "enums": {
3 "ReadPolicy": {
4 "entries": [
5 {"name": "CACHE_LRU_RD", "value": 0},
6 {"name": "CACHE_STREAM_RD", "value": 1},
7 {"name": "CACHE_NOA_RD", "value": 2},
8 {"name": "RESERVED_RDPOLICY", "value": 3}
9 ]
10 },
11 "WritePolicy": {
12 "entries": [
13 {"name": "CACHE_LRU_WR", "value": 0},
14 {"name": "CACHE_STREAM_WR", "value": 1},
15 {"name": "CACHE_NOA_WR", "value": 2},
16 {"name": "CACHE_BYPASS_WR", "value": 3}
17 ]
18 },
19 "BinMapMode": {
20 "entries": [
21 {"name": "BIN_MAP_MODE_NONE", "value": 0},
22 {"name": "BIN_MAP_MODE_RTA_INDEX", "value": 1},
23 {"name": "BIN_MAP_MODE_POPS", "value": 2}
24 ]
25 },
26 "BinSizeExtend": {
27 "entries": [
28 {"name": "BIN_SIZE_32_PIXELS", "value": 0},
29 {"name": "BIN_SIZE_64_PIXELS", "value": 1},
30 {"name": "BIN_SIZE_128_PIXELS", "value": 2},
31 {"name": "BIN_SIZE_256_PIXELS", "value": 3},
32 {"name": "BIN_SIZE_512_PIXELS", "value": 4}
33 ]
34 },
35 "BinningMode": {
36 "entries": [
37 {"name": "BINNING_ALLOWED", "value": 0},
38 {"name": "FORCE_BINNING_ON", "value": 1},
39 {"name": "DISABLE_BINNING_USE_NEW_SC", "value": 2},
40 {"name": "DISABLE_BINNING_USE_LEGACY_SC", "value": 3}
41 ]
42 },
43 "BlendOp": {
44 "entries": [
45 {"name": "BLEND_ZERO", "value": 0},
46 {"name": "BLEND_ONE", "value": 1},
47 {"name": "BLEND_SRC_COLOR", "value": 2},
48 {"name": "BLEND_ONE_MINUS_SRC_COLOR", "value": 3},
49 {"name": "BLEND_SRC_ALPHA", "value": 4},
50 {"name": "BLEND_ONE_MINUS_SRC_ALPHA", "value": 5},
51 {"name": "BLEND_DST_ALPHA", "value": 6},
52 {"name": "BLEND_ONE_MINUS_DST_ALPHA", "value": 7},
53 {"name": "BLEND_DST_COLOR", "value": 8},
54 {"name": "BLEND_ONE_MINUS_DST_COLOR", "value": 9},
55 {"name": "BLEND_SRC_ALPHA_SATURATE", "value": 10},
56 {"name": "BLEND_BOTH_SRC_ALPHA", "value": 11},
57 {"name": "BLEND_BOTH_INV_SRC_ALPHA", "value": 12},
58 {"name": "BLEND_CONSTANT_COLOR", "value": 13},
59 {"name": "BLEND_ONE_MINUS_CONSTANT_COLOR", "value": 14},
60 {"name": "BLEND_SRC1_COLOR", "value": 15},
61 {"name": "BLEND_INV_SRC1_COLOR", "value": 16},
62 {"name": "BLEND_SRC1_ALPHA", "value": 17},
63 {"name": "BLEND_INV_SRC1_ALPHA", "value": 18},
64 {"name": "BLEND_CONSTANT_ALPHA", "value": 19},
65 {"name": "BLEND_ONE_MINUS_CONSTANT_ALPHA", "value": 20}
66 ]
67 },
68 "BlendOpt": {
69 "entries": [
70 {"name": "FORCE_OPT_AUTO", "value": 0},
71 {"name": "FORCE_OPT_DISABLE", "value": 1},
72 {"name": "FORCE_OPT_ENABLE_IF_SRC_A_0", "value": 2},
73 {"name": "FORCE_OPT_ENABLE_IF_SRC_RGB_0", "value": 3},
74 {"name": "FORCE_OPT_ENABLE_IF_SRC_ARGB_0", "value": 4},
75 {"name": "FORCE_OPT_ENABLE_IF_SRC_A_1", "value": 5},
76 {"name": "FORCE_OPT_ENABLE_IF_SRC_RGB_1", "value": 6},
77 {"name": "FORCE_OPT_ENABLE_IF_SRC_ARGB_1", "value": 7}
78 ]
79 },
80 "CBMode": {
81 "entries": [
82 {"name": "CB_DISABLE", "value": 0},
83 {"name": "CB_NORMAL", "value": 1},
84 {"name": "CB_ELIMINATE_FAST_CLEAR", "value": 2},
85 {"name": "CB_RESOLVE", "value": 3},
86 {"name": "CB_DECOMPRESS", "value": 4},
87 {"name": "CB_FMASK_DECOMPRESS", "value": 5},
88 {"name": "CB_DCC_DECOMPRESS", "value": 6},
89 {"name": "CB_RESERVED", "value": 7}
90 ]
91 },
92 "CBPerfClearFilterSel": {
93 "entries": [
94 {"name": "CB_PERF_CLEAR_FILTER_SEL_NONCLEAR", "value": 0},
95 {"name": "CB_PERF_CLEAR_FILTER_SEL_CLEAR", "value": 1}
96 ]
97 },
98 "CBPerfOpFilterSel": {
99 "entries": [
100 {"name": "CB_PERF_OP_FILTER_SEL_WRITE_ONLY", "value": 0},
101 {"name": "CB_PERF_OP_FILTER_SEL_NEEDS_DESTINATION", "value": 1},
102 {"name": "CB_PERF_OP_FILTER_SEL_RESOLVE", "value": 2},
103 {"name": "CB_PERF_OP_FILTER_SEL_DECOMPRESS", "value": 3},
104 {"name": "CB_PERF_OP_FILTER_SEL_FMASK_DECOMPRESS", "value": 4},
105 {"name": "CB_PERF_OP_FILTER_SEL_ELIMINATE_FAST_CLEAR", "value": 5}
106 ]
107 },
108 "CBPerfSel": {
109 "entries": [
110 {"name": "CB_PERF_SEL_NONE", "value": 0},
111 {"name": "CB_PERF_SEL_BUSY", "value": 1},
112 {"name": "CB_PERF_SEL_CORE_SCLK_VLD", "value": 2},
113 {"name": "CB_PERF_SEL_REG_SCLK0_VLD", "value": 3},
114 {"name": "CB_PERF_SEL_REG_SCLK1_VLD", "value": 4},
115 {"name": "CB_PERF_SEL_DRAWN_QUAD", "value": 5},
116 {"name": "CB_PERF_SEL_DRAWN_PIXEL", "value": 6},
117 {"name": "CB_PERF_SEL_DRAWN_QUAD_FRAGMENT", "value": 7},
118 {"name": "CB_PERF_SEL_DRAWN_TILE", "value": 8},
119 {"name": "CB_PERF_SEL_DB_CB_TILE_VALID_READY", "value": 9},
120 {"name": "CB_PERF_SEL_DB_CB_TILE_VALID_READYB", "value": 10},
121 {"name": "CB_PERF_SEL_DB_CB_TILE_VALIDB_READY", "value": 11},
122 {"name": "CB_PERF_SEL_DB_CB_TILE_VALIDB_READYB", "value": 12},
123 {"name": "CB_PERF_SEL_CM_FC_TILE_VALID_READY", "value": 13},
124 {"name": "CB_PERF_SEL_CM_FC_TILE_VALID_READYB", "value": 14},
125 {"name": "CB_PERF_SEL_CM_FC_TILE_VALIDB_READY", "value": 15},
126 {"name": "CB_PERF_SEL_CM_FC_TILE_VALIDB_READYB", "value": 16},
127 {"name": "CB_PERF_SEL_MERGE_TILE_ONLY_VALID_READY", "value": 17},
128 {"name": "CB_PERF_SEL_MERGE_TILE_ONLY_VALID_READYB", "value": 18},
129 {"name": "CB_PERF_SEL_DB_CB_LQUAD_VALID_READY", "value": 19},
130 {"name": "CB_PERF_SEL_DB_CB_LQUAD_VALID_READYB", "value": 20},
131 {"name": "CB_PERF_SEL_DB_CB_LQUAD_VALIDB_READY", "value": 21},
132 {"name": "CB_PERF_SEL_DB_CB_LQUAD_VALIDB_READYB", "value": 22},
133 {"name": "CB_PERF_SEL_LQUAD_NO_TILE", "value": 23},
134 {"name": "CB_PERF_SEL_LQUAD_FORMAT_IS_EXPORT_32_R", "value": 24},
135 {"name": "CB_PERF_SEL_LQUAD_FORMAT_IS_EXPORT_32_AR", "value": 25},
136 {"name": "CB_PERF_SEL_LQUAD_FORMAT_IS_EXPORT_32_GR", "value": 26},
137 {"name": "CB_PERF_SEL_LQUAD_FORMAT_IS_EXPORT_32_ABGR", "value": 27},
138 {"name": "CB_PERF_SEL_LQUAD_FORMAT_IS_EXPORT_FP16_ABGR", "value": 28},
139 {"name": "CB_PERF_SEL_LQUAD_FORMAT_IS_EXPORT_SIGNED16_ABGR", "value": 29},
140 {"name": "CB_PERF_SEL_LQUAD_FORMAT_IS_EXPORT_UNSIGNED16_ABGR", "value": 30},
141 {"name": "CB_PERF_SEL_QUAD_KILLED_BY_EXTRA_PIXEL_EXPORT", "value": 31},
142 {"name": "CB_PERF_SEL_QUAD_KILLED_BY_COLOR_INVALID", "value": 32},
143 {"name": "CB_PERF_SEL_QUAD_KILLED_BY_NULL_TARGET_SHADER_MASK", "value": 33},
144 {"name": "CB_PERF_SEL_QUAD_KILLED_BY_NULL_SAMPLE_MASK", "value": 34},
145 {"name": "CB_PERF_SEL_QUAD_KILLED_BY_DISCARD_PIXEL", "value": 35},
146 {"name": "CB_PERF_SEL_FC_CLEAR_QUAD_VALID_READY", "value": 36},
147 {"name": "CB_PERF_SEL_FC_CLEAR_QUAD_VALID_READYB", "value": 37},
148 {"name": "CB_PERF_SEL_FC_CLEAR_QUAD_VALIDB_READY", "value": 38},
149 {"name": "CB_PERF_SEL_FC_CLEAR_QUAD_VALIDB_READYB", "value": 39},
150 {"name": "CB_PERF_SEL_FOP_IN_VALID_READY", "value": 40},
151 {"name": "CB_PERF_SEL_FOP_IN_VALID_READYB", "value": 41},
152 {"name": "CB_PERF_SEL_FOP_IN_VALIDB_READY", "value": 42},
153 {"name": "CB_PERF_SEL_FOP_IN_VALIDB_READYB", "value": 43},
154 {"name": "CB_PERF_SEL_FC_CC_QUADFRAG_VALID_READY", "value": 44},
155 {"name": "CB_PERF_SEL_FC_CC_QUADFRAG_VALID_READYB", "value": 45},
156 {"name": "CB_PERF_SEL_FC_CC_QUADFRAG_VALIDB_READY", "value": 46},
157 {"name": "CB_PERF_SEL_FC_CC_QUADFRAG_VALIDB_READYB", "value": 47},
158 {"name": "CB_PERF_SEL_CC_IB_SR_FRAG_VALID_READY", "value": 48},
159 {"name": "CB_PERF_SEL_CC_IB_SR_FRAG_VALID_READYB", "value": 49},
160 {"name": "CB_PERF_SEL_CC_IB_SR_FRAG_VALIDB_READY", "value": 50},
161 {"name": "CB_PERF_SEL_CC_IB_SR_FRAG_VALIDB_READYB", "value": 51},
162 {"name": "CB_PERF_SEL_CC_IB_TB_FRAG_VALID_READY", "value": 52},
163 {"name": "CB_PERF_SEL_CC_IB_TB_FRAG_VALID_READYB", "value": 53},
164 {"name": "CB_PERF_SEL_CC_IB_TB_FRAG_VALIDB_READY", "value": 54},
165 {"name": "CB_PERF_SEL_CC_IB_TB_FRAG_VALIDB_READYB", "value": 55},
166 {"name": "CB_PERF_SEL_CC_RB_BC_EVENFRAG_VALID_READY", "value": 56},
167 {"name": "CB_PERF_SEL_CC_RB_BC_EVENFRAG_VALID_READYB", "value": 57},
168 {"name": "CB_PERF_SEL_CC_RB_BC_EVENFRAG_VALIDB_READY", "value": 58},
169 {"name": "CB_PERF_SEL_CC_RB_BC_EVENFRAG_VALIDB_READYB", "value": 59},
170 {"name": "CB_PERF_SEL_CC_RB_BC_ODDFRAG_VALID_READY", "value": 60},
171 {"name": "CB_PERF_SEL_CC_RB_BC_ODDFRAG_VALID_READYB", "value": 61},
172 {"name": "CB_PERF_SEL_CC_RB_BC_ODDFRAG_VALIDB_READY", "value": 62},
173 {"name": "CB_PERF_SEL_CC_RB_BC_ODDFRAG_VALIDB_READYB", "value": 63},
174 {"name": "CB_PERF_SEL_CC_BC_CS_FRAG_VALID", "value": 64},
175 {"name": "CB_PERF_SEL_CM_CACHE_HIT", "value": 65},
176 {"name": "CB_PERF_SEL_CM_CACHE_TAG_MISS", "value": 66},
177 {"name": "CB_PERF_SEL_CM_CACHE_SECTOR_MISS", "value": 67},
178 {"name": "CB_PERF_SEL_CM_CACHE_REEVICTION_STALL", "value": 68},
179 {"name": "CB_PERF_SEL_CM_CACHE_EVICT_NONZERO_INFLIGHT_STALL", "value": 69},
180 {"name": "CB_PERF_SEL_CM_CACHE_REPLACE_PENDING_EVICT_STALL", "value": 70},
181 {"name": "CB_PERF_SEL_CM_CACHE_INFLIGHT_COUNTER_MAXIMUM_STALL", "value": 71},
182 {"name": "CB_PERF_SEL_CM_CACHE_READ_OUTPUT_STALL", "value": 72},
183 {"name": "CB_PERF_SEL_CM_CACHE_WRITE_OUTPUT_STALL", "value": 73},
184 {"name": "CB_PERF_SEL_CM_CACHE_ACK_OUTPUT_STALL", "value": 74},
185 {"name": "CB_PERF_SEL_CM_CACHE_STALL", "value": 75},
186 {"name": "CB_PERF_SEL_CM_CACHE_FLUSH", "value": 76},
187 {"name": "CB_PERF_SEL_CM_CACHE_TAGS_FLUSHED", "value": 77},
188 {"name": "CB_PERF_SEL_CM_CACHE_SECTORS_FLUSHED", "value": 78},
189 {"name": "CB_PERF_SEL_CM_CACHE_DIRTY_SECTORS_FLUSHED", "value": 79},
190 {"name": "CB_PERF_SEL_FC_CACHE_HIT", "value": 80},
191 {"name": "CB_PERF_SEL_FC_CACHE_TAG_MISS", "value": 81},
192 {"name": "CB_PERF_SEL_FC_CACHE_SECTOR_MISS", "value": 82},
193 {"name": "CB_PERF_SEL_FC_CACHE_REEVICTION_STALL", "value": 83},
194 {"name": "CB_PERF_SEL_FC_CACHE_EVICT_NONZERO_INFLIGHT_STALL", "value": 84},
195 {"name": "CB_PERF_SEL_FC_CACHE_REPLACE_PENDING_EVICT_STALL", "value": 85},
196 {"name": "CB_PERF_SEL_FC_CACHE_INFLIGHT_COUNTER_MAXIMUM_STALL", "value": 86},
197 {"name": "CB_PERF_SEL_FC_CACHE_READ_OUTPUT_STALL", "value": 87},
198 {"name": "CB_PERF_SEL_FC_CACHE_WRITE_OUTPUT_STALL", "value": 88},
199 {"name": "CB_PERF_SEL_FC_CACHE_ACK_OUTPUT_STALL", "value": 89},
200 {"name": "CB_PERF_SEL_FC_CACHE_STALL", "value": 90},
201 {"name": "CB_PERF_SEL_FC_CACHE_FLUSH", "value": 91},
202 {"name": "CB_PERF_SEL_FC_CACHE_TAGS_FLUSHED", "value": 92},
203 {"name": "CB_PERF_SEL_FC_CACHE_SECTORS_FLUSHED", "value": 93},
204 {"name": "CB_PERF_SEL_FC_CACHE_DIRTY_SECTORS_FLUSHED", "value": 94},
205 {"name": "CB_PERF_SEL_CC_CACHE_HIT", "value": 95},
206 {"name": "CB_PERF_SEL_CC_CACHE_TAG_MISS", "value": 96},
207 {"name": "CB_PERF_SEL_CC_CACHE_SECTOR_MISS", "value": 97},
208 {"name": "CB_PERF_SEL_CC_CACHE_REEVICTION_STALL", "value": 98},
209 {"name": "CB_PERF_SEL_CC_CACHE_EVICT_NONZERO_INFLIGHT_STALL", "value": 99},
210 {"name": "CB_PERF_SEL_CC_CACHE_REPLACE_PENDING_EVICT_STALL", "value": 100},
211 {"name": "CB_PERF_SEL_CC_CACHE_INFLIGHT_COUNTER_MAXIMUM_STALL", "value": 101},
212 {"name": "CB_PERF_SEL_CC_CACHE_READ_OUTPUT_STALL", "value": 102},
213 {"name": "CB_PERF_SEL_CC_CACHE_WRITE_OUTPUT_STALL", "value": 103},
214 {"name": "CB_PERF_SEL_CC_CACHE_ACK_OUTPUT_STALL", "value": 104},
215 {"name": "CB_PERF_SEL_CC_CACHE_STALL", "value": 105},
216 {"name": "CB_PERF_SEL_CC_CACHE_FLUSH", "value": 106},
217 {"name": "CB_PERF_SEL_CC_CACHE_TAGS_FLUSHED", "value": 107},
218 {"name": "CB_PERF_SEL_CC_CACHE_SECTORS_FLUSHED", "value": 108},
219 {"name": "CB_PERF_SEL_CC_CACHE_DIRTY_SECTORS_FLUSHED", "value": 109},
220 {"name": "CB_PERF_SEL_CC_CACHE_WA_TO_RMW_CONVERSION", "value": 110},
221 {"name": "CB_PERF_SEL_CB_TAP_WRREQ_VALID_READY", "value": 111},
222 {"name": "CB_PERF_SEL_CB_TAP_WRREQ_VALID_READYB", "value": 112},
223 {"name": "CB_PERF_SEL_CB_TAP_WRREQ_VALIDB_READY", "value": 113},
224 {"name": "CB_PERF_SEL_CB_TAP_WRREQ_VALIDB_READYB", "value": 114},
225 {"name": "CB_PERF_SEL_CM_MC_WRITE_REQUEST", "value": 115},
226 {"name": "CB_PERF_SEL_FC_MC_WRITE_REQUEST", "value": 116},
227 {"name": "CB_PERF_SEL_CC_MC_WRITE_REQUEST", "value": 117},
228 {"name": "CB_PERF_SEL_CM_MC_WRITE_REQUESTS_IN_FLIGHT", "value": 118},
229 {"name": "CB_PERF_SEL_FC_MC_WRITE_REQUESTS_IN_FLIGHT", "value": 119},
230 {"name": "CB_PERF_SEL_CC_MC_WRITE_REQUESTS_IN_FLIGHT", "value": 120},
231 {"name": "CB_PERF_SEL_CB_TAP_RDREQ_VALID_READY", "value": 121},
232 {"name": "CB_PERF_SEL_CB_TAP_RDREQ_VALID_READYB", "value": 122},
233 {"name": "CB_PERF_SEL_CB_TAP_RDREQ_VALIDB_READY", "value": 123},
234 {"name": "CB_PERF_SEL_CB_TAP_RDREQ_VALIDB_READYB", "value": 124},
235 {"name": "CB_PERF_SEL_CM_MC_READ_REQUEST", "value": 125},
236 {"name": "CB_PERF_SEL_FC_MC_READ_REQUEST", "value": 126},
237 {"name": "CB_PERF_SEL_CC_MC_READ_REQUEST", "value": 127},
238 {"name": "CB_PERF_SEL_CM_MC_READ_REQUESTS_IN_FLIGHT", "value": 128},
239 {"name": "CB_PERF_SEL_FC_MC_READ_REQUESTS_IN_FLIGHT", "value": 129},
240 {"name": "CB_PERF_SEL_CC_MC_READ_REQUESTS_IN_FLIGHT", "value": 130},
241 {"name": "CB_PERF_SEL_CM_TQ_FULL", "value": 131},
242 {"name": "CB_PERF_SEL_CM_TQ_FIFO_TILE_RESIDENCY_STALL", "value": 132},
243 {"name": "CB_PERF_SEL_CM_TQ_FIFO_STUTTER_STALL", "value": 133},
244 {"name": "CB_PERF_SEL_FC_QUAD_RDLAT_FIFO_FULL", "value": 134},
245 {"name": "CB_PERF_SEL_FC_TILE_RDLAT_FIFO_FULL", "value": 135},
246 {"name": "CB_PERF_SEL_FC_RDLAT_FIFO_QUAD_RESIDENCY_STALL", "value": 136},
247 {"name": "CB_PERF_SEL_FC_TILE_STUTTER_STALL", "value": 137},
248 {"name": "CB_PERF_SEL_FC_QUAD_STUTTER_STALL", "value": 138},
249 {"name": "CB_PERF_SEL_FC_KEYID_STUTTER_STALL", "value": 139},
250 {"name": "CB_PERF_SEL_FOP_FMASK_RAW_STALL", "value": 140},
251 {"name": "CB_PERF_SEL_FOP_FMASK_BYPASS_STALL", "value": 141},
252 {"name": "CB_PERF_SEL_CC_SF_FULL", "value": 142},
253 {"name": "CB_PERF_SEL_CC_RB_FULL", "value": 143},
254 {"name": "CB_PERF_SEL_CC_EVENFIFO_QUAD_RESIDENCY_STALL", "value": 144},
255 {"name": "CB_PERF_SEL_CC_ODDFIFO_QUAD_RESIDENCY_STALL", "value": 145},
256 {"name": "CB_PERF_SEL_CC_EVENFIFO_STUTTER_STALL", "value": 146},
257 {"name": "CB_PERF_SEL_CC_ODDFIFO_STUTTER_STALL", "value": 147},
258 {"name": "CB_PERF_SEL_BLENDER_RAW_HAZARD_STALL", "value": 148},
259 {"name": "CB_PERF_SEL_EVENT", "value": 149},
260 {"name": "CB_PERF_SEL_EVENT_CACHE_FLUSH_TS", "value": 150},
261 {"name": "CB_PERF_SEL_EVENT_CONTEXT_DONE", "value": 151},
262 {"name": "CB_PERF_SEL_EVENT_CACHE_FLUSH", "value": 152},
263 {"name": "CB_PERF_SEL_EVENT_CACHE_FLUSH_AND_INV_TS_EVENT", "value": 153},
264 {"name": "CB_PERF_SEL_EVENT_CACHE_FLUSH_AND_INV_EVENT", "value": 154},
265 {"name": "CB_PERF_SEL_EVENT_FLUSH_AND_INV_CB_DATA_TS", "value": 155},
266 {"name": "CB_PERF_SEL_EVENT_FLUSH_AND_INV_CB_META", "value": 156},
267 {"name": "CB_PERF_SEL_CC_SURFACE_SYNC", "value": 157},
268 {"name": "CB_PERF_SEL_CMASK_READ_DATA_0xC", "value": 158},
269 {"name": "CB_PERF_SEL_CMASK_READ_DATA_0xD", "value": 159},
270 {"name": "CB_PERF_SEL_CMASK_READ_DATA_0xE", "value": 160},
271 {"name": "CB_PERF_SEL_CMASK_READ_DATA_0xF", "value": 161},
272 {"name": "CB_PERF_SEL_CMASK_WRITE_DATA_0xC", "value": 162},
273 {"name": "CB_PERF_SEL_CMASK_WRITE_DATA_0xD", "value": 163},
274 {"name": "CB_PERF_SEL_CMASK_WRITE_DATA_0xE", "value": 164},
275 {"name": "CB_PERF_SEL_CMASK_WRITE_DATA_0xF", "value": 165},
276 {"name": "CB_PERF_SEL_TWO_PROBE_QUAD_FRAGMENT", "value": 166},
277 {"name": "CB_PERF_SEL_EXPORT_32_ABGR_QUAD_FRAGMENT", "value": 167},
278 {"name": "CB_PERF_SEL_DUAL_SOURCE_COLOR_QUAD_FRAGMENT", "value": 168},
279 {"name": "CB_PERF_SEL_QUAD_HAS_1_FRAGMENT_BEFORE_UPDATE", "value": 169},
280 {"name": "CB_PERF_SEL_QUAD_HAS_2_FRAGMENTS_BEFORE_UPDATE", "value": 170},
281 {"name": "CB_PERF_SEL_QUAD_HAS_3_FRAGMENTS_BEFORE_UPDATE", "value": 171},
282 {"name": "CB_PERF_SEL_QUAD_HAS_4_FRAGMENTS_BEFORE_UPDATE", "value": 172},
283 {"name": "CB_PERF_SEL_QUAD_HAS_5_FRAGMENTS_BEFORE_UPDATE", "value": 173},
284 {"name": "CB_PERF_SEL_QUAD_HAS_6_FRAGMENTS_BEFORE_UPDATE", "value": 174},
285 {"name": "CB_PERF_SEL_QUAD_HAS_7_FRAGMENTS_BEFORE_UPDATE", "value": 175},
286 {"name": "CB_PERF_SEL_QUAD_HAS_8_FRAGMENTS_BEFORE_UPDATE", "value": 176},
287 {"name": "CB_PERF_SEL_QUAD_HAS_1_FRAGMENT_AFTER_UPDATE", "value": 177},
288 {"name": "CB_PERF_SEL_QUAD_HAS_2_FRAGMENTS_AFTER_UPDATE", "value": 178},
289 {"name": "CB_PERF_SEL_QUAD_HAS_3_FRAGMENTS_AFTER_UPDATE", "value": 179},
290 {"name": "CB_PERF_SEL_QUAD_HAS_4_FRAGMENTS_AFTER_UPDATE", "value": 180},
291 {"name": "CB_PERF_SEL_QUAD_HAS_5_FRAGMENTS_AFTER_UPDATE", "value": 181},
292 {"name": "CB_PERF_SEL_QUAD_HAS_6_FRAGMENTS_AFTER_UPDATE", "value": 182},
293 {"name": "CB_PERF_SEL_QUAD_HAS_7_FRAGMENTS_AFTER_UPDATE", "value": 183},
294 {"name": "CB_PERF_SEL_QUAD_HAS_8_FRAGMENTS_AFTER_UPDATE", "value": 184},
295 {"name": "CB_PERF_SEL_QUAD_ADDED_1_FRAGMENT", "value": 185},
296 {"name": "CB_PERF_SEL_QUAD_ADDED_2_FRAGMENTS", "value": 186},
297 {"name": "CB_PERF_SEL_QUAD_ADDED_3_FRAGMENTS", "value": 187},
298 {"name": "CB_PERF_SEL_QUAD_ADDED_4_FRAGMENTS", "value": 188},
299 {"name": "CB_PERF_SEL_QUAD_ADDED_5_FRAGMENTS", "value": 189},
300 {"name": "CB_PERF_SEL_QUAD_ADDED_6_FRAGMENTS", "value": 190},
301 {"name": "CB_PERF_SEL_QUAD_ADDED_7_FRAGMENTS", "value": 191},
302 {"name": "CB_PERF_SEL_QUAD_REMOVED_1_FRAGMENT", "value": 192},
303 {"name": "CB_PERF_SEL_QUAD_REMOVED_2_FRAGMENTS", "value": 193},
304 {"name": "CB_PERF_SEL_QUAD_REMOVED_3_FRAGMENTS", "value": 194},
305 {"name": "CB_PERF_SEL_QUAD_REMOVED_4_FRAGMENTS", "value": 195},
306 {"name": "CB_PERF_SEL_QUAD_REMOVED_5_FRAGMENTS", "value": 196},
307 {"name": "CB_PERF_SEL_QUAD_REMOVED_6_FRAGMENTS", "value": 197},
308 {"name": "CB_PERF_SEL_QUAD_REMOVED_7_FRAGMENTS", "value": 198},
309 {"name": "CB_PERF_SEL_QUAD_READS_FRAGMENT_0", "value": 199},
310 {"name": "CB_PERF_SEL_QUAD_READS_FRAGMENT_1", "value": 200},
311 {"name": "CB_PERF_SEL_QUAD_READS_FRAGMENT_2", "value": 201},
312 {"name": "CB_PERF_SEL_QUAD_READS_FRAGMENT_3", "value": 202},
313 {"name": "CB_PERF_SEL_QUAD_READS_FRAGMENT_4", "value": 203},
314 {"name": "CB_PERF_SEL_QUAD_READS_FRAGMENT_5", "value": 204},
315 {"name": "CB_PERF_SEL_QUAD_READS_FRAGMENT_6", "value": 205},
316 {"name": "CB_PERF_SEL_QUAD_READS_FRAGMENT_7", "value": 206},
317 {"name": "CB_PERF_SEL_QUAD_WRITES_FRAGMENT_0", "value": 207},
318 {"name": "CB_PERF_SEL_QUAD_WRITES_FRAGMENT_1", "value": 208},
319 {"name": "CB_PERF_SEL_QUAD_WRITES_FRAGMENT_2", "value": 209},
320 {"name": "CB_PERF_SEL_QUAD_WRITES_FRAGMENT_3", "value": 210},
321 {"name": "CB_PERF_SEL_QUAD_WRITES_FRAGMENT_4", "value": 211},
322 {"name": "CB_PERF_SEL_QUAD_WRITES_FRAGMENT_5", "value": 212},
323 {"name": "CB_PERF_SEL_QUAD_WRITES_FRAGMENT_6", "value": 213},
324 {"name": "CB_PERF_SEL_QUAD_WRITES_FRAGMENT_7", "value": 214},
325 {"name": "CB_PERF_SEL_QUAD_BLEND_OPT_DONT_READ_DST", "value": 215},
326 {"name": "CB_PERF_SEL_QUAD_BLEND_OPT_BLEND_BYPASS", "value": 216},
327 {"name": "CB_PERF_SEL_QUAD_BLEND_OPT_DISCARD_PIXELS", "value": 217},
328 {"name": "CB_PERF_SEL_QUAD_DST_READ_COULD_HAVE_BEEN_OPTIMIZED", "value": 218},
329 {"name": "CB_PERF_SEL_QUAD_BLENDING_COULD_HAVE_BEEN_BYPASSED", "value": 219},
330 {"name": "CB_PERF_SEL_QUAD_COULD_HAVE_BEEN_DISCARDED", "value": 220},
331 {"name": "CB_PERF_SEL_BLEND_OPT_PIXELS_RESULT_EQ_DEST", "value": 221},
332 {"name": "CB_PERF_SEL_DRAWN_BUSY", "value": 222},
333 {"name": "CB_PERF_SEL_TILE_TO_CMR_REGION_BUSY", "value": 223},
334 {"name": "CB_PERF_SEL_CMR_TO_FCR_REGION_BUSY", "value": 224},
335 {"name": "CB_PERF_SEL_FCR_TO_CCR_REGION_BUSY", "value": 225},
336 {"name": "CB_PERF_SEL_CCR_TO_CCW_REGION_BUSY", "value": 226},
337 {"name": "CB_PERF_SEL_FC_PF_SLOW_MODE_QUAD_EMPTY_HALF_DROPPED", "value": 227},
338 {"name": "CB_PERF_SEL_FC_SEQUENCER_CLEAR", "value": 228},
339 {"name": "CB_PERF_SEL_FC_SEQUENCER_ELIMINATE_FAST_CLEAR", "value": 229},
340 {"name": "CB_PERF_SEL_FC_SEQUENCER_FMASK_DECOMPRESS", "value": 230},
341 {"name": "CB_PERF_SEL_FC_SEQUENCER_FMASK_COMPRESSION_DISABLE", "value": 231},
342 {"name": "CB_PERF_SEL_CC_CACHE_READS_SAVED_DUE_TO_DCC", "value": 232},
343 {"name": "CB_PERF_SEL_FC_KEYID_RDLAT_FIFO_FULL", "value": 233},
344 {"name": "CB_PERF_SEL_FC_DOC_IS_STALLED", "value": 234},
345 {"name": "CB_PERF_SEL_FC_DOC_MRTS_NOT_COMBINED", "value": 235},
346 {"name": "CB_PERF_SEL_FC_DOC_MRTS_COMBINED", "value": 236},
347 {"name": "CB_PERF_SEL_FC_DOC_QTILE_CAM_MISS", "value": 237},
348 {"name": "CB_PERF_SEL_FC_DOC_QTILE_CAM_HIT", "value": 238},
349 {"name": "CB_PERF_SEL_FC_DOC_CLINE_CAM_MISS", "value": 239},
350 {"name": "CB_PERF_SEL_FC_DOC_CLINE_CAM_HIT", "value": 240},
351 {"name": "CB_PERF_SEL_FC_DOC_QUAD_PTR_FIFO_IS_FULL", "value": 241},
352 {"name": "CB_PERF_SEL_FC_DOC_OVERWROTE_1_SECTOR", "value": 242},
353 {"name": "CB_PERF_SEL_FC_DOC_OVERWROTE_2_SECTORS", "value": 243},
354 {"name": "CB_PERF_SEL_FC_DOC_OVERWROTE_3_SECTORS", "value": 244},
355 {"name": "CB_PERF_SEL_FC_DOC_OVERWROTE_4_SECTORS", "value": 245},
356 {"name": "CB_PERF_SEL_FC_DOC_TOTAL_OVERWRITTEN_SECTORS", "value": 246},
357 {"name": "CB_PERF_SEL_FC_DCC_CACHE_HIT", "value": 247},
358 {"name": "CB_PERF_SEL_FC_DCC_CACHE_TAG_MISS", "value": 248},
359 {"name": "CB_PERF_SEL_FC_DCC_CACHE_SECTOR_MISS", "value": 249},
360 {"name": "CB_PERF_SEL_FC_DCC_CACHE_REEVICTION_STALL", "value": 250},
361 {"name": "CB_PERF_SEL_FC_DCC_CACHE_EVICT_NONZERO_INFLIGHT_STALL", "value": 251},
362 {"name": "CB_PERF_SEL_FC_DCC_CACHE_REPLACE_PENDING_EVICT_STALL", "value": 252},
363 {"name": "CB_PERF_SEL_FC_DCC_CACHE_INFLIGHT_COUNTER_MAXIMUM_STALL", "value": 253},
364 {"name": "CB_PERF_SEL_FC_DCC_CACHE_READ_OUTPUT_STALL", "value": 254},
365 {"name": "CB_PERF_SEL_FC_DCC_CACHE_WRITE_OUTPUT_STALL", "value": 255},
366 {"name": "CB_PERF_SEL_FC_DCC_CACHE_ACK_OUTPUT_STALL", "value": 256},
367 {"name": "CB_PERF_SEL_FC_DCC_CACHE_STALL", "value": 257},
368 {"name": "CB_PERF_SEL_FC_DCC_CACHE_FLUSH", "value": 258},
369 {"name": "CB_PERF_SEL_FC_DCC_CACHE_TAGS_FLUSHED", "value": 259},
370 {"name": "CB_PERF_SEL_FC_DCC_CACHE_SECTORS_FLUSHED", "value": 260},
371 {"name": "CB_PERF_SEL_FC_DCC_CACHE_DIRTY_SECTORS_FLUSHED", "value": 261},
372 {"name": "CB_PERF_SEL_CC_DCC_BEYOND_TILE_SPLIT", "value": 262},
373 {"name": "CB_PERF_SEL_FC_MC_DCC_WRITE_REQUEST", "value": 263},
374 {"name": "CB_PERF_SEL_FC_MC_DCC_WRITE_REQUESTS_IN_FLIGHT", "value": 264},
375 {"name": "CB_PERF_SEL_FC_MC_DCC_READ_REQUEST", "value": 265},
376 {"name": "CB_PERF_SEL_FC_MC_DCC_READ_REQUESTS_IN_FLIGHT", "value": 266},
377 {"name": "CB_PERF_SEL_CC_DCC_RDREQ_STALL", "value": 267},
378 {"name": "CB_PERF_SEL_CC_DCC_DECOMPRESS_TIDS_IN", "value": 268},
379 {"name": "CB_PERF_SEL_CC_DCC_DECOMPRESS_TIDS_OUT", "value": 269},
380 {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_TIDS_IN", "value": 270},
381 {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_TIDS_OUT", "value": 271},
382 {"name": "CB_PERF_SEL_FC_DCC_KEY_VALUE__CLEAR", "value": 272},
383 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__4_BLOCKS__2TO1", "value": 273},
384 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__3BLOCKS_2TO1__1BLOCK_2TO2", "value": 274},
385 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_2TO1__1BLOCK_2TO2__1BLOCK_2TO1", "value": 275},
386 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_2TO2__2BLOCKS_2TO1", "value": 276},
387 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__3BLOCKS_2TO1", "value": 277},
388 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_2TO1__2BLOCKS_2TO2", "value": 278},
389 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__2BLOCKS_2TO2__1BLOCK_2TO1", "value": 279},
390 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_2TO2__1BLOCK_2TO1__1BLOCK_2TO2", "value": 280},
391 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_2TO1__1BLOCK_2TO2__1BLOCK_2TO1", "value": 281},
392 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_2TO2__2BLOCKS_2TO1", "value": 282},
393 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__2BLOCKS_2TO1__1BLOCK_2TO2", "value": 283},
394 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__3BLOCKS_2TO2", "value": 284},
395 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_2TO1__2BLOCKS_2TO2", "value": 285},
396 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_2TO2__1BLOCK_2TO1__1BLOCK_2TO2", "value": 286},
397 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__3BLOCKS_2TO2__1BLOCK_2TO1", "value": 287},
398 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_4TO1", "value": 288},
399 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO1__1BLOCK_4TO2", "value": 289},
400 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO1__1BLOCK_4TO3", "value": 290},
401 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO1__1BLOCK_4TO4", "value": 291},
402 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO2__1BLOCK_4TO1", "value": 292},
403 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_4TO2", "value": 293},
404 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO2__1BLOCK_4TO3", "value": 294},
405 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO2__1BLOCK_4TO4", "value": 295},
406 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO3__1BLOCK_4TO1", "value": 296},
407 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO3__1BLOCK_4TO2", "value": 297},
408 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_4TO3", "value": 298},
409 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO3__1BLOCK_4TO4", "value": 299},
410 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO4__1BLOCK_4TO1", "value": 300},
411 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO4__1BLOCK_4TO2", "value": 301},
412 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO4__1BLOCK_4TO3", "value": 302},
413 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_2TO1__1BLOCK_4TO1", "value": 303},
414 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_2TO1__1BLOCK_4TO2", "value": 304},
415 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_2TO1__1BLOCK_4TO3", "value": 305},
416 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_2TO1__1BLOCK_4TO4", "value": 306},
417 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_2TO2__1BLOCK_4TO1", "value": 307},
418 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_2TO2__1BLOCK_4TO2", "value": 308},
419 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_2TO2__1BLOCK_4TO3", "value": 309},
420 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_2TO2__1BLOCK_4TO4", "value": 310},
421 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_2TO1__1BLOCK_4TO1", "value": 311},
422 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_2TO1__1BLOCK_4TO2", "value": 312},
423 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_2TO1__1BLOCK_4TO3", "value": 313},
424 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_2TO1__1BLOCK_4TO4", "value": 314},
425 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_2TO2__1BLOCK_4TO1", "value": 315},
426 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_2TO2__1BLOCK_4TO2", "value": 316},
427 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_2TO2__1BLOCK_4TO3", "value": 317},
428 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_4TO1__1BLOCK_2TO1", "value": 318},
429 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_4TO2__1BLOCK_2TO1", "value": 319},
430 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_4TO3__1BLOCK_2TO1", "value": 320},
431 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_4TO4__1BLOCK_2TO1", "value": 321},
432 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_4TO1__1BLOCK_2TO1", "value": 322},
433 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_4TO2__1BLOCK_2TO1", "value": 323},
434 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_4TO3__1BLOCK_2TO1", "value": 324},
435 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_4TO4__1BLOCK_2TO1", "value": 325},
436 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_4TO1__1BLOCK_2TO2", "value": 326},
437 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_4TO2__1BLOCK_2TO2", "value": 327},
438 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_4TO3__1BLOCK_2TO2", "value": 328},
439 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_4TO4__1BLOCK_2TO2", "value": 329},
440 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_4TO1__1BLOCK_2TO2", "value": 330},
441 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_4TO2__1BLOCK_2TO2", "value": 331},
442 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_4TO3__1BLOCK_2TO2", "value": 332},
443 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO1__2BLOCKS_2TO1", "value": 333},
444 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO2__2BLOCKS_2TO1", "value": 334},
445 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO3__2BLOCKS_2TO1", "value": 335},
446 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO4__2BLOCKS_2TO1", "value": 336},
447 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO1__2BLOCKS_2TO2", "value": 337},
448 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO2__2BLOCKS_2TO2", "value": 338},
449 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO3__2BLOCKS_2TO2", "value": 339},
450 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO1__1BLOCK_2TO1__1BLOCK_2TO2", "value": 340},
451 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO2__1BLOCK_2TO1__1BLOCK_2TO2", "value": 341},
452 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO3__1BLOCK_2TO1__1BLOCK_2TO2", "value": 342},
453 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO4__1BLOCK_2TO1__1BLOCK_2TO2", "value": 343},
454 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO1__1BLOCK_2TO2__1BLOCK_2TO1", "value": 344},
455 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO2__1BLOCK_2TO2__1BLOCK_2TO1", "value": 345},
456 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO3__1BLOCK_2TO2__1BLOCK_2TO1", "value": 346},
457 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO4__1BLOCK_2TO2__1BLOCK_2TO1", "value": 347},
458 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_6TO1", "value": 348},
459 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_6TO2", "value": 349},
460 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_6TO3", "value": 350},
461 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_6TO4", "value": 351},
462 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_6TO5", "value": 352},
463 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_6TO6", "value": 353},
464 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__INV0", "value": 354},
465 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__INV1", "value": 355},
466 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_6TO1", "value": 356},
467 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_6TO2", "value": 357},
468 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_6TO3", "value": 358},
469 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_6TO4", "value": 359},
470 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_6TO5", "value": 360},
471 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__INV0", "value": 361},
472 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__INV1", "value": 362},
473 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_6TO1__1BLOCK_2TO1", "value": 363},
474 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_6TO2__1BLOCK_2TO1", "value": 364},
475 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_6TO3__1BLOCK_2TO1", "value": 365},
476 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_6TO4__1BLOCK_2TO1", "value": 366},
477 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_6TO5__1BLOCK_2TO1", "value": 367},
478 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_6TO6__1BLOCK_2TO1", "value": 368},
479 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__INV0__1BLOCK_2TO1", "value": 369},
480 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__INV1__1BLOCK_2TO1", "value": 370},
481 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_6TO1__1BLOCK_2TO2", "value": 371},
482 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_6TO2__1BLOCK_2TO2", "value": 372},
483 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_6TO3__1BLOCK_2TO2", "value": 373},
484 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_6TO4__1BLOCK_2TO2", "value": 374},
485 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_6TO5__1BLOCK_2TO2", "value": 375},
486 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__INV0__1BLOCK_2TO2", "value": 376},
487 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__INV1__1BLOCK_2TO2", "value": 377},
488 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_8TO1", "value": 378},
489 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_8TO2", "value": 379},
490 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_8TO3", "value": 380},
491 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_8TO4", "value": 381},
492 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_8TO5", "value": 382},
493 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_8TO6", "value": 383},
494 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_8TO7", "value": 384},
495 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__UNCOMPRESSED", "value": 385},
496 {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_2TO1", "value": 386},
497 {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_4TO1", "value": 387},
498 {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_4TO2", "value": 388},
499 {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_4TO3", "value": 389},
500 {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_6TO1", "value": 390},
501 {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_6TO2", "value": 391},
502 {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_6TO3", "value": 392},
503 {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_6TO4", "value": 393},
504 {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_6TO5", "value": 394},
505 {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_8TO1", "value": 395},
506 {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_8TO2", "value": 396},
507 {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_8TO3", "value": 397},
508 {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_8TO4", "value": 398},
509 {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_8TO5", "value": 399},
510 {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_8TO6", "value": 400},
511 {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_8TO7", "value": 401},
512 {"name": "CB_PERF_SEL_RBP_EXPORT_8PIX_LIT_BOTH", "value": 402},
513 {"name": "CB_PERF_SEL_RBP_EXPORT_8PIX_LIT_LEFT", "value": 403},
514 {"name": "CB_PERF_SEL_RBP_EXPORT_8PIX_LIT_RIGHT", "value": 404},
515 {"name": "CB_PERF_SEL_RBP_SPLIT_MICROTILE", "value": 405},
516 {"name": "CB_PERF_SEL_RBP_SPLIT_AA_SAMPLE_MASK", "value": 406},
517 {"name": "CB_PERF_SEL_RBP_SPLIT_PARTIAL_TARGET_MASK", "value": 407},
518 {"name": "CB_PERF_SEL_RBP_SPLIT_LINEAR_ADDRESSING", "value": 408},
519 {"name": "CB_PERF_SEL_RBP_SPLIT_AA_NO_FMASK_COMPRESS", "value": 409},
520 {"name": "CB_PERF_SEL_RBP_INSERT_MISSING_LAST_QUAD", "value": 410},
521 {"name": "CB_PERF_SEL_NACK_CM_READ", "value": 411},
522 {"name": "CB_PERF_SEL_NACK_CM_WRITE", "value": 412},
523 {"name": "CB_PERF_SEL_NACK_FC_READ", "value": 413},
524 {"name": "CB_PERF_SEL_NACK_FC_WRITE", "value": 414},
525 {"name": "CB_PERF_SEL_NACK_DC_READ", "value": 415},
526 {"name": "CB_PERF_SEL_NACK_DC_WRITE", "value": 416},
527 {"name": "CB_PERF_SEL_NACK_CC_READ", "value": 417},
528 {"name": "CB_PERF_SEL_NACK_CC_WRITE", "value": 418},
529 {"name": "CB_PERF_SEL_CM_MC_EARLY_WRITE_RETURN", "value": 419},
530 {"name": "CB_PERF_SEL_FC_MC_EARLY_WRITE_RETURN", "value": 420},
531 {"name": "CB_PERF_SEL_DC_MC_EARLY_WRITE_RETURN", "value": 421},
532 {"name": "CB_PERF_SEL_CC_MC_EARLY_WRITE_RETURN", "value": 422},
533 {"name": "CB_PERF_SEL_CM_MC_EARLY_WRITE_REQUESTS_IN_FLIGHT", "value": 423},
534 {"name": "CB_PERF_SEL_FC_MC_EARLY_WRITE_REQUESTS_IN_FLIGHT", "value": 424},
535 {"name": "CB_PERF_SEL_DC_MC_EARLY_WRITE_REQUESTS_IN_FLIGHT", "value": 425},
536 {"name": "CB_PERF_SEL_CC_MC_EARLY_WRITE_REQUESTS_IN_FLIGHT", "value": 426},
537 {"name": "CB_PERF_SEL_CM_MC_WRITE_ACK64B", "value": 427},
538 {"name": "CB_PERF_SEL_FC_MC_WRITE_ACK64B", "value": 428},
539 {"name": "CB_PERF_SEL_DC_MC_WRITE_ACK64B", "value": 429},
540 {"name": "CB_PERF_SEL_CC_MC_WRITE_ACK64B", "value": 430},
541 {"name": "CB_PERF_SEL_EVENT_BOTTOM_OF_PIPE_TS", "value": 431},
542 {"name": "CB_PERF_SEL_EVENT_FLUSH_AND_INV_DB_DATA_TS", "value": 432},
543 {"name": "CB_PERF_SEL_EVENT_FLUSH_AND_INV_CB_PIXEL_DATA", "value": 433},
544 {"name": "CB_PERF_SEL_DB_CB_TILE_TILENOTEVENT", "value": 434},
545 {"name": "CB_PERF_SEL_LQUAD_FORMAT_IS_EXPORT_32BPP_8PIX", "value": 435},
546 {"name": "CB_PERF_SEL_LQUAD_FORMAT_IS_EXPORT_16_16_UNSIGNED_8PIX", "value": 436},
547 {"name": "CB_PERF_SEL_LQUAD_FORMAT_IS_EXPORT_16_16_SIGNED_8PIX", "value": 437},
548 {"name": "CB_PERF_SEL_LQUAD_FORMAT_IS_EXPORT_16_16_FLOAT_8PIX", "value": 438},
549 {"name": "CB_PERF_SEL_MERGE_PIXELS_WITH_BLEND_ENABLED", "value": 439},
550 {"name": "CB_PERF_SEL_DB_CB_CONTEXT_DONE", "value": 440},
551 {"name": "CB_PERF_SEL_DB_CB_EOP_DONE", "value": 441},
552 {"name": "CB_PERF_SEL_CC_MC_WRITE_REQUEST_PARTIAL", "value": 442},
553 {"name": "CB_PERF_SEL_CC_BB_BLEND_PIXEL_VLD", "value": 443},
554 {"name": "CB_PERF_SEL_CC_CACHE_256BS_SAVED_DUE_TO_QSB", "value": 444},
555 {"name": "CB_PERF_SEL_FC_CACHE_FMASK_NO_FETCH", "value": 445},
556 {"name": "CB_PERF_SEL_CC_CACHE_SECTOR_HIT", "value": 446},
557 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__CONST_CLEAR_REG", "value": 447},
558 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__CONST_CLEAR_SINGLE", "value": 448},
559 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__CONST_CLEAR_AC00", "value": 449},
560 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__CONST_CLEAR_AC01", "value": 450},
561 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__CONST_CLEAR_AC10", "value": 451},
562 {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__CONST_CLEAR_AC11", "value": 452},
563 {"name": "CB_PERF_SEL_TQ_STUTTER_STALL", "value": 453}
564 ]
565 },
566 "CHA_PERF_SEL": {
567 "entries": [
568 {"name": "CHA_PERF_SEL_BUSY", "value": 0},
569 {"name": "CHA_PERF_SEL_STALL_CHC0", "value": 1},
570 {"name": "CHA_PERF_SEL_STALL_CHC1", "value": 2},
571 {"name": "CHA_PERF_SEL_STALL_CHC2", "value": 3},
572 {"name": "CHA_PERF_SEL_STALL_CHC3", "value": 4},
573 {"name": "CHA_PERF_SEL_STALL_CHC4", "value": 5},
574 {"name": "CHA_PERF_SEL_STALL_CHC5", "value": 6},
575 {"name": "CHA_PERF_SEL_REQUEST_CHC0", "value": 7},
576 {"name": "CHA_PERF_SEL_REQUEST_CHC1", "value": 8},
577 {"name": "CHA_PERF_SEL_REQUEST_CHC2", "value": 9},
578 {"name": "CHA_PERF_SEL_REQUEST_CHC3", "value": 10},
579 {"name": "CHA_PERF_SEL_REQUEST_CHC4", "value": 11},
580 {"name": "CHA_PERF_SEL_MEM_32B_WDS_CHC0", "value": 12},
581 {"name": "CHA_PERF_SEL_MEM_32B_WDS_CHC1", "value": 13},
582 {"name": "CHA_PERF_SEL_MEM_32B_WDS_CHC2", "value": 14},
583 {"name": "CHA_PERF_SEL_MEM_32B_WDS_CHC3", "value": 15},
584 {"name": "CHA_PERF_SEL_MEM_32B_WDS_CHC4", "value": 16},
585 {"name": "CHA_PERF_SEL_IO_32B_WDS_CHC0", "value": 17},
586 {"name": "CHA_PERF_SEL_IO_32B_WDS_CHC1", "value": 18},
587 {"name": "CHA_PERF_SEL_IO_32B_WDS_CHC2", "value": 19},
588 {"name": "CHA_PERF_SEL_IO_32B_WDS_CHC3", "value": 20},
589 {"name": "CHA_PERF_SEL_IO_32B_WDS_CHC4", "value": 21},
590 {"name": "CHA_PERF_SEL_MEM_BURST_COUNT_CHC0", "value": 22},
591 {"name": "CHA_PERF_SEL_MEM_BURST_COUNT_CHC1", "value": 23},
592 {"name": "CHA_PERF_SEL_MEM_BURST_COUNT_CHC2", "value": 24},
593 {"name": "CHA_PERF_SEL_MEM_BURST_COUNT_CHC3", "value": 25},
594 {"name": "CHA_PERF_SEL_MEM_BURST_COUNT_CHC4", "value": 26},
595 {"name": "CHA_PERF_SEL_IO_BURST_COUNT_CHC0", "value": 27},
596 {"name": "CHA_PERF_SEL_IO_BURST_COUNT_CHC1", "value": 28},
597 {"name": "CHA_PERF_SEL_IO_BURST_COUNT_CHC2", "value": 29},
598 {"name": "CHA_PERF_SEL_IO_BURST_COUNT_CHC3", "value": 30},
599 {"name": "CHA_PERF_SEL_IO_BURST_COUNT_CHC4", "value": 31},
600 {"name": "CHA_PERF_SEL_ARB_REQUESTS", "value": 32},
601 {"name": "CHA_PERF_SEL_REQ_ARB_LEVEL_CHC0", "value": 33},
602 {"name": "CHA_PERF_SEL_REQ_ARB_LEVEL_CHC1", "value": 34},
603 {"name": "CHA_PERF_SEL_REQ_ARB_LEVEL_CHC2", "value": 35},
604 {"name": "CHA_PERF_SEL_REQ_ARB_LEVEL_CHC3", "value": 36},
605 {"name": "CHA_PERF_SEL_REQ_ARB_LEVEL_CHC4", "value": 37},
606 {"name": "CHA_PERF_SEL_REQ_INFLIGHT_LEVEL", "value": 38},
607 {"name": "CHA_PERF_SEL_STALL_RET_CONFLICT_CHC0", "value": 39},
608 {"name": "CHA_PERF_SEL_STALL_RET_CONFLICT_CHC1", "value": 40},
609 {"name": "CHA_PERF_SEL_STALL_RET_CONFLICT_CHC2", "value": 41},
610 {"name": "CHA_PERF_SEL_STALL_RET_CONFLICT_CHC3", "value": 42},
611 {"name": "CHA_PERF_SEL_STALL_RET_CONFLICT_CHC4", "value": 43},
612 {"name": "CHA_PERF_SEL_CYCLE", "value": 44}
613 ]
614 },
615 "CHCG_PERF_SEL": {
616 "entries": [
617 {"name": "CHCG_PERF_SEL_CYCLE", "value": 0},
618 {"name": "CHCG_PERF_SEL_BUSY", "value": 1},
619 {"name": "CHCG_PERF_SEL_ARB_RET_LEVEL", "value": 2},
620 {"name": "CHCG_PERF_SEL_GL2_REQ_READ_LATENCY", "value": 3},
621 {"name": "CHCG_PERF_SEL_GL2_REQ_WRITE_LATENCY", "value": 4},
622 {"name": "CHCG_PERF_SEL_REQ", "value": 5},
623 {"name": "CHCG_PERF_SEL_REQ_ATOMIC_WITH_RET", "value": 6},
624 {"name": "CHCG_PERF_SEL_REQ_ATOMIC_WITHOUT_RET", "value": 7},
625 {"name": "CHCG_PERF_SEL_REQ_NOP_ACK", "value": 8},
626 {"name": "CHCG_PERF_SEL_REQ_NOP_RTN0", "value": 9},
627 {"name": "CHCG_PERF_SEL_REQ_READ", "value": 10},
628 {"name": "CHCG_PERF_SEL_REQ_READ_128B", "value": 11},
629 {"name": "CHCG_PERF_SEL_REQ_READ_32B", "value": 12},
630 {"name": "CHCG_PERF_SEL_REQ_READ_64B", "value": 13},
631 {"name": "CHCG_PERF_SEL_REQ_WRITE", "value": 14},
632 {"name": "CHCG_PERF_SEL_REQ_WRITE_32B", "value": 15},
633 {"name": "CHCG_PERF_SEL_REQ_WRITE_64B", "value": 16},
634 {"name": "CHCG_PERF_SEL_STALL_GUS_GL1", "value": 17},
635 {"name": "CHCG_PERF_SEL_STALL_BUFFER_FULL", "value": 18},
636 {"name": "CHCG_PERF_SEL_REQ_CLIENT0", "value": 19},
637 {"name": "CHCG_PERF_SEL_REQ_CLIENT1", "value": 20},
638 {"name": "CHCG_PERF_SEL_REQ_CLIENT2", "value": 21},
639 {"name": "CHCG_PERF_SEL_REQ_CLIENT3", "value": 22},
640 {"name": "CHCG_PERF_SEL_REQ_CLIENT4", "value": 23},
641 {"name": "CHCG_PERF_SEL_REQ_CLIENT5", "value": 24},
642 {"name": "CHCG_PERF_SEL_REQ_CLIENT6", "value": 25},
643 {"name": "CHCG_PERF_SEL_REQ_CLIENT7", "value": 26},
644 {"name": "CHCG_PERF_SEL_REQ_CLIENT8", "value": 27},
645 {"name": "CHCG_PERF_SEL_REQ_CLIENT9", "value": 28},
646 {"name": "CHCG_PERF_SEL_REQ_CLIENT10", "value": 29},
647 {"name": "CHCG_PERF_SEL_REQ_CLIENT11", "value": 30},
648 {"name": "CHCG_PERF_SEL_REQ_CLIENT12", "value": 31},
649 {"name": "CHCG_PERF_SEL_REQ_CLIENT13", "value": 32},
650 {"name": "CHCG_PERF_SEL_REQ_CLIENT14", "value": 33}
651 ]
652 },
653 "CHC_PERF_SEL": {
654 "entries": [
655 {"name": "CHC_PERF_SEL_CYCLE", "value": 0},
656 {"name": "CHC_PERF_SEL_BUSY", "value": 1},
657 {"name": "CHC_PERF_SEL_ARB_RET_LEVEL", "value": 2},
658 {"name": "CHC_PERF_SEL_GL2_REQ_READ_LATENCY", "value": 3},
659 {"name": "CHC_PERF_SEL_GL2_REQ_WRITE_LATENCY", "value": 4},
660 {"name": "CHC_PERF_SEL_REQ", "value": 5},
661 {"name": "CHC_PERF_SEL_REQ_ATOMIC_WITH_RET", "value": 6},
662 {"name": "CHC_PERF_SEL_REQ_ATOMIC_WITHOUT_RET", "value": 7},
663 {"name": "CHC_PERF_SEL_REQ_NOP_ACK", "value": 8},
664 {"name": "CHC_PERF_SEL_REQ_NOP_RTN0", "value": 9},
665 {"name": "CHC_PERF_SEL_REQ_READ", "value": 10},
666 {"name": "CHC_PERF_SEL_REQ_READ_128B", "value": 11},
667 {"name": "CHC_PERF_SEL_REQ_READ_32B", "value": 12},
668 {"name": "CHC_PERF_SEL_REQ_READ_64B", "value": 13},
669 {"name": "CHC_PERF_SEL_REQ_WRITE", "value": 14},
670 {"name": "CHC_PERF_SEL_REQ_WRITE_32B", "value": 15},
671 {"name": "CHC_PERF_SEL_REQ_WRITE_64B", "value": 16},
672 {"name": "CHC_PERF_SEL_STALL_GL2_GL1", "value": 17},
673 {"name": "CHC_PERF_SEL_STALL_BUFFER_FULL", "value": 18},
674 {"name": "CHC_PERF_SEL_REQ_CLIENT0", "value": 19},
675 {"name": "CHC_PERF_SEL_REQ_CLIENT1", "value": 20},
676 {"name": "CHC_PERF_SEL_REQ_CLIENT2", "value": 21},
677 {"name": "CHC_PERF_SEL_REQ_CLIENT3", "value": 22},
678 {"name": "CHC_PERF_SEL_REQ_CLIENT4", "value": 23},
679 {"name": "CHC_PERF_SEL_REQ_CLIENT5", "value": 24},
680 {"name": "CHC_PERF_SEL_REQ_CLIENT6", "value": 25},
681 {"name": "CHC_PERF_SEL_REQ_CLIENT7", "value": 26},
682 {"name": "CHC_PERF_SEL_REQ_CLIENT8", "value": 27},
683 {"name": "CHC_PERF_SEL_REQ_CLIENT9", "value": 28},
684 {"name": "CHC_PERF_SEL_REQ_CLIENT10", "value": 29},
685 {"name": "CHC_PERF_SEL_REQ_CLIENT11", "value": 30},
686 {"name": "CHC_PERF_SEL_REQ_CLIENT12", "value": 31},
687 {"name": "CHC_PERF_SEL_REQ_CLIENT13", "value": 32},
688 {"name": "CHC_PERF_SEL_REQ_CLIENT14", "value": 33}
689 ]
690 },
691 "CPC_LATENCY_STATS_SEL": {
692 "entries": [
693 {"name": "CPC_LATENCY_STATS_SEL_XACK_MAX", "value": 0},
694 {"name": "CPC_LATENCY_STATS_SEL_XACK_MIN", "value": 1},
695 {"name": "CPC_LATENCY_STATS_SEL_XACK_LAST", "value": 2},
696 {"name": "CPC_LATENCY_STATS_SEL_XNACK_MAX", "value": 3},
697 {"name": "CPC_LATENCY_STATS_SEL_XNACK_MIN", "value": 4},
698 {"name": "CPC_LATENCY_STATS_SEL_XNACK_LAST", "value": 5},
699 {"name": "CPC_LATENCY_STATS_SEL_INVAL_MAX", "value": 6},
700 {"name": "CPC_LATENCY_STATS_SEL_INVAL_MIN", "value": 7},
701 {"name": "CPC_LATENCY_STATS_SEL_INVAL_LAST", "value": 8}
702 ]
703 },
704 "CPC_PERFCOUNT_SEL": {
705 "entries": [
706 {"name": "CPC_PERF_SEL_ALWAYS_COUNT", "value": 0},
707 {"name": "CPC_PERF_SEL_RCIU_STALL_WAIT_ON_FREE", "value": 1},
708 {"name": "CPC_PERF_SEL_RCIU_STALL_PRIV_VIOLATION", "value": 2},
709 {"name": "CPC_PERF_SEL_MIU_STALL_ON_RDREQ_FREE", "value": 3},
710 {"name": "CPC_PERF_SEL_MIU_STALL_ON_WRREQ_FREE", "value": 4},
711 {"name": "CPC_PERF_SEL_TCIU_STALL_WAIT_ON_FREE", "value": 5},
712 {"name": "CPC_PERF_SEL_ME1_STALL_WAIT_ON_RCIU_READY", "value": 6},
713 {"name": "CPC_PERF_SEL_ME1_STALL_WAIT_ON_RCIU_READY_PERF", "value": 7},
714 {"name": "CPC_PERF_SEL_ME1_STALL_WAIT_ON_RCIU_READ", "value": 8},
715 {"name": "CPC_PERF_SEL_ME1_STALL_WAIT_ON_GUS_READ", "value": 9},
716 {"name": "CPC_PERF_SEL_ME1_STALL_WAIT_ON_GUS_WRITE", "value": 10},
717 {"name": "CPC_PERF_SEL_ME1_STALL_ON_DATA_FROM_ROQ", "value": 11},
718 {"name": "CPC_PERF_SEL_ME1_STALL_ON_DATA_FROM_ROQ_PERF", "value": 12},
719 {"name": "CPC_PERF_SEL_ME1_BUSY_FOR_PACKET_DECODE", "value": 13},
720 {"name": "CPC_PERF_SEL_ME2_STALL_WAIT_ON_RCIU_READY", "value": 14},
721 {"name": "CPC_PERF_SEL_ME2_STALL_WAIT_ON_RCIU_READY_PERF", "value": 15},
722 {"name": "CPC_PERF_SEL_ME2_STALL_WAIT_ON_RCIU_READ", "value": 16},
723 {"name": "CPC_PERF_SEL_ME2_STALL_WAIT_ON_GUS_READ", "value": 17},
724 {"name": "CPC_PERF_SEL_ME2_STALL_WAIT_ON_GUS_WRITE", "value": 18},
725 {"name": "CPC_PERF_SEL_ME2_STALL_ON_DATA_FROM_ROQ", "value": 19},
726 {"name": "CPC_PERF_SEL_ME2_STALL_ON_DATA_FROM_ROQ_PERF", "value": 20},
727 {"name": "CPC_PERF_SEL_ME2_BUSY_FOR_PACKET_DECODE", "value": 21},
728 {"name": "CPC_PERF_SEL_UTCL2IU_STALL_WAIT_ON_FREE", "value": 22},
729 {"name": "CPC_PERF_SEL_UTCL2IU_STALL_WAIT_ON_TAGS", "value": 23},
730 {"name": "CPC_PERF_SEL_UTCL1_STALL_ON_TRANSLATION", "value": 24},
731 {"name": "CPC_PERF_SEL_CPC_STAT_BUSY", "value": 25},
732 {"name": "CPC_PERF_SEL_CPC_STAT_IDLE", "value": 26},
733 {"name": "CPC_PERF_SEL_CPC_STAT_STALL", "value": 27},
734 {"name": "CPC_PERF_SEL_CPC_TCIU_BUSY", "value": 28},
735 {"name": "CPC_PERF_SEL_CPC_TCIU_IDLE", "value": 29},
736 {"name": "CPC_PERF_SEL_CPC_UTCL2IU_BUSY", "value": 30},
737 {"name": "CPC_PERF_SEL_CPC_UTCL2IU_IDLE", "value": 31},
738 {"name": "CPC_PERF_SEL_CPC_UTCL2IU_STALL", "value": 32},
739 {"name": "CPC_PERF_SEL_ME1_DC0_SPI_BUSY", "value": 33},
740 {"name": "CPC_PERF_SEL_ME2_DC1_SPI_BUSY", "value": 34},
741 {"name": "CPC_PERF_SEL_CPC_GCRIU_BUSY", "value": 35},
742 {"name": "CPC_PERF_SEL_CPC_GCRIU_IDLE", "value": 36},
743 {"name": "CPC_PERF_SEL_CPC_GCRIU_STALL", "value": 37},
744 {"name": "CPC_PERF_SEL_GCRIU_STALL_WAIT_ON_FREE", "value": 38},
745 {"name": "CPC_PERF_SEL_ME1_STALL_WAIT_ON_TCIU_READ", "value": 39},
746 {"name": "CPC_PERF_SEL_ME2_STALL_WAIT_ON_TCIU_READ", "value": 40},
747 {"name": "CPC_PERF_SEL_CPC_UTCL2IU_XACK", "value": 41},
748 {"name": "CPC_PERF_SEL_CPC_UTCL2IU_XNACK", "value": 42},
749 {"name": "CPC_PERF_SEL_MEC_INSTR_CACHE_HIT", "value": 43},
750 {"name": "CPC_PERF_SEL_MEC_INSTR_CACHE_MISS", "value": 44},
751 {"name": "CPC_PERF_SEL_MES_THREAD0", "value": 45},
752 {"name": "CPC_PERF_SEL_MES_THREAD1", "value": 46}
753 ]
754 },
755 "CPF_LATENCY_STATS_SEL": {
756 "entries": [
757 {"name": "CPF_LATENCY_STATS_SEL_XACK_MAX", "value": 0},
758 {"name": "CPF_LATENCY_STATS_SEL_XACK_MIN", "value": 1},
759 {"name": "CPF_LATENCY_STATS_SEL_XACK_LAST", "value": 2},
760 {"name": "CPF_LATENCY_STATS_SEL_XNACK_MAX", "value": 3},
761 {"name": "CPF_LATENCY_STATS_SEL_XNACK_MIN", "value": 4},
762 {"name": "CPF_LATENCY_STATS_SEL_XNACK_LAST", "value": 5},
763 {"name": "CPF_LATENCY_STATS_SEL_READ_MAX", "value": 6},
764 {"name": "CPF_LATENCY_STATS_SEL_READ_MIN", "value": 7},
765 {"name": "CPF_LATENCY_STATS_SEL_READ_LAST", "value": 8},
766 {"name": "CPF_LATENCY_STATS_SEL_INVAL_MAX", "value": 9},
767 {"name": "CPF_LATENCY_STATS_SEL_INVAL_MIN", "value": 10},
768 {"name": "CPF_LATENCY_STATS_SEL_INVAL_LAST", "value": 11}
769 ]
770 },
771 "CPF_PERFCOUNTWINDOW_SEL": {
772 "entries": [
773 {"name": "CPF_PERFWINDOW_SEL_CSF", "value": 0},
774 {"name": "CPF_PERFWINDOW_SEL_HQD1", "value": 1},
775 {"name": "CPF_PERFWINDOW_SEL_HQD2", "value": 2},
776 {"name": "CPF_PERFWINDOW_SEL_RDMA", "value": 3},
777 {"name": "CPF_PERFWINDOW_SEL_RWPP", "value": 4}
778 ]
779 },
780 "CPF_PERFCOUNT_SEL": {
781 "entries": [
782 {"name": "CPF_PERF_SEL_ALWAYS_COUNT", "value": 0},
783 {"name": "CPF_PERF_SEL_MIU_STALLED_WAITING_RDREQ_FREE", "value": 1},
784 {"name": "CPF_PERF_SEL_TCIU_STALLED_WAITING_ON_FREE", "value": 2},
785 {"name": "CPF_PERF_SEL_TCIU_STALLED_WAITING_ON_TAGS", "value": 3},
786 {"name": "CPF_PERF_SEL_CSF_BUSY_FOR_FETCHING_RING", "value": 4},
787 {"name": "CPF_PERF_SEL_CSF_BUSY_FOR_FETCHING_IB1", "value": 5},
788 {"name": "CPF_PERF_SEL_CSF_BUSY_FOR_FETCHING_IB2", "value": 6},
789 {"name": "CPF_PERF_SEL_CSF_BUSY_FOR_FECTHINC_STATE", "value": 7},
790 {"name": "CPF_PERF_SEL_MIU_BUSY_FOR_OUTSTANDING_TAGS", "value": 8},
791 {"name": "CPF_PERF_SEL_CSF_RTS_MIU_NOT_RTR", "value": 9},
792 {"name": "CPF_PERF_SEL_CSF_STATE_FIFO_NOT_RTR", "value": 10},
793 {"name": "CPF_PERF_SEL_CSF_FETCHING_CMD_BUFFERS", "value": 11},
794 {"name": "CPF_PERF_SEL_GRBM_DWORDS_SENT", "value": 12},
795 {"name": "CPF_PERF_SEL_DYNAMIC_CLOCK_VALID", "value": 13},
796 {"name": "CPF_PERF_SEL_REGISTER_CLOCK_VALID", "value": 14},
797 {"name": "CPF_PERF_SEL_GUS_WRITE_REQUEST_SENT", "value": 15},
798 {"name": "CPF_PERF_SEL_GUS_READ_REQUEST_SENT", "value": 16},
799 {"name": "CPF_PERF_SEL_UTCL2IU_STALL_WAIT_ON_FREE", "value": 17},
800 {"name": "CPF_PERF_SEL_UTCL2IU_STALL_WAIT_ON_TAGS", "value": 18},
801 {"name": "CPF_PERF_SEL_GFX_UTCL1_STALL_ON_TRANSLATION", "value": 19},
802 {"name": "CPF_PERF_SEL_CMP_UTCL1_STALL_ON_TRANSLATION", "value": 20},
803 {"name": "CPF_PERF_SEL_RCIU_STALL_WAIT_ON_FREE", "value": 21},
804 {"name": "CPF_PERF_SEL_TCIU_WRITE_REQUEST_SENT", "value": 22},
805 {"name": "CPF_PERF_SEL_TCIU_READ_REQUEST_SENT", "value": 23},
806 {"name": "CPF_PERF_SEL_CPF_STAT_BUSY", "value": 24},
807 {"name": "CPF_PERF_SEL_CPF_STAT_IDLE", "value": 25},
808 {"name": "CPF_PERF_SEL_CPF_STAT_STALL", "value": 26},
809 {"name": "CPF_PERF_SEL_CPF_TCIU_BUSY", "value": 27},
810 {"name": "CPF_PERF_SEL_CPF_TCIU_IDLE", "value": 28},
811 {"name": "CPF_PERF_SEL_CPF_TCIU_STALL", "value": 29},
812 {"name": "CPF_PERF_SEL_CPF_UTCL2IU_BUSY", "value": 30},
813 {"name": "CPF_PERF_SEL_CPF_UTCL2IU_IDLE", "value": 31},
814 {"name": "CPF_PERF_SEL_CPF_UTCL2IU_STALL", "value": 32},
815 {"name": "CPF_PERF_SEL_CPF_GCRIU_BUSY", "value": 33},
816 {"name": "CPF_PERF_SEL_CPF_GCRIU_IDLE", "value": 34},
817 {"name": "CPF_PERF_SEL_CPF_GCRIU_STALL", "value": 35},
818 {"name": "CPF_PERF_SEL_GCRIU_STALL_WAIT_ON_FREE", "value": 36},
819 {"name": "CPF_PERF_SEL_CSF_BUSY_FOR_FETCHING_DB", "value": 37},
820 {"name": "CPF_PERF_SEL_CPF_UTCL2IU_XACK", "value": 38},
821 {"name": "CPF_PERF_SEL_CPF_UTCL2IU_XNACK", "value": 39}
822 ]
823 },
824 "CPG_LATENCY_STATS_SEL": {
825 "entries": [
826 {"name": "CPG_LATENCY_STATS_SEL_XACK_MAX", "value": 0},
827 {"name": "CPG_LATENCY_STATS_SEL_XACK_MIN", "value": 1},
828 {"name": "CPG_LATENCY_STATS_SEL_XACK_LAST", "value": 2},
829 {"name": "CPG_LATENCY_STATS_SEL_XNACK_MAX", "value": 3},
830 {"name": "CPG_LATENCY_STATS_SEL_XNACK_MIN", "value": 4},
831 {"name": "CPG_LATENCY_STATS_SEL_XNACK_LAST", "value": 5},
832 {"name": "CPG_LATENCY_STATS_SEL_WRITE_MAX", "value": 6},
833 {"name": "CPG_LATENCY_STATS_SEL_WRITE_MIN", "value": 7},
834 {"name": "CPG_LATENCY_STATS_SEL_WRITE_LAST", "value": 8},
835 {"name": "CPG_LATENCY_STATS_SEL_READ_MAX", "value": 9},
836 {"name": "CPG_LATENCY_STATS_SEL_READ_MIN", "value": 10},
837 {"name": "CPG_LATENCY_STATS_SEL_READ_LAST", "value": 11},
838 {"name": "CPG_LATENCY_STATS_SEL_ATOMIC_MAX", "value": 12},
839 {"name": "CPG_LATENCY_STATS_SEL_ATOMIC_MIN", "value": 13},
840 {"name": "CPG_LATENCY_STATS_SEL_ATOMIC_LAST", "value": 14},
841 {"name": "CPG_LATENCY_STATS_SEL_INVAL_MAX", "value": 15},
842 {"name": "CPG_LATENCY_STATS_SEL_INVAL_MIN", "value": 16},
843 {"name": "CPG_LATENCY_STATS_SEL_INVAL_LAST", "value": 17}
844 ]
845 },
846 "CPG_PERFCOUNTWINDOW_SEL": {
847 "entries": [
848 {"name": "CPG_PERFWINDOW_SEL_PFP", "value": 0},
849 {"name": "CPG_PERFWINDOW_SEL_ME", "value": 1},
850 {"name": "CPG_PERFWINDOW_SEL_CE", "value": 2},
851 {"name": "CPG_PERFWINDOW_SEL_MES", "value": 3},
852 {"name": "CPG_PERFWINDOW_SEL_MEC1", "value": 4},
853 {"name": "CPG_PERFWINDOW_SEL_MEC2", "value": 5},
854 {"name": "CPG_PERFWINDOW_SEL_DFY", "value": 6},
855 {"name": "CPG_PERFWINDOW_SEL_DMA", "value": 7},
856 {"name": "CPG_PERFWINDOW_SEL_SHADOW", "value": 8},
857 {"name": "CPG_PERFWINDOW_SEL_RB", "value": 9},
858 {"name": "CPG_PERFWINDOW_SEL_CEDMA", "value": 10},
859 {"name": "CPG_PERFWINDOW_SEL_PRT_HDR_RPTR", "value": 11},
860 {"name": "CPG_PERFWINDOW_SEL_PRT_SMP_RPTR", "value": 12},
861 {"name": "CPG_PERFWINDOW_SEL_PQ1", "value": 13},
862 {"name": "CPG_PERFWINDOW_SEL_PQ2", "value": 14},
863 {"name": "CPG_PERFWINDOW_SEL_PQ3", "value": 15},
864 {"name": "CPG_PERFWINDOW_SEL_MEMWR", "value": 16},
865 {"name": "CPG_PERFWINDOW_SEL_MEMRD", "value": 17},
866 {"name": "CPG_PERFWINDOW_SEL_VGT0", "value": 18},
867 {"name": "CPG_PERFWINDOW_SEL_VGT1", "value": 19},
868 {"name": "CPG_PERFWINDOW_SEL_APPEND", "value": 20},
869 {"name": "CPG_PERFWINDOW_SEL_QURD", "value": 21},
870 {"name": "CPG_PERFWINDOW_SEL_DDID", "value": 22},
871 {"name": "CPG_PERFWINDOW_SEL_SR", "value": 23},
872 {"name": "CPG_PERFWINDOW_SEL_QU_EOP", "value": 24},
873 {"name": "CPG_PERFWINDOW_SEL_QU_STRM", "value": 25},
874 {"name": "CPG_PERFWINDOW_SEL_QU_PIPE", "value": 26},
875 {"name": "CPG_PERFWINDOW_SEL_RESERVED1", "value": 27},
876 {"name": "CPG_PERFWINDOW_SEL_CPC_IC", "value": 28},
877 {"name": "CPG_PERFWINDOW_SEL_RESERVED2", "value": 29},
878 {"name": "CPG_PERFWINDOW_SEL_CPG_IC", "value": 30}
879 ]
880 },
881 "CPG_PERFCOUNT_SEL": {
882 "entries": [
883 {"name": "CPG_PERF_SEL_ALWAYS_COUNT", "value": 0},
884 {"name": "CPG_PERF_SEL_RBIU_FIFO_FULL", "value": 1},
885 {"name": "CPG_PERF_SEL_CSF_RTS_BUT_MIU_NOT_RTR", "value": 2},
886 {"name": "CPG_PERF_SEL_CSF_ST_BASE_SIZE_FIFO_FULL", "value": 3},
887 {"name": "CPG_PERF_SEL_CP_GRBM_DWORDS_SENT", "value": 4},
888 {"name": "CPG_PERF_SEL_ME_PARSER_BUSY", "value": 5},
889 {"name": "CPG_PERF_SEL_COUNT_TYPE0_PACKETS", "value": 6},
890 {"name": "CPG_PERF_SEL_COUNT_TYPE3_PACKETS", "value": 7},
891 {"name": "CPG_PERF_SEL_CSF_FETCHING_CMD_BUFFERS", "value": 8},
892 {"name": "CPG_PERF_SEL_CP_GRBM_OUT_OF_CREDITS", "value": 9},
893 {"name": "CPG_PERF_SEL_CP_PFP_GRBM_OUT_OF_CREDITS", "value": 10},
894 {"name": "CPG_PERF_SEL_CP_GDS_GRBM_OUT_OF_CREDITS", "value": 11},
895 {"name": "CPG_PERF_SEL_RCIU_STALLED_ON_ME_READ", "value": 12},
896 {"name": "CPG_PERF_SEL_RCIU_STALLED_ON_DMA_READ", "value": 13},
897 {"name": "CPG_PERF_SEL_SSU_STALLED_ON_ACTIVE_CNTX", "value": 14},
898 {"name": "CPG_PERF_SEL_SSU_STALLED_ON_CLEAN_SIGNALS", "value": 15},
899 {"name": "CPG_PERF_SEL_QU_STALLED_ON_EOP_DONE_PULSE", "value": 16},
900 {"name": "CPG_PERF_SEL_QU_STALLED_ON_EOP_DONE_WR_CONFIRM", "value": 17},
901 {"name": "CPG_PERF_SEL_PFP_STALLED_ON_CSF_READY", "value": 18},
902 {"name": "CPG_PERF_SEL_PFP_STALLED_ON_MEQ_READY", "value": 19},
903 {"name": "CPG_PERF_SEL_PFP_STALLED_ON_RCIU_READY", "value": 20},
904 {"name": "CPG_PERF_SEL_PFP_STALLED_FOR_DATA_FROM_ROQ", "value": 21},
905 {"name": "CPG_PERF_SEL_ME_STALLED_FOR_DATA_FROM_PFP", "value": 22},
906 {"name": "CPG_PERF_SEL_ME_STALLED_FOR_DATA_FROM_STQ", "value": 23},
907 {"name": "CPG_PERF_SEL_ME_STALLED_ON_NO_AVAIL_GFX_CNTX", "value": 24},
908 {"name": "CPG_PERF_SEL_ME_STALLED_WRITING_TO_RCIU", "value": 25},
909 {"name": "CPG_PERF_SEL_ME_STALLED_WRITING_CONSTANTS", "value": 26},
910 {"name": "CPG_PERF_SEL_ME_STALLED_ON_PARTIAL_FLUSH", "value": 27},
911 {"name": "CPG_PERF_SEL_ME_WAIT_ON_CE_COUNTER", "value": 28},
912 {"name": "CPG_PERF_SEL_ME_WAIT_ON_AVAIL_BUFFER", "value": 29},
913 {"name": "CPG_PERF_SEL_SEMAPHORE_BUSY_POLLING_FOR_PASS", "value": 30},
914 {"name": "CPG_PERF_SEL_LOAD_STALLED_ON_SET_COHERENCY", "value": 31},
915 {"name": "CPG_PERF_SEL_DYNAMIC_CLK_VALID", "value": 32},
916 {"name": "CPG_PERF_SEL_REGISTER_CLK_VALID", "value": 33},
917 {"name": "CPG_PERF_SEL_GUS_WRITE_REQUEST_SENT", "value": 34},
918 {"name": "CPG_PERF_SEL_GUS_READ_REQUEST_SENT", "value": 35},
919 {"name": "CPG_PERF_SEL_CE_STALL_RAM_DUMP", "value": 36},
920 {"name": "CPG_PERF_SEL_CE_STALL_RAM_WRITE", "value": 37},
921 {"name": "CPG_PERF_SEL_CE_STALL_ON_INC_FIFO", "value": 38},
922 {"name": "CPG_PERF_SEL_CE_STALL_ON_WR_RAM_FIFO", "value": 39},
923 {"name": "CPG_PERF_SEL_CE_STALL_ON_DATA_FROM_MIU", "value": 40},
924 {"name": "CPG_PERF_SEL_CE_STALL_ON_DATA_FROM_ROQ", "value": 41},
925 {"name": "CPG_PERF_SEL_CE_STALL_ON_CE_BUFFER_FLAG", "value": 42},
926 {"name": "CPG_PERF_SEL_CE_STALL_ON_DE_COUNTER", "value": 43},
927 {"name": "CPG_PERF_SEL_TCIU_STALL_WAIT_ON_FREE", "value": 44},
928 {"name": "CPG_PERF_SEL_TCIU_STALL_WAIT_ON_TAGS", "value": 45},
929 {"name": "CPG_PERF_SEL_UTCL2IU_STALL_WAIT_ON_FREE", "value": 46},
930 {"name": "CPG_PERF_SEL_UTCL2IU_STALL_WAIT_ON_TAGS", "value": 47},
931 {"name": "CPG_PERF_SEL_UTCL1_STALL_ON_TRANSLATION", "value": 48},
932 {"name": "CPG_PERF_SEL_TCIU_WRITE_REQUEST_SENT", "value": 49},
933 {"name": "CPG_PERF_SEL_TCIU_READ_REQUEST_SENT", "value": 50},
934 {"name": "CPG_PERF_SEL_CPG_STAT_BUSY", "value": 51},
935 {"name": "CPG_PERF_SEL_CPG_STAT_IDLE", "value": 52},
936 {"name": "CPG_PERF_SEL_CPG_STAT_STALL", "value": 53},
937 {"name": "CPG_PERF_SEL_CPG_TCIU_BUSY", "value": 54},
938 {"name": "CPG_PERF_SEL_CPG_TCIU_IDLE", "value": 55},
939 {"name": "CPG_PERF_SEL_CPG_TCIU_STALL", "value": 56},
940 {"name": "CPG_PERF_SEL_CPG_UTCL2IU_BUSY", "value": 57},
941 {"name": "CPG_PERF_SEL_CPG_UTCL2IU_IDLE", "value": 58},
942 {"name": "CPG_PERF_SEL_CPG_UTCL2IU_STALL", "value": 59},
943 {"name": "CPG_PERF_SEL_CPG_GCRIU_BUSY", "value": 60},
944 {"name": "CPG_PERF_SEL_CPG_GCRIU_IDLE", "value": 61},
945 {"name": "CPG_PERF_SEL_CPG_GCRIU_STALL", "value": 62},
946 {"name": "CPG_PERF_SEL_GCRIU_STALL_WAIT_ON_FREE", "value": 63},
947 {"name": "CPG_PERF_SEL_ALL_GFX_PIPES_BUSY", "value": 64},
948 {"name": "CPG_PERF_SEL_CPG_UTCL2IU_XACK", "value": 65},
949 {"name": "CPG_PERF_SEL_CPG_UTCL2IU_XNACK", "value": 66},
950 {"name": "CPG_PERF_SEL_PFP_STALLED_ON_MEQ_DDID_READY", "value": 67},
951 {"name": "CPG_PERF_SEL_PFP_INSTR_CACHE_HIT", "value": 68},
952 {"name": "CPG_PERF_SEL_PFP_INSTR_CACHE_MISS", "value": 69},
953 {"name": "CPG_PERF_SEL_CE_INSTR_CACHE_HIT", "value": 70},
954 {"name": "CPG_PERF_SEL_CE_INSTR_CACHE_MISS", "value": 71},
955 {"name": "CPG_PERF_SEL_ME_INSTR_CACHE_HIT", "value": 72},
956 {"name": "CPG_PERF_SEL_ME_INSTR_CACHE_MISS", "value": 73},
957 {"name": "CPG_PERF_SEL_PFP_PACKET_FILTER_HIT_IB1", "value": 74},
958 {"name": "CPG_PERF_SEL_PFP_PACKET_FILTER_MISS_IB1", "value": 75},
959 {"name": "CPG_PERF_SEL_PFP_PACKET_FILTER_HIT_IB2", "value": 76},
960 {"name": "CPG_PERF_SEL_PFP_PACKET_FILTER_MISS_IB2", "value": 77},
961 {"name": "CPG_PERF_SEL_DMA_BUSY", "value": 78},
962 {"name": "CPG_PERF_SEL_DMA_STARVED", "value": 79},
963 {"name": "CPG_PERF_SEL_DMA_STALLED", "value": 80},
964 {"name": "CPG_PERF_SEL_DMA_FETCHER_STALLED_ON_ROQ_FULL", "value": 81}
965 ]
966 },
967 "CP_PERFMON_ENABLE_MODE": {
968 "entries": [
969 {"name": "CP_PERFMON_ENABLE_MODE_ALWAYS_COUNT", "value": 0},
970 {"name": "CP_PERFMON_ENABLE_MODE_RESERVED_1", "value": 1},
971 {"name": "CP_PERFMON_ENABLE_MODE_COUNT_CONTEXT_TRUE", "value": 2},
972 {"name": "CP_PERFMON_ENABLE_MODE_COUNT_CONTEXT_FALSE", "value": 3}
973 ]
974 },
975 "CP_PERFMON_STATE": {
976 "entries": [
977 {"name": "CP_PERFMON_STATE_DISABLE_AND_RESET", "value": 0},
978 {"name": "CP_PERFMON_STATE_START_COUNTING", "value": 1},
979 {"name": "CP_PERFMON_STATE_STOP_COUNTING", "value": 2},
980 {"name": "CP_PERFMON_STATE_RESERVED_3", "value": 3},
981 {"name": "CP_PERFMON_STATE_DISABLE_AND_RESET_PHANTOM", "value": 4},
982 {"name": "CP_PERFMON_STATE_COUNT_AND_DUMP_PHANTOM", "value": 5}
983 ]
984 },
985 "CmaskAddr": {
986 "entries": [
987 {"name": "CMASK_ADDR_TILED", "value": 0},
988 {"name": "CMASK_ADDR_LINEAR", "value": 1},
989 {"name": "CMASK_ADDR_COMPATIBLE", "value": 2}
990 ]
991 },
992 "CombFunc": {
993 "entries": [
994 {"name": "COMB_DST_PLUS_SRC", "value": 0},
995 {"name": "COMB_SRC_MINUS_DST", "value": 1},
996 {"name": "COMB_MIN_DST_SRC", "value": 2},
997 {"name": "COMB_MAX_DST_SRC", "value": 3},
998 {"name": "COMB_DST_MINUS_SRC", "value": 4}
999 ]
1000 },
1001 "CompareFrag": {
1002 "entries": [
1003 {"name": "FRAG_NEVER", "value": 0},
1004 {"name": "FRAG_LESS", "value": 1},
1005 {"name": "FRAG_EQUAL", "value": 2},
1006 {"name": "FRAG_LEQUAL", "value": 3},
1007 {"name": "FRAG_GREATER", "value": 4},
1008 {"name": "FRAG_NOTEQUAL", "value": 5},
1009 {"name": "FRAG_GEQUAL", "value": 6},
1010 {"name": "FRAG_ALWAYS", "value": 7}
1011 ]
1012 },
1013 "ConservativeZExport": {
1014 "entries": [
1015 {"name": "EXPORT_ANY_Z", "value": 0},
1016 {"name": "EXPORT_LESS_THAN_Z", "value": 1},
1017 {"name": "EXPORT_GREATER_THAN_Z", "value": 2},
1018 {"name": "EXPORT_RESERVED", "value": 3}
1019 ]
1020 },
1021 "CovToShaderSel": {
1022 "entries": [
1023 {"name": "INPUT_COVERAGE", "value": 0},
1024 {"name": "INPUT_INNER_COVERAGE", "value": 1},
1025 {"name": "INPUT_DEPTH_COVERAGE", "value": 2},
1026 {"name": "RAW", "value": 3}
1027 ]
1028 },
1029 "DbPRTFaultBehavior": {
1030 "entries": [
1031 {"name": "FAULT_ZERO", "value": 0},
1032 {"name": "FAULT_ONE", "value": 1},
1033 {"name": "FAULT_FAIL", "value": 2},
1034 {"name": "FAULT_PASS", "value": 3}
1035 ]
1036 },
1037 "DbPSLControl": {
1038 "entries": [
1039 {"name": "PSLC_AUTO", "value": 0},
1040 {"name": "PSLC_ON_HANG_ONLY", "value": 1},
1041 {"name": "PSLC_ASAP", "value": 2},
1042 {"name": "PSLC_COUNTDOWN", "value": 3}
1043 ]
1044 },
1045 "ForceControl": {
1046 "entries": [
1047 {"name": "FORCE_OFF", "value": 0},
1048 {"name": "FORCE_ENABLE", "value": 1},
1049 {"name": "FORCE_DISABLE", "value": 2},
1050 {"name": "FORCE_RESERVED", "value": 3}
1051 ]
1052 },
1053 "GCRPerfSel": {
1054 "entries": [
1055 {"name": "GCR_PERF_SEL_NONE", "value": 0},
1056 {"name": "GCR_PERF_SEL_SDMA0_ALL_REQ", "value": 1},
1057 {"name": "GCR_PERF_SEL_SDMA0_GL2_RANGE_REQ", "value": 2},
1058 {"name": "GCR_PERF_SEL_SDMA0_GL2_RANGE_LT16K_REQ", "value": 3},
1059 {"name": "GCR_PERF_SEL_SDMA0_GL2_RANGE_16K_REQ", "value": 4},
1060 {"name": "GCR_PERF_SEL_SDMA0_GL2_RANGE_GT16K_REQ", "value": 5},
1061 {"name": "GCR_PERF_SEL_SDMA0_GL2_ALL_REQ", "value": 6},
1062 {"name": "GCR_PERF_SEL_SDMA0_GL1_RANGE_REQ", "value": 7},
1063 {"name": "GCR_PERF_SEL_SDMA0_GL1_RANGE_LT16K_REQ", "value": 8},
1064 {"name": "GCR_PERF_SEL_SDMA0_GL1_RANGE_16K_REQ", "value": 9},
1065 {"name": "GCR_PERF_SEL_SDMA0_GL1_RANGE_GT16K_REQ", "value": 10},
1066 {"name": "GCR_PERF_SEL_SDMA0_GL1_ALL_REQ", "value": 11},
1067 {"name": "GCR_PERF_SEL_SDMA0_METADATA_REQ", "value": 12},
1068 {"name": "GCR_PERF_SEL_SDMA0_SQC_DATA_REQ", "value": 13},
1069 {"name": "GCR_PERF_SEL_SDMA0_SQC_INST_REQ", "value": 14},
1070 {"name": "GCR_PERF_SEL_SDMA0_TCP_REQ", "value": 15},
1071 {"name": "GCR_PERF_SEL_SDMA0_TCP_TLB_SHOOTDOWN_REQ", "value": 16},
1072 {"name": "GCR_PERF_SEL_SDMA1_ALL_REQ", "value": 17},
1073 {"name": "GCR_PERF_SEL_SDMA1_GL2_RANGE_REQ", "value": 18},
1074 {"name": "GCR_PERF_SEL_SDMA1_GL2_RANGE_LT16K_REQ", "value": 19},
1075 {"name": "GCR_PERF_SEL_SDMA1_GL2_RANGE_16K_REQ", "value": 20},
1076 {"name": "GCR_PERF_SEL_SDMA1_GL2_RANGE_GT16K_REQ", "value": 21},
1077 {"name": "GCR_PERF_SEL_SDMA1_GL2_ALL_REQ", "value": 22},
1078 {"name": "GCR_PERF_SEL_SDMA1_GL1_RANGE_REQ", "value": 23},
1079 {"name": "GCR_PERF_SEL_SDMA1_GL1_RANGE_LT16K_REQ", "value": 24},
1080 {"name": "GCR_PERF_SEL_SDMA1_GL1_RANGE_16K_REQ", "value": 25},
1081 {"name": "GCR_PERF_SEL_SDMA1_GL1_RANGE_GT16K_REQ", "value": 26},
1082 {"name": "GCR_PERF_SEL_SDMA1_GL1_ALL_REQ", "value": 27},
1083 {"name": "GCR_PERF_SEL_SDMA1_METADATA_REQ", "value": 28},
1084 {"name": "GCR_PERF_SEL_SDMA1_SQC_DATA_REQ", "value": 29},
1085 {"name": "GCR_PERF_SEL_SDMA1_SQC_INST_REQ", "value": 30},
1086 {"name": "GCR_PERF_SEL_SDMA1_TCP_REQ", "value": 31},
1087 {"name": "GCR_PERF_SEL_SDMA1_TCP_TLB_SHOOTDOWN_REQ", "value": 32},
1088 {"name": "GCR_PERF_SEL_CPG_ALL_REQ", "value": 33},
1089 {"name": "GCR_PERF_SEL_CPG_GL2_RANGE_REQ", "value": 34},
1090 {"name": "GCR_PERF_SEL_CPG_GL2_RANGE_LT16K_REQ", "value": 35},
1091 {"name": "GCR_PERF_SEL_CPG_GL2_RANGE_16K_REQ", "value": 36},
1092 {"name": "GCR_PERF_SEL_CPG_GL2_RANGE_GT16K_REQ", "value": 37},
1093 {"name": "GCR_PERF_SEL_CPG_GL2_ALL_REQ", "value": 38},
1094 {"name": "GCR_PERF_SEL_CPG_GL1_RANGE_REQ", "value": 39},
1095 {"name": "GCR_PERF_SEL_CPG_GL1_RANGE_LT16K_REQ", "value": 40},
1096 {"name": "GCR_PERF_SEL_CPG_GL1_RANGE_16K_REQ", "value": 41},
1097 {"name": "GCR_PERF_SEL_CPG_GL1_RANGE_GT16K_REQ", "value": 42},
1098 {"name": "GCR_PERF_SEL_CPG_GL1_ALL_REQ", "value": 43},
1099 {"name": "GCR_PERF_SEL_CPG_METADATA_REQ", "value": 44},
1100 {"name": "GCR_PERF_SEL_CPG_SQC_DATA_REQ", "value": 45},
1101 {"name": "GCR_PERF_SEL_CPG_SQC_INST_REQ", "value": 46},
1102 {"name": "GCR_PERF_SEL_CPG_TCP_REQ", "value": 47},
1103 {"name": "GCR_PERF_SEL_CPG_TCP_TLB_SHOOTDOWN_REQ", "value": 48},
1104 {"name": "GCR_PERF_SEL_CPC_ALL_REQ", "value": 49},
1105 {"name": "GCR_PERF_SEL_CPC_GL2_RANGE_REQ", "value": 50},
1106 {"name": "GCR_PERF_SEL_CPC_GL2_RANGE_LT16K_REQ", "value": 51},
1107 {"name": "GCR_PERF_SEL_CPC_GL2_RANGE_16K_REQ", "value": 52},
1108 {"name": "GCR_PERF_SEL_CPC_GL2_RANGE_GT16K_REQ", "value": 53},
1109 {"name": "GCR_PERF_SEL_CPC_GL2_ALL_REQ", "value": 54},
1110 {"name": "GCR_PERF_SEL_CPC_GL1_RANGE_REQ", "value": 55},
1111 {"name": "GCR_PERF_SEL_CPC_GL1_RANGE_LT16K_REQ", "value": 56},
1112 {"name": "GCR_PERF_SEL_CPC_GL1_RANGE_16K_REQ", "value": 57},
1113 {"name": "GCR_PERF_SEL_CPC_GL1_RANGE_GT16K_REQ", "value": 58},
1114 {"name": "GCR_PERF_SEL_CPC_GL1_ALL_REQ", "value": 59},
1115 {"name": "GCR_PERF_SEL_CPC_METADATA_REQ", "value": 60},
1116 {"name": "GCR_PERF_SEL_CPC_SQC_DATA_REQ", "value": 61},
1117 {"name": "GCR_PERF_SEL_CPC_SQC_INST_REQ", "value": 62},
1118 {"name": "GCR_PERF_SEL_CPC_TCP_REQ", "value": 63},
1119 {"name": "GCR_PERF_SEL_CPC_TCP_TLB_SHOOTDOWN_REQ", "value": 64},
1120 {"name": "GCR_PERF_SEL_CPF_ALL_REQ", "value": 65},
1121 {"name": "GCR_PERF_SEL_CPF_GL2_RANGE_REQ", "value": 66},
1122 {"name": "GCR_PERF_SEL_CPF_GL2_RANGE_LT16K_REQ", "value": 67},
1123 {"name": "GCR_PERF_SEL_CPF_GL2_RANGE_16K_REQ", "value": 68},
1124 {"name": "GCR_PERF_SEL_CPF_GL2_RANGE_GT16K_REQ", "value": 69},
1125 {"name": "GCR_PERF_SEL_CPF_GL2_ALL_REQ", "value": 70},
1126 {"name": "GCR_PERF_SEL_CPF_GL1_RANGE_REQ", "value": 71},
1127 {"name": "GCR_PERF_SEL_CPF_GL1_RANGE_LT16K_REQ", "value": 72},
1128 {"name": "GCR_PERF_SEL_CPF_GL1_RANGE_16K_REQ", "value": 73},
1129 {"name": "GCR_PERF_SEL_CPF_GL1_RANGE_GT16K_REQ", "value": 74},
1130 {"name": "GCR_PERF_SEL_CPF_GL1_ALL_REQ", "value": 75},
1131 {"name": "GCR_PERF_SEL_CPF_METADATA_REQ", "value": 76},
1132 {"name": "GCR_PERF_SEL_CPF_SQC_DATA_REQ", "value": 77},
1133 {"name": "GCR_PERF_SEL_CPF_SQC_INST_REQ", "value": 78},
1134 {"name": "GCR_PERF_SEL_CPF_TCP_REQ", "value": 79},
1135 {"name": "GCR_PERF_SEL_CPF_TCP_TLB_SHOOTDOWN_REQ", "value": 80},
1136 {"name": "GCR_PERF_SEL_VIRT_REQ", "value": 81},
1137 {"name": "GCR_PERF_SEL_PHY_REQ", "value": 82},
1138 {"name": "GCR_PERF_SEL_TLB_SHOOTDOWN_HEAVY_REQ", "value": 83},
1139 {"name": "GCR_PERF_SEL_TLB_SHOOTDOWN_LIGHT_REQ", "value": 84},
1140 {"name": "GCR_PERF_SEL_ALL_REQ", "value": 85},
1141 {"name": "GCR_PERF_SEL_CLK_FOR_PHY_OUTSTANDING_REQ", "value": 86},
1142 {"name": "GCR_PERF_SEL_CLK_FOR_VIRT_OUTSTANDING_REQ", "value": 87},
1143 {"name": "GCR_PERF_SEL_CLK_FOR_ALL_OUTSTANDING_REQ", "value": 88},
1144 {"name": "GCR_PERF_SEL_UTCL2_REQ", "value": 89},
1145 {"name": "GCR_PERF_SEL_UTCL2_RET", "value": 90},
1146 {"name": "GCR_PERF_SEL_UTCL2_OUT_OF_CREDIT_EVENT", "value": 91},
1147 {"name": "GCR_PERF_SEL_UTCL2_INFLIGHT_REQ", "value": 92},
1148 {"name": "GCR_PERF_SEL_UTCL2_FILTERED_RET", "value": 93}
1149 ]
1150 },
1151 "GE_PERFCOUNT_SELECT": {
1152 "entries": [
1153 {"name": "ge_assembler_busy", "value": 0},
1154 {"name": "ge_assembler_stalled", "value": 1},
1155 {"name": "ge_cm_reading_stalled", "value": 2},
1156 {"name": "ge_cm_stalled_by_gog", "value": 3},
1157 {"name": "ge_cm_stalled_by_gsfetch_done", "value": 4},
1158 {"name": "ge_dma_busy", "value": 5},
1159 {"name": "ge_dma_lat_bin_0", "value": 6},
1160 {"name": "ge_dma_lat_bin_1", "value": 7},
1161 {"name": "ge_dma_lat_bin_2", "value": 8},
1162 {"name": "ge_dma_lat_bin_3", "value": 9},
1163 {"name": "ge_dma_lat_bin_4", "value": 10},
1164 {"name": "ge_dma_lat_bin_5", "value": 11},
1165 {"name": "ge_dma_lat_bin_6", "value": 12},
1166 {"name": "ge_dma_lat_bin_7", "value": 13},
1167 {"name": "ge_dma_return_cl0", "value": 14},
1168 {"name": "ge_dma_return_cl1", "value": 15},
1169 {"name": "ge_dma_utcl1_consecutive_retry_event", "value": 16},
1170 {"name": "ge_dma_utcl1_request_event", "value": 17},
1171 {"name": "ge_dma_utcl1_retry_event", "value": 18},
1172 {"name": "ge_dma_utcl1_stall_event", "value": 19},
1173 {"name": "ge_dma_utcl1_stall_utcl2_event", "value": 20},
1174 {"name": "ge_dma_utcl1_translation_hit_event", "value": 21},
1175 {"name": "ge_dma_utcl1_translation_miss_event", "value": 22},
1176 {"name": "ge_dma_utcl2_stall_on_trans", "value": 23},
1177 {"name": "ge_dma_utcl2_trans_ack", "value": 24},
1178 {"name": "ge_dma_utcl2_trans_xnack", "value": 25},
1179 {"name": "ge_ds_cache_hits", "value": 26},
1180 {"name": "ge_ds_prims", "value": 27},
1181 {"name": "ge_es_done", "value": 28},
1182 {"name": "ge_es_done_latency", "value": 29},
1183 {"name": "ge_es_flush", "value": 30},
1184 {"name": "ge_es_ring_high_water_mark", "value": 31},
1185 {"name": "ge_es_thread_groups", "value": 32},
1186 {"name": "ge_esthread_stalled_es_rb_full", "value": 33},
1187 {"name": "ge_esthread_stalled_spi_bp", "value": 34},
1188 {"name": "ge_esvert_stalled_es_tbl", "value": 35},
1189 {"name": "ge_esvert_stalled_gs_event", "value": 36},
1190 {"name": "ge_esvert_stalled_gs_tbl", "value": 37},
1191 {"name": "ge_esvert_stalled_gsprim", "value": 38},
1192 {"name": "ge_assembler_dma_starved", "value": 39},
1193 {"name": "ge_gog_busy", "value": 40},
1194 {"name": "ge_gog_out_indx_stalled", "value": 41},
1195 {"name": "ge_gog_out_prim_stalled", "value": 42},
1196 {"name": "ge_gog_vs_tbl_stalled", "value": 43},
1197 {"name": "ge_gs_cache_hits", "value": 44},
1198 {"name": "ge_gs_counters_avail_stalled", "value": 45},
1199 {"name": "ge_gs_done", "value": 46},
1200 {"name": "ge_gs_done_latency", "value": 47},
1201 {"name": "ge_gs_event_stall", "value": 48},
1202 {"name": "ge_gs_issue_rtr_stalled", "value": 49},
1203 {"name": "ge_gs_rb_space_avail_stalled", "value": 50},
1204 {"name": "ge_gs_ring_high_water_mark", "value": 51},
1205 {"name": "ge_gsprim_stalled_es_tbl", "value": 52},
1206 {"name": "ge_gsprim_stalled_esvert", "value": 53},
1207 {"name": "ge_gsprim_stalled_gs_event", "value": 54},
1208 {"name": "ge_gsprim_stalled_gs_tbl", "value": 55},
1209 {"name": "ge_gsthread_stalled", "value": 56},
1210 {"name": "ge_hs_done", "value": 57},
1211 {"name": "ge_hs_done_latency", "value": 58},
1212 {"name": "ge_hs_done_se0", "value": 59},
1213 {"name": "ge_hs_done_se1", "value": 60},
1214 {"name": "ge_hs_done_se2_reserved", "value": 61},
1215 {"name": "ge_hs_done_se3_reserved", "value": 62},
1216 {"name": "ge_hs_tfm_stall", "value": 63},
1217 {"name": "ge_hs_tgs_active_high_water_mark", "value": 64},
1218 {"name": "ge_hs_thread_groups", "value": 65},
1219 {"name": "ge_inside_tf_bin_0", "value": 66},
1220 {"name": "ge_inside_tf_bin_1", "value": 67},
1221 {"name": "ge_inside_tf_bin_2", "value": 68},
1222 {"name": "ge_inside_tf_bin_3", "value": 69},
1223 {"name": "ge_inside_tf_bin_4", "value": 70},
1224 {"name": "ge_inside_tf_bin_5", "value": 71},
1225 {"name": "ge_inside_tf_bin_6", "value": 72},
1226 {"name": "ge_inside_tf_bin_7", "value": 73},
1227 {"name": "ge_inside_tf_bin_8", "value": 74},
1228 {"name": "ge_ls_done", "value": 75},
1229 {"name": "ge_ls_done_latency", "value": 76},
1230 {"name": "ge_null_patch", "value": 77},
1231 {"name": "ge_se0pa0_clipp_eop", "value": 78},
1232 {"name": "ge_se0pa0_clipp_eopg", "value": 79},
1233 {"name": "ge_se0pa0_clipp_is_event", "value": 80},
1234 {"name": "ge_se0pa0_clipp_new_vtx_vect", "value": 81},
1235 {"name": "ge_se0pa0_clipp_null_prim", "value": 82},
1236 {"name": "ge_se0pa0_clipp_send", "value": 83},
1237 {"name": "ge_se0pa0_clipp_send_not_event", "value": 84},
1238 {"name": "ge_se0pa0_clipp_stalled", "value": 85},
1239 {"name": "ge_se0pa0_clipp_starved_busy", "value": 86},
1240 {"name": "ge_se0pa0_clipp_starved_idle", "value": 87},
1241 {"name": "ge_se0pa0_clipp_starved_after_work", "value": 88},
1242 {"name": "ge_se0pa0_clipp_valid_prim", "value": 89},
1243 {"name": "ge_se0pa0_clips_send", "value": 90},
1244 {"name": "ge_se0pa0_clips_stalled", "value": 91},
1245 {"name": "ge_se0pa0_clipv_send", "value": 92},
1246 {"name": "ge_se0pa0_clipv_stalled", "value": 93},
1247 {"name": "ge_se0pa1_clipp_eop", "value": 94},
1248 {"name": "ge_se0pa1_clipp_eopg", "value": 95},
1249 {"name": "ge_se0pa1_clipp_is_event", "value": 96},
1250 {"name": "ge_se0pa1_clipp_new_vtx_vect", "value": 97},
1251 {"name": "ge_se0pa1_clipp_null_prim", "value": 98},
1252 {"name": "ge_se0pa1_clipp_send", "value": 99},
1253 {"name": "ge_se0pa1_clipp_send_not_event", "value": 100},
1254 {"name": "ge_se0pa1_clipp_stalled", "value": 101},
1255 {"name": "ge_se0pa1_clipp_starved_busy", "value": 102},
1256 {"name": "ge_se0pa1_clipp_starved_idle", "value": 103},
1257 {"name": "ge_se0pa1_clipp_starved_after_work", "value": 104},
1258 {"name": "ge_se0pa1_clipp_valid_prim", "value": 105},
1259 {"name": "ge_se0pa1_clips_send", "value": 106},
1260 {"name": "ge_se0pa1_clips_stalled", "value": 107},
1261 {"name": "ge_se0pa1_clipv_send", "value": 108},
1262 {"name": "ge_se0pa1_clipv_stalled", "value": 109},
1263 {"name": "ge_se1pa0_clipp_eop", "value": 110},
1264 {"name": "ge_se1pa0_clipp_eopg", "value": 111},
1265 {"name": "ge_se1pa0_clipp_is_event", "value": 112},
1266 {"name": "ge_se1pa0_clipp_new_vtx_vect", "value": 113},
1267 {"name": "ge_se1pa0_clipp_null_prim", "value": 114},
1268 {"name": "ge_se1pa0_clipp_send", "value": 115},
1269 {"name": "ge_se1pa0_clipp_send_not_event", "value": 116},
1270 {"name": "ge_se1pa0_clipp_stalled", "value": 117},
1271 {"name": "ge_se1pa0_clipp_starved_busy", "value": 118},
1272 {"name": "ge_se1pa0_clipp_starved_idle", "value": 119},
1273 {"name": "ge_se1pa0_clipp_starved_after_work", "value": 120},
1274 {"name": "ge_se1pa0_clipp_valid_prim", "value": 121},
1275 {"name": "ge_se1pa0_clips_send", "value": 122},
1276 {"name": "ge_se1pa0_clips_stalled", "value": 123},
1277 {"name": "ge_se1pa0_clipv_send", "value": 124},
1278 {"name": "ge_se1pa0_clipv_stalled", "value": 125},
1279 {"name": "ge_se1pa1_clipp_eop", "value": 126},
1280 {"name": "ge_se1pa1_clipp_eopg", "value": 127},
1281 {"name": "ge_se1pa1_clipp_is_event", "value": 128},
1282 {"name": "ge_se1pa1_clipp_new_vtx_vect", "value": 129},
1283 {"name": "ge_se1pa1_clipp_null_prim", "value": 130},
1284 {"name": "ge_se1pa1_clipp_send", "value": 131},
1285 {"name": "ge_se1pa1_clipp_send_not_event", "value": 132},
1286 {"name": "ge_se1pa1_clipp_stalled", "value": 133},
1287 {"name": "ge_se1pa1_clipp_starved_busy", "value": 134},
1288 {"name": "ge_se1pa1_clipp_starved_idle", "value": 135},
1289 {"name": "ge_se1pa1_clipp_starved_after_work", "value": 136},
1290 {"name": "ge_se1pa1_clipp_valid_prim", "value": 137},
1291 {"name": "ge_se1pa1_clips_send", "value": 138},
1292 {"name": "ge_se1pa1_clips_stalled", "value": 139},
1293 {"name": "ge_se1pa1_clipv_send", "value": 140},
1294 {"name": "ge_se1pa1_clipv_stalled", "value": 141},
1295 {"name": "ge_se2pa0_clipp_eop", "value": 142},
1296 {"name": "ge_se2pa0_clipp_eopg", "value": 143},
1297 {"name": "ge_se2pa0_clipp_is_event", "value": 144},
1298 {"name": "ge_se2pa0_clipp_new_vtx_vect", "value": 145},
1299 {"name": "ge_se2pa0_clipp_null_prim", "value": 146},
1300 {"name": "ge_se2pa0_clipp_send", "value": 147},
1301 {"name": "ge_se2pa0_clipp_send_not_event", "value": 148},
1302 {"name": "ge_se2pa0_clipp_stalled", "value": 149},
1303 {"name": "ge_se2pa0_clipp_starved_busy", "value": 150},
1304 {"name": "ge_se2pa0_clipp_starved_idle", "value": 151},
1305 {"name": "ge_se2pa0_clipp_starved_after_work", "value": 152},
1306 {"name": "ge_se2pa0_clipp_valid_prim", "value": 153},
1307 {"name": "ge_se2pa0_clips_send", "value": 154},
1308 {"name": "ge_se2pa0_clips_stalled", "value": 155},
1309 {"name": "ge_se2pa0_clipv_send", "value": 156},
1310 {"name": "ge_se2pa0_clipv_stalled", "value": 157},
1311 {"name": "ge_se2pa1_clipp_eop", "value": 158},
1312 {"name": "ge_se2pa1_clipp_eopg", "value": 159},
1313 {"name": "ge_se2pa1_clipp_is_event", "value": 160},
1314 {"name": "ge_se2pa1_clipp_new_vtx_vect", "value": 161},
1315 {"name": "ge_se2pa1_clipp_null_prim", "value": 162},
1316 {"name": "ge_se2pa1_clipp_send", "value": 163},
1317 {"name": "ge_se2pa1_clipp_send_not_event", "value": 164},
1318 {"name": "ge_se2pa1_clipp_stalled", "value": 165},
1319 {"name": "ge_se2pa1_clipp_starved_busy", "value": 166},
1320 {"name": "ge_se2pa1_clipp_starved_idle", "value": 167},
1321 {"name": "ge_se2pa1_clipp_starved_after_work", "value": 168},
1322 {"name": "ge_se2pa1_clipp_valid_prim", "value": 169},
1323 {"name": "ge_se2pa1_clips_send", "value": 170},
1324 {"name": "ge_se2pa1_clips_stalled", "value": 171},
1325 {"name": "ge_se2pa1_clipv_send", "value": 172},
1326 {"name": "ge_se2pa1_clipv_stalled", "value": 173},
1327 {"name": "ge_se3pa0_clipp_eop", "value": 174},
1328 {"name": "ge_se3pa0_clipp_eopg", "value": 175},
1329 {"name": "ge_se3pa0_clipp_is_event", "value": 176},
1330 {"name": "ge_se3pa0_clipp_new_vtx_vect", "value": 177},
1331 {"name": "ge_se3pa0_clipp_null_prim", "value": 178},
1332 {"name": "ge_se3pa0_clipp_send", "value": 179},
1333 {"name": "ge_se3pa0_clipp_send_not_event", "value": 180},
1334 {"name": "ge_se3pa0_clipp_stalled", "value": 181},
1335 {"name": "ge_se3pa0_clipp_starved_busy", "value": 182},
1336 {"name": "ge_se3pa0_clipp_starved_idle", "value": 183},
1337 {"name": "ge_se3pa0_clipp_starved_after_work", "value": 184},
1338 {"name": "ge_se3pa0_clipp_valid_prim", "value": 185},
1339 {"name": "ge_se3pa0_clips_send", "value": 186},
1340 {"name": "ge_se3pa0_clips_stalled", "value": 187},
1341 {"name": "ge_se3pa0_clipv_send", "value": 188},
1342 {"name": "ge_se3pa0_clipv_stalled", "value": 189},
1343 {"name": "ge_se3pa1_clipp_eop", "value": 190},
1344 {"name": "ge_se3pa1_clipp_eopg", "value": 191},
1345 {"name": "ge_se3pa1_clipp_is_event", "value": 192},
1346 {"name": "ge_se3pa1_clipp_new_vtx_vect", "value": 193},
1347 {"name": "ge_se3pa1_clipp_null_prim", "value": 194},
1348 {"name": "ge_se3pa1_clipp_send", "value": 195},
1349 {"name": "ge_se3pa1_clipp_send_not_event", "value": 196},
1350 {"name": "ge_se3pa1_clipp_stalled", "value": 197},
1351 {"name": "ge_se3pa1_clipp_starved_busy", "value": 198},
1352 {"name": "ge_se3pa1_clipp_starved_idle", "value": 199},
1353 {"name": "ge_se3pa1_clipp_starved_after_work", "value": 200},
1354 {"name": "ge_se3pa1_clipp_valid_prim", "value": 201},
1355 {"name": "ge_se3pa1_clips_send", "value": 202},
1356 {"name": "ge_se3pa1_clips_stalled", "value": 203},
1357 {"name": "ge_se3pa1_clipv_send", "value": 204},
1358 {"name": "ge_se3pa1_clipv_stalled", "value": 205},
1359 {"name": "ge_rbiu_di_fifo_stalled", "value": 206},
1360 {"name": "ge_rbiu_di_fifo_starved", "value": 207},
1361 {"name": "ge_rbiu_dr_fifo_stalled", "value": 208},
1362 {"name": "ge_rbiu_dr_fifo_starved", "value": 209},
1363 {"name": "ge_reused_es_indices", "value": 210},
1364 {"name": "ge_reused_vs_indices", "value": 211},
1365 {"name": "ge_sclk_core_vld", "value": 212},
1366 {"name": "ge_sclk_gs_vld", "value": 213},
1367 {"name": "ge_sclk_input_vld", "value": 214},
1368 {"name": "ge_sclk_leg_gs_arb_vld", "value": 215},
1369 {"name": "ge_sclk_ngg_vld", "value": 216},
1370 {"name": "ge_sclk_reg_vld", "value": 217},
1371 {"name": "ge_sclk_te11_vld", "value": 218},
1372 {"name": "ge_sclk_vr_vld", "value": 219},
1373 {"name": "ge_sclk_wd_te11_vld", "value": 220},
1374 {"name": "ge_spi_esvert_eov", "value": 221},
1375 {"name": "ge_spi_esvert_stalled", "value": 222},
1376 {"name": "ge_spi_esvert_starved_busy", "value": 223},
1377 {"name": "ge_spi_esvert_valid", "value": 224},
1378 {"name": "ge_spi_eswave_is_event", "value": 225},
1379 {"name": "ge_spi_eswave_send", "value": 226},
1380 {"name": "ge_spi_gsprim_cont", "value": 227},
1381 {"name": "ge_spi_gsprim_eov", "value": 228},
1382 {"name": "ge_spi_gsprim_stalled", "value": 229},
1383 {"name": "ge_spi_gsprim_starved_busy", "value": 230},
1384 {"name": "ge_spi_gsprim_starved_idle", "value": 231},
1385 {"name": "ge_spi_gsprim_valid", "value": 232},
1386 {"name": "ge_spi_gssubgrp_is_event", "value": 233},
1387 {"name": "ge_spi_gssubgrp_send", "value": 234},
1388 {"name": "ge_spi_gswave_is_event", "value": 235},
1389 {"name": "ge_spi_gswave_send", "value": 236},
1390 {"name": "ge_spi_hsvert_eov", "value": 237},
1391 {"name": "ge_spi_hsvert_stalled", "value": 238},
1392 {"name": "ge_spi_hsvert_starved_busy", "value": 239},
1393 {"name": "ge_spi_hsvert_valid", "value": 240},
1394 {"name": "ge_spi_hsgrp_is_event", "value": 241},
1395 {"name": "ge_spi_hswgrp_send", "value": 242},
1396 {"name": "ge_spi_hsgrp_event_window_active", "value": 243},
1397 {"name": "ge_spi_lsvert_eov", "value": 244},
1398 {"name": "ge_spi_lsvert_stalled", "value": 245},
1399 {"name": "ge_spi_lsvert_starved_busy", "value": 246},
1400 {"name": "ge_spi_lsvert_starved_idle", "value": 247},
1401 {"name": "ge_spi_lsvert_valid", "value": 248},
1402 {"name": "ge_spi_lswave_is_event", "value": 249},
1403 {"name": "ge_spi_lswave_send", "value": 250},
1404 {"name": "ge_spi_vsvert_eov", "value": 251},
1405 {"name": "ge_spi_vsvert_send", "value": 252},
1406 {"name": "ge_spi_vsvert_stalled", "value": 253},
1407 {"name": "ge_spi_vsvert_starved_busy", "value": 254},
1408 {"name": "ge_spi_vsvert_starved_idle", "value": 255},
1409 {"name": "ge_spi_vswave_is_event", "value": 256},
1410 {"name": "ge_spi_vswave_send", "value": 257},
1411 {"name": "ge_starved_on_hs_done", "value": 258},
1412 {"name": "ge_stat_busy", "value": 259},
1413 {"name": "ge_stat_combined_busy", "value": 260},
1414 {"name": "ge_stat_no_dma_busy", "value": 261},
1415 {"name": "ge_strmout_stalled", "value": 262},
1416 {"name": "ge_te11_busy", "value": 263},
1417 {"name": "ge_te11_starved", "value": 264},
1418 {"name": "ge_tfreq_lat_bin_0", "value": 265},
1419 {"name": "ge_tfreq_lat_bin_1", "value": 266},
1420 {"name": "ge_tfreq_lat_bin_2", "value": 267},
1421 {"name": "ge_tfreq_lat_bin_3", "value": 268},
1422 {"name": "ge_tfreq_lat_bin_4", "value": 269},
1423 {"name": "ge_tfreq_lat_bin_5", "value": 270},
1424 {"name": "ge_tfreq_lat_bin_6", "value": 271},
1425 {"name": "ge_tfreq_lat_bin_7", "value": 272},
1426 {"name": "ge_tfreq_utcl1_consecutive_retry_event", "value": 273},
1427 {"name": "ge_tfreq_utcl1_request_event", "value": 274},
1428 {"name": "ge_tfreq_utcl1_retry_event", "value": 275},
1429 {"name": "ge_tfreq_utcl1_stall_event", "value": 276},
1430 {"name": "ge_tfreq_utcl1_stall_utcl2_event", "value": 277},
1431 {"name": "ge_tfreq_utcl1_translation_hit_event", "value": 278},
1432 {"name": "ge_tfreq_utcl1_translation_miss_event", "value": 279},
1433 {"name": "spare23", "value": 280},
1434 {"name": "spare24", "value": 281},
1435 {"name": "spare25", "value": 282},
1436 {"name": "ge_vs_cache_hits", "value": 283},
1437 {"name": "ge_vs_done", "value": 284},
1438 {"name": "ge_vs_pc_stall", "value": 285},
1439 {"name": "ge_vs_table_high_water_mark", "value": 286},
1440 {"name": "ge_vs_thread_groups", "value": 287},
1441 {"name": "ge_vsvert_api_send", "value": 288},
1442 {"name": "ge_vsvert_ds_send", "value": 289},
1443 {"name": "ge_wait_for_es_done_stalled", "value": 290},
1444 {"name": "ge_waveid_stalled", "value": 291},
1445 {"name": "ge_spi_vsvert_valid", "value": 292},
1446 {"name": "pc_feorder_ffo_full", "value": 293},
1447 {"name": "pc_ge_manager_busy", "value": 294},
1448 {"name": "pc_req_stall_se0", "value": 295},
1449 {"name": "pc_req_stall_se1", "value": 296},
1450 {"name": "pc_req_stall_se2", "value": 297},
1451 {"name": "pc_req_stall_se3", "value": 298},
1452 {"name": "ge_pipe0_to_pipe1", "value": 299},
1453 {"name": "ge_pipe1_to_pipe0", "value": 300},
1454 {"name": "ge_dma_return_size_cl0", "value": 301},
1455 {"name": "ge_dma_return_size_cl1", "value": 302},
1456 {"name": "ge_spi_gssubgrp_event_window_active", "value": 303},
1457 {"name": "ge_bypass_fifo_full", "value": 304}
1458 ]
1459 },
1460 "GL1A_PERF_SEL": {
1461 "entries": [
1462 {"name": "GL1A_PERF_SEL_BUSY", "value": 0},
1463 {"name": "GL1A_PERF_SEL_STALL_GL1C0", "value": 1},
1464 {"name": "GL1A_PERF_SEL_STALL_GL1C1", "value": 2},
1465 {"name": "GL1A_PERF_SEL_STALL_GL1C2", "value": 3},
1466 {"name": "GL1A_PERF_SEL_STALL_GL1C3", "value": 4},
1467 {"name": "GL1A_PERF_SEL_STALL_GL1C4", "value": 5},
1468 {"name": "GL1A_PERF_SEL_REQUEST_GL1C0", "value": 6},
1469 {"name": "GL1A_PERF_SEL_REQUEST_GL1C1", "value": 7},
1470 {"name": "GL1A_PERF_SEL_REQUEST_GL1C2", "value": 8},
1471 {"name": "GL1A_PERF_SEL_REQUEST_GL1C3", "value": 9},
1472 {"name": "GL1A_PERF_SEL_REQUEST_GL1C4", "value": 10},
1473 {"name": "GL1A_PERF_SEL_MEM_32B_WDS_GL1C0", "value": 11},
1474 {"name": "GL1A_PERF_SEL_MEM_32B_WDS_GL1C1", "value": 12},
1475 {"name": "GL1A_PERF_SEL_MEM_32B_WDS_GL1C2", "value": 13},
1476 {"name": "GL1A_PERF_SEL_MEM_32B_WDS_GL1C3", "value": 14},
1477 {"name": "GL1A_PERF_SEL_MEM_32B_WDS_GL1C4", "value": 15},
1478 {"name": "GL1A_PERF_SEL_IO_32B_WDS_GL1C0", "value": 16},
1479 {"name": "GL1A_PERF_SEL_IO_32B_WDS_GL1C1", "value": 17},
1480 {"name": "GL1A_PERF_SEL_IO_32B_WDS_GL1C2", "value": 18},
1481 {"name": "GL1A_PERF_SEL_IO_32B_WDS_GL1C3", "value": 19},
1482 {"name": "GL1A_PERF_SEL_IO_32B_WDS_GL1C4", "value": 20},
1483 {"name": "GL1A_PERF_SEL_MEM_BURST_COUNT_GL1C0", "value": 21},
1484 {"name": "GL1A_PERF_SEL_MEM_BURST_COUNT_GL1C1", "value": 22},
1485 {"name": "GL1A_PERF_SEL_MEM_BURST_COUNT_GL1C2", "value": 23},
1486 {"name": "GL1A_PERF_SEL_MEM_BURST_COUNT_GL1C3", "value": 24},
1487 {"name": "GL1A_PERF_SEL_MEM_BURST_COUNT_GL1C4", "value": 25},
1488 {"name": "GL1A_PERF_SEL_IO_BURST_COUNT_GL1C0", "value": 26},
1489 {"name": "GL1A_PERF_SEL_IO_BURST_COUNT_GL1C1", "value": 27},
1490 {"name": "GL1A_PERF_SEL_IO_BURST_COUNT_GL1C2", "value": 28},
1491 {"name": "GL1A_PERF_SEL_IO_BURST_COUNT_GL1C3", "value": 29},
1492 {"name": "GL1A_PERF_SEL_IO_BURST_COUNT_GL1C4", "value": 30},
1493 {"name": "GL1A_PERF_SEL_ARB_REQUESTS", "value": 31},
1494 {"name": "GL1A_PERF_SEL_REQ_ARB_LEVEL_GL1C0", "value": 32},
1495 {"name": "GL1A_PERF_SEL_REQ_ARB_LEVEL_GL1C1", "value": 33},
1496 {"name": "GL1A_PERF_SEL_REQ_ARB_LEVEL_GL1C2", "value": 34},
1497 {"name": "GL1A_PERF_SEL_REQ_ARB_LEVEL_GL1C3", "value": 35},
1498 {"name": "GL1A_PERF_SEL_REQ_ARB_LEVEL_GL1C4", "value": 36},
1499 {"name": "GL1A_PERF_SEL_REQ_INFLIGHT_LEVEL", "value": 37},
1500 {"name": "GL1A_PERF_SEL_STALL_RET_CONFLICT_GL1C0", "value": 38},
1501 {"name": "GL1A_PERF_SEL_STALL_RET_CONFLICT_GL1C1", "value": 39},
1502 {"name": "GL1A_PERF_SEL_STALL_RET_CONFLICT_GL1C2", "value": 40},
1503 {"name": "GL1A_PERF_SEL_STALL_RET_CONFLICT_GL1C3", "value": 41},
1504 {"name": "GL1A_PERF_SEL_STALL_RET_CONFLICT_GL1C4", "value": 42},
1505 {"name": "GL1A_PERF_SEL_CYCLE", "value": 43}
1506 ]
1507 },
1508 "GL1CG_PERF_SEL": {
1509 "entries": [
1510 {"name": "GL1CG_PERF_SEL_CYCLE", "value": 0},
1511 {"name": "GL1CG_PERF_SEL_BUSY", "value": 1},
1512 {"name": "GL1CG_PERF_SEL_ARB_RET_LEVEL", "value": 2},
1513 {"name": "GL1CG_PERF_SEL_GL2_REQ_READ_LATENCY", "value": 3},
1514 {"name": "GL1CG_PERF_SEL_GL2_REQ_WRITE_LATENCY", "value": 4},
1515 {"name": "GL1CG_PERF_SEL_REQ", "value": 5},
1516 {"name": "GL1CG_PERF_SEL_REQ_ATOMIC_WITH_RET", "value": 6},
1517 {"name": "GL1CG_PERF_SEL_REQ_ATOMIC_WITHOUT_RET", "value": 7},
1518 {"name": "GL1CG_PERF_SEL_REQ_NOP_ACK", "value": 8},
1519 {"name": "GL1CG_PERF_SEL_REQ_NOP_RTN0", "value": 9},
1520 {"name": "GL1CG_PERF_SEL_REQ_READ", "value": 10},
1521 {"name": "GL1CG_PERF_SEL_REQ_READ_128B", "value": 11},
1522 {"name": "GL1CG_PERF_SEL_REQ_READ_32B", "value": 12},
1523 {"name": "GL1CG_PERF_SEL_REQ_READ_64B", "value": 13},
1524 {"name": "GL1CG_PERF_SEL_REQ_WRITE", "value": 14},
1525 {"name": "GL1CG_PERF_SEL_REQ_WRITE_32B", "value": 15},
1526 {"name": "GL1CG_PERF_SEL_REQ_WRITE_64B", "value": 16},
1527 {"name": "GL1CG_PERF_SEL_STALL_GUS_GL1", "value": 17},
1528 {"name": "GL1CG_PERF_SEL_STALL_BUFFER_FULL", "value": 18},
1529 {"name": "GL1CG_PERF_SEL_REQ_CLIENT0", "value": 19},
1530 {"name": "GL1CG_PERF_SEL_REQ_CLIENT1", "value": 20},
1531 {"name": "GL1CG_PERF_SEL_REQ_CLIENT2", "value": 21},
1532 {"name": "GL1CG_PERF_SEL_REQ_CLIENT3", "value": 22},
1533 {"name": "GL1CG_PERF_SEL_REQ_CLIENT4", "value": 23},
1534 {"name": "GL1CG_PERF_SEL_REQ_CLIENT5", "value": 24},
1535 {"name": "GL1CG_PERF_SEL_REQ_CLIENT6", "value": 25},
1536 {"name": "GL1CG_PERF_SEL_REQ_CLIENT7", "value": 26},
1537 {"name": "GL1CG_PERF_SEL_REQ_CLIENT8", "value": 27},
1538 {"name": "GL1CG_PERF_SEL_REQ_CLIENT9", "value": 28},
1539 {"name": "GL1CG_PERF_SEL_REQ_CLIENT10", "value": 29},
1540 {"name": "GL1CG_PERF_SEL_REQ_CLIENT11", "value": 30},
1541 {"name": "GL1CG_PERF_SEL_REQ_CLIENT12", "value": 31},
1542 {"name": "GL1CG_PERF_SEL_REQ_CLIENT13", "value": 32},
1543 {"name": "GL1CG_PERF_SEL_REQ_CLIENT14", "value": 33},
1544 {"name": "GL1CG_PERF_SEL_REQ_CLIENT15", "value": 34},
1545 {"name": "GL1CG_PERF_SEL_REQ_CLIENT16", "value": 35},
1546 {"name": "GL1CG_PERF_SEL_REQ_CLIENT17", "value": 36},
1547 {"name": "GL1CG_PERF_SEL_REQ_CLIENT18", "value": 37}
1548 ]
1549 },
1550 "GL1C_PERF_SEL": {
1551 "entries": [
1552 {"name": "GL1C_PERF_SEL_CYCLE", "value": 0},
1553 {"name": "GL1C_PERF_SEL_BUSY", "value": 1},
1554 {"name": "GL1C_PERF_SEL_ARB_RET_LEVEL", "value": 2},
1555 {"name": "GL1C_PERF_SEL_GL2_REQ_READ", "value": 3},
1556 {"name": "GL1C_PERF_SEL_GL2_REQ_READ_128B", "value": 4},
1557 {"name": "GL1C_PERF_SEL_GL2_REQ_READ_32B", "value": 5},
1558 {"name": "GL1C_PERF_SEL_GL2_REQ_READ_64B", "value": 6},
1559 {"name": "GL1C_PERF_SEL_GL2_REQ_READ_LATENCY", "value": 7},
1560 {"name": "GL1C_PERF_SEL_GL2_REQ_WRITE", "value": 8},
1561 {"name": "GL1C_PERF_SEL_GL2_REQ_WRITE_32B", "value": 9},
1562 {"name": "GL1C_PERF_SEL_GL2_REQ_WRITE_64B", "value": 10},
1563 {"name": "GL1C_PERF_SEL_GL2_REQ_WRITE_LATENCY", "value": 11},
1564 {"name": "GL1C_PERF_SEL_GL2_REQ_PREFETCH", "value": 12},
1565 {"name": "GL1C_PERF_SEL_REQ", "value": 13},
1566 {"name": "GL1C_PERF_SEL_REQ_ATOMIC_WITH_RET", "value": 14},
1567 {"name": "GL1C_PERF_SEL_REQ_ATOMIC_WITHOUT_RET", "value": 15},
1568 {"name": "GL1C_PERF_SEL_REQ_SHADER_INV", "value": 16},
1569 {"name": "GL1C_PERF_SEL_REQ_MISS", "value": 17},
1570 {"name": "GL1C_PERF_SEL_REQ_NOP_ACK", "value": 18},
1571 {"name": "GL1C_PERF_SEL_REQ_NOP_RTN0", "value": 19},
1572 {"name": "GL1C_PERF_SEL_REQ_READ", "value": 20},
1573 {"name": "GL1C_PERF_SEL_REQ_READ_128B", "value": 21},
1574 {"name": "GL1C_PERF_SEL_REQ_READ_32B", "value": 22},
1575 {"name": "GL1C_PERF_SEL_REQ_READ_64B", "value": 23},
1576 {"name": "GL1C_PERF_SEL_REQ_READ_POLICY_HIT_EVICT", "value": 24},
1577 {"name": "GL1C_PERF_SEL_REQ_READ_POLICY_HIT_LRU", "value": 25},
1578 {"name": "GL1C_PERF_SEL_REQ_READ_POLICY_MISS_EVICT", "value": 26},
1579 {"name": "GL1C_PERF_SEL_REQ_WRITE", "value": 27},
1580 {"name": "GL1C_PERF_SEL_REQ_WRITE_32B", "value": 28},
1581 {"name": "GL1C_PERF_SEL_REQ_WRITE_64B", "value": 29},
1582 {"name": "GL1C_PERF_SEL_STALL_GL2_GL1", "value": 30},
1583 {"name": "GL1C_PERF_SEL_STALL_LFIFO_FULL", "value": 31},
1584 {"name": "GL1C_PERF_SEL_STALL_NO_AVAILABLE_ACK_ALLOC", "value": 32},
1585 {"name": "GL1C_PERF_SEL_STALL_NOTHING_REPLACEABLE", "value": 33},
1586 {"name": "GL1C_PERF_SEL_STALL_GCR_INV", "value": 34},
1587 {"name": "GL1C_PERF_SEL_REQ_CLIENT0", "value": 35},
1588 {"name": "GL1C_PERF_SEL_REQ_CLIENT1", "value": 36},
1589 {"name": "GL1C_PERF_SEL_REQ_CLIENT2", "value": 37},
1590 {"name": "GL1C_PERF_SEL_REQ_CLIENT3", "value": 38},
1591 {"name": "GL1C_PERF_SEL_REQ_CLIENT4", "value": 39},
1592 {"name": "GL1C_PERF_SEL_REQ_CLIENT5", "value": 40},
1593 {"name": "GL1C_PERF_SEL_REQ_CLIENT6", "value": 41},
1594 {"name": "GL1C_PERF_SEL_REQ_CLIENT7", "value": 42},
1595 {"name": "GL1C_PERF_SEL_REQ_CLIENT8", "value": 43},
1596 {"name": "GL1C_PERF_SEL_REQ_CLIENT9", "value": 44},
1597 {"name": "GL1C_PERF_SEL_REQ_CLIENT10", "value": 45},
1598 {"name": "GL1C_PERF_SEL_REQ_CLIENT11", "value": 46},
1599 {"name": "GL1C_PERF_SEL_REQ_CLIENT12", "value": 47},
1600 {"name": "GL1C_PERF_SEL_REQ_CLIENT13", "value": 48},
1601 {"name": "GL1C_PERF_SEL_REQ_CLIENT14", "value": 49},
1602 {"name": "GL1C_PERF_SEL_REQ_CLIENT15", "value": 50},
1603 {"name": "GL1C_PERF_SEL_REQ_CLIENT16", "value": 51},
1604 {"name": "GL1C_PERF_SEL_REQ_CLIENT17", "value": 52},
1605 {"name": "GL1C_PERF_SEL_REQ_CLIENT18", "value": 53}
1606 ]
1607 },
1608 "GL2A_PERF_SEL": {
1609 "entries": [
1610 {"name": "GL2A_PERF_SEL_NONE", "value": 0},
1611 {"name": "GL2A_PERF_SEL_CYCLE", "value": 1},
1612 {"name": "GL2A_PERF_SEL_BUSY", "value": 2},
1613 {"name": "GL2A_PERF_SEL_REQ_GL2C0", "value": 3},
1614 {"name": "GL2A_PERF_SEL_REQ_GL2C1", "value": 4},
1615 {"name": "GL2A_PERF_SEL_REQ_GL2C2", "value": 5},
1616 {"name": "GL2A_PERF_SEL_REQ_GL2C3", "value": 6},
1617 {"name": "GL2A_PERF_SEL_REQ_GL2C4", "value": 7},
1618 {"name": "GL2A_PERF_SEL_REQ_GL2C5", "value": 8},
1619 {"name": "GL2A_PERF_SEL_REQ_GL2C6", "value": 9},
1620 {"name": "GL2A_PERF_SEL_REQ_GL2C7", "value": 10},
1621 {"name": "GL2A_PERF_SEL_REQ_HI_PRIO_GL2C0", "value": 11},
1622 {"name": "GL2A_PERF_SEL_REQ_HI_PRIO_GL2C1", "value": 12},
1623 {"name": "GL2A_PERF_SEL_REQ_HI_PRIO_GL2C2", "value": 13},
1624 {"name": "GL2A_PERF_SEL_REQ_HI_PRIO_GL2C3", "value": 14},
1625 {"name": "GL2A_PERF_SEL_REQ_HI_PRIO_GL2C4", "value": 15},
1626 {"name": "GL2A_PERF_SEL_REQ_HI_PRIO_GL2C5", "value": 16},
1627 {"name": "GL2A_PERF_SEL_REQ_HI_PRIO_GL2C6", "value": 17},
1628 {"name": "GL2A_PERF_SEL_REQ_HI_PRIO_GL2C7", "value": 18},
1629 {"name": "GL2A_PERF_SEL_REQ_BURST_GL2C0", "value": 19},
1630 {"name": "GL2A_PERF_SEL_REQ_BURST_GL2C1", "value": 20},
1631 {"name": "GL2A_PERF_SEL_REQ_BURST_GL2C2", "value": 21},
1632 {"name": "GL2A_PERF_SEL_REQ_BURST_GL2C3", "value": 22},
1633 {"name": "GL2A_PERF_SEL_REQ_BURST_GL2C4", "value": 23},
1634 {"name": "GL2A_PERF_SEL_REQ_BURST_GL2C5", "value": 24},
1635 {"name": "GL2A_PERF_SEL_REQ_BURST_GL2C6", "value": 25},
1636 {"name": "GL2A_PERF_SEL_REQ_BURST_GL2C7", "value": 26},
1637 {"name": "GL2A_PERF_SEL_REQ_STALL_GL2C0", "value": 27},
1638 {"name": "GL2A_PERF_SEL_REQ_STALL_GL2C1", "value": 28},
1639 {"name": "GL2A_PERF_SEL_REQ_STALL_GL2C2", "value": 29},
1640 {"name": "GL2A_PERF_SEL_REQ_STALL_GL2C3", "value": 30},
1641 {"name": "GL2A_PERF_SEL_REQ_STALL_GL2C4", "value": 31},
1642 {"name": "GL2A_PERF_SEL_REQ_STALL_GL2C5", "value": 32},
1643 {"name": "GL2A_PERF_SEL_REQ_STALL_GL2C6", "value": 33},
1644 {"name": "GL2A_PERF_SEL_REQ_STALL_GL2C7", "value": 34},
1645 {"name": "GL2A_PERF_SEL_RTN_STALL_GL2C0", "value": 35},
1646 {"name": "GL2A_PERF_SEL_RTN_STALL_GL2C1", "value": 36},
1647 {"name": "GL2A_PERF_SEL_RTN_STALL_GL2C2", "value": 37},
1648 {"name": "GL2A_PERF_SEL_RTN_STALL_GL2C3", "value": 38},
1649 {"name": "GL2A_PERF_SEL_RTN_STALL_GL2C4", "value": 39},
1650 {"name": "GL2A_PERF_SEL_RTN_STALL_GL2C5", "value": 40},
1651 {"name": "GL2A_PERF_SEL_RTN_STALL_GL2C6", "value": 41},
1652 {"name": "GL2A_PERF_SEL_RTN_STALL_GL2C7", "value": 42},
1653 {"name": "GL2A_PERF_SEL_RTN_CLIENT0", "value": 43},
1654 {"name": "GL2A_PERF_SEL_RTN_CLIENT1", "value": 44},
1655 {"name": "GL2A_PERF_SEL_RTN_CLIENT2", "value": 45},
1656 {"name": "GL2A_PERF_SEL_RTN_CLIENT3", "value": 46},
1657 {"name": "GL2A_PERF_SEL_RTN_CLIENT4", "value": 47},
1658 {"name": "GL2A_PERF_SEL_RTN_CLIENT5", "value": 48},
1659 {"name": "GL2A_PERF_SEL_RTN_CLIENT6", "value": 49},
1660 {"name": "GL2A_PERF_SEL_RTN_CLIENT7", "value": 50},
1661 {"name": "GL2A_PERF_SEL_RTN_CLIENT8", "value": 51},
1662 {"name": "GL2A_PERF_SEL_RTN_CLIENT9", "value": 52},
1663 {"name": "GL2A_PERF_SEL_RTN_CLIENT10", "value": 53},
1664 {"name": "GL2A_PERF_SEL_RTN_CLIENT11", "value": 54},
1665 {"name": "GL2A_PERF_SEL_RTN_CLIENT12", "value": 55},
1666 {"name": "GL2A_PERF_SEL_RTN_CLIENT13", "value": 56},
1667 {"name": "GL2A_PERF_SEL_RTN_CLIENT14", "value": 57},
1668 {"name": "GL2A_PERF_SEL_RTN_CLIENT15", "value": 58},
1669 {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT0", "value": 59},
1670 {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT1", "value": 60},
1671 {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT2", "value": 61},
1672 {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT3", "value": 62},
1673 {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT4", "value": 63},
1674 {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT5", "value": 64},
1675 {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT6", "value": 65},
1676 {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT7", "value": 66},
1677 {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT8", "value": 67},
1678 {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT9", "value": 68},
1679 {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT10", "value": 69},
1680 {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT11", "value": 70},
1681 {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT12", "value": 71},
1682 {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT13", "value": 72},
1683 {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT14", "value": 73},
1684 {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT15", "value": 74}
1685 ]
1686 },
1687 "GL2C_PERF_SEL": {
1688 "entries": [
1689 {"name": "GL2C_PERF_SEL_NONE", "value": 0},
1690 {"name": "GL2C_PERF_SEL_CYCLE", "value": 1},
1691 {"name": "GL2C_PERF_SEL_BUSY", "value": 2},
1692 {"name": "GL2C_PERF_SEL_REQ", "value": 3},
1693 {"name": "GL2C_PERF_SEL_VOL_REQ", "value": 4},
1694 {"name": "GL2C_PERF_SEL_HIGH_PRIORITY_REQ", "value": 5},
1695 {"name": "GL2C_PERF_SEL_READ", "value": 6},
1696 {"name": "GL2C_PERF_SEL_WRITE", "value": 7},
1697 {"name": "GL2C_PERF_SEL_ATOMIC", "value": 8},
1698 {"name": "GL2C_PERF_SEL_NOP_ACK", "value": 9},
1699 {"name": "GL2C_PERF_SEL_NOP_RTN0", "value": 10},
1700 {"name": "GL2C_PERF_SEL_PROBE", "value": 11},
1701 {"name": "GL2C_PERF_SEL_PROBE_ALL", "value": 12},
1702 {"name": "GL2C_PERF_SEL_INTERNAL_PROBE", "value": 13},
1703 {"name": "GL2C_PERF_SEL_COMPRESSED_READ_REQ", "value": 14},
1704 {"name": "GL2C_PERF_SEL_METADATA_READ_REQ", "value": 15},
1705 {"name": "GL2C_PERF_SEL_CLIENT0_REQ", "value": 16},
1706 {"name": "GL2C_PERF_SEL_CLIENT1_REQ", "value": 17},
1707 {"name": "GL2C_PERF_SEL_CLIENT2_REQ", "value": 18},
1708 {"name": "GL2C_PERF_SEL_CLIENT3_REQ", "value": 19},
1709 {"name": "GL2C_PERF_SEL_CLIENT4_REQ", "value": 20},
1710 {"name": "GL2C_PERF_SEL_CLIENT5_REQ", "value": 21},
1711 {"name": "GL2C_PERF_SEL_CLIENT6_REQ", "value": 22},
1712 {"name": "GL2C_PERF_SEL_CLIENT7_REQ", "value": 23},
1713 {"name": "GL2C_PERF_SEL_C_RW_S_REQ", "value": 24},
1714 {"name": "GL2C_PERF_SEL_C_RW_US_REQ", "value": 25},
1715 {"name": "GL2C_PERF_SEL_C_RO_S_REQ", "value": 26},
1716 {"name": "GL2C_PERF_SEL_C_RO_US_REQ", "value": 27},
1717 {"name": "GL2C_PERF_SEL_UC_REQ", "value": 28},
1718 {"name": "GL2C_PERF_SEL_LRU_REQ", "value": 29},
1719 {"name": "GL2C_PERF_SEL_STREAM_REQ", "value": 30},
1720 {"name": "GL2C_PERF_SEL_BYPASS_REQ", "value": 31},
1721 {"name": "GL2C_PERF_SEL_NOA_REQ", "value": 32},
1722 {"name": "GL2C_PERF_SEL_SHARED_REQ", "value": 33},
1723 {"name": "GL2C_PERF_SEL_HIT", "value": 34},
1724 {"name": "GL2C_PERF_SEL_MISS", "value": 35},
1725 {"name": "GL2C_PERF_SEL_FULL_HIT", "value": 36},
1726 {"name": "GL2C_PERF_SEL_PARTIAL_32B_HIT", "value": 37},
1727 {"name": "GL2C_PERF_SEL_PARTIAL_64B_HIT", "value": 38},
1728 {"name": "GL2C_PERF_SEL_PARTIAL_96B_HIT", "value": 39},
1729 {"name": "GL2C_PERF_SEL_DEWRITE_ALLOCATE_HIT", "value": 40},
1730 {"name": "GL2C_PERF_SEL_FULLY_WRITTEN_HIT", "value": 41},
1731 {"name": "GL2C_PERF_SEL_UNCACHED_WRITE", "value": 42},
1732 {"name": "GL2C_PERF_SEL_WRITEBACK", "value": 43},
1733 {"name": "GL2C_PERF_SEL_NORMAL_WRITEBACK", "value": 44},
1734 {"name": "GL2C_PERF_SEL_EVICT", "value": 45},
1735 {"name": "GL2C_PERF_SEL_NORMAL_EVICT", "value": 46},
1736 {"name": "GL2C_PERF_SEL_PROBE_EVICT", "value": 47},
1737 {"name": "GL2C_PERF_SEL_REQ_TO_MISS_QUEUE", "value": 48},
1738 {"name": "GL2C_PERF_SEL_HIT_PASS_MISS_IN_HI_PRIO", "value": 49},
1739 {"name": "GL2C_PERF_SEL_HIT_PASS_MISS_IN_COMP", "value": 50},
1740 {"name": "GL2C_PERF_SEL_HIT_PASS_MISS_IN_CLIENT0", "value": 51},
1741 {"name": "GL2C_PERF_SEL_HIT_PASS_MISS_IN_CLIENT1", "value": 52},
1742 {"name": "GL2C_PERF_SEL_HIT_PASS_MISS_IN_CLIENT2", "value": 53},
1743 {"name": "GL2C_PERF_SEL_HIT_PASS_MISS_IN_CLIENT3", "value": 54},
1744 {"name": "GL2C_PERF_SEL_HIT_PASS_MISS_IN_CLIENT4", "value": 55},
1745 {"name": "GL2C_PERF_SEL_HIT_PASS_MISS_IN_CLIENT5", "value": 56},
1746 {"name": "GL2C_PERF_SEL_HIT_PASS_MISS_IN_CLIENT6", "value": 57},
1747 {"name": "GL2C_PERF_SEL_HIT_PASS_MISS_IN_CLIENT7", "value": 58},
1748 {"name": "GL2C_PERF_SEL_READ_32_REQ", "value": 59},
1749 {"name": "GL2C_PERF_SEL_READ_64_REQ", "value": 60},
1750 {"name": "GL2C_PERF_SEL_READ_128_REQ", "value": 61},
1751 {"name": "GL2C_PERF_SEL_WRITE_32_REQ", "value": 62},
1752 {"name": "GL2C_PERF_SEL_WRITE_64_REQ", "value": 63},
1753 {"name": "GL2C_PERF_SEL_COMPRESSED_READ_0_REQ", "value": 64},
1754 {"name": "GL2C_PERF_SEL_COMPRESSED_READ_32_REQ", "value": 65},
1755 {"name": "GL2C_PERF_SEL_COMPRESSED_READ_64_REQ", "value": 66},
1756 {"name": "GL2C_PERF_SEL_COMPRESSED_READ_96_REQ", "value": 67},
1757 {"name": "GL2C_PERF_SEL_COMPRESSED_READ_128_REQ", "value": 68},
1758 {"name": "GL2C_PERF_SEL_MC_WRREQ", "value": 69},
1759 {"name": "GL2C_PERF_SEL_EA_WRREQ_64B", "value": 70},
1760 {"name": "GL2C_PERF_SEL_EA_WRREQ_PROBE_COMMAND", "value": 71},
1761 {"name": "GL2C_PERF_SEL_EA_WR_UNCACHED_32B", "value": 72},
1762 {"name": "GL2C_PERF_SEL_MC_WRREQ_STALL", "value": 73},
1763 {"name": "GL2C_PERF_SEL_EA_WRREQ_IO_CREDIT_STALL", "value": 74},
1764 {"name": "GL2C_PERF_SEL_EA_WRREQ_GMI_CREDIT_STALL", "value": 75},
1765 {"name": "GL2C_PERF_SEL_EA_WRREQ_DRAM_CREDIT_STALL", "value": 76},
1766 {"name": "GL2C_PERF_SEL_TOO_MANY_EA_WRREQS_STALL", "value": 77},
1767 {"name": "GL2C_PERF_SEL_MC_WRREQ_LEVEL", "value": 78},
1768 {"name": "GL2C_PERF_SEL_EA_ATOMIC", "value": 79},
1769 {"name": "GL2C_PERF_SEL_EA_ATOMIC_LEVEL", "value": 80},
1770 {"name": "GL2C_PERF_SEL_MC_RDREQ", "value": 81},
1771 {"name": "GL2C_PERF_SEL_EA_RDREQ_SPLIT", "value": 82},
1772 {"name": "GL2C_PERF_SEL_EA_RDREQ_32B", "value": 83},
1773 {"name": "GL2C_PERF_SEL_EA_RDREQ_64B", "value": 84},
1774 {"name": "GL2C_PERF_SEL_EA_RDREQ_96B", "value": 85},
1775 {"name": "GL2C_PERF_SEL_EA_RDREQ_128B", "value": 86},
1776 {"name": "GL2C_PERF_SEL_EA_RD_UNCACHED_32B", "value": 87},
1777 {"name": "GL2C_PERF_SEL_EA_RD_MDC_32B", "value": 88},
1778 {"name": "GL2C_PERF_SEL_EA_RD_COMPRESSED_32B", "value": 89},
1779 {"name": "GL2C_PERF_SEL_EA_RDREQ_IO_CREDIT_STALL", "value": 90},
1780 {"name": "GL2C_PERF_SEL_EA_RDREQ_GMI_CREDIT_STALL", "value": 91},
1781 {"name": "GL2C_PERF_SEL_EA_RDREQ_DRAM_CREDIT_STALL", "value": 92},
1782 {"name": "GL2C_PERF_SEL_MC_RDREQ_LEVEL", "value": 93},
1783 {"name": "GL2C_PERF_SEL_EA_RDREQ_DRAM", "value": 94},
1784 {"name": "GL2C_PERF_SEL_EA_WRREQ_DRAM", "value": 95},
1785 {"name": "GL2C_PERF_SEL_EA_RDREQ_DRAM_32B", "value": 96},
1786 {"name": "GL2C_PERF_SEL_EA_WRREQ_DRAM_32B", "value": 97},
1787 {"name": "GL2C_PERF_SEL_ONION_READ", "value": 98},
1788 {"name": "GL2C_PERF_SEL_ONION_WRITE", "value": 99},
1789 {"name": "GL2C_PERF_SEL_IO_READ", "value": 100},
1790 {"name": "GL2C_PERF_SEL_IO_WRITE", "value": 101},
1791 {"name": "GL2C_PERF_SEL_GARLIC_READ", "value": 102},
1792 {"name": "GL2C_PERF_SEL_GARLIC_WRITE", "value": 103},
1793 {"name": "GL2C_PERF_SEL_LATENCY_FIFO_FULL", "value": 104},
1794 {"name": "GL2C_PERF_SEL_SRC_FIFO_FULL", "value": 105},
1795 {"name": "GL2C_PERF_SEL_TAG_STALL", "value": 106},
1796 {"name": "GL2C_PERF_SEL_TAG_WRITEBACK_FIFO_FULL_STALL", "value": 107},
1797 {"name": "GL2C_PERF_SEL_TAG_MISS_NOTHING_REPLACEABLE_STALL", "value": 108},
1798 {"name": "GL2C_PERF_SEL_TAG_UNCACHED_WRITE_ATOMIC_FIFO_FULL_STALL", "value": 109},
1799 {"name": "GL2C_PERF_SEL_TAG_NO_UNCACHED_WRITE_ATOMIC_ENTRIES_STALL", "value": 110},
1800 {"name": "GL2C_PERF_SEL_TAG_PROBE_STALL", "value": 111},
1801 {"name": "GL2C_PERF_SEL_TAG_PROBE_FILTER_STALL", "value": 112},
1802 {"name": "GL2C_PERF_SEL_TAG_PROBE_FIFO_FULL_STALL", "value": 113},
1803 {"name": "GL2C_PERF_SEL_TAG_READ_DST_STALL", "value": 114},
1804 {"name": "GL2C_PERF_SEL_READ_RETURN_TIMEOUT", "value": 115},
1805 {"name": "GL2C_PERF_SEL_WRITEBACK_READ_TIMEOUT", "value": 116},
1806 {"name": "GL2C_PERF_SEL_READ_RETURN_FULL_BUBBLE", "value": 117},
1807 {"name": "GL2C_PERF_SEL_BUBBLE", "value": 118},
1808 {"name": "GL2C_PERF_SEL_IB_REQ", "value": 119},
1809 {"name": "GL2C_PERF_SEL_IB_STALL", "value": 120},
1810 {"name": "GL2C_PERF_SEL_IB_TAG_STALL", "value": 121},
1811 {"name": "GL2C_PERF_SEL_IB_CM_STALL", "value": 122},
1812 {"name": "GL2C_PERF_SEL_RETURN_ACK", "value": 123},
1813 {"name": "GL2C_PERF_SEL_RETURN_DATA", "value": 124},
1814 {"name": "GL2C_PERF_SEL_EA_RDRET_NACK", "value": 125},
1815 {"name": "GL2C_PERF_SEL_EA_WRRET_NACK", "value": 126},
1816 {"name": "GL2C_PERF_SEL_GL2A_LEVEL", "value": 127},
1817 {"name": "GL2C_PERF_SEL_PROBE_FILTER_DISABLE_TRANSITION", "value": 128},
1818 {"name": "GL2C_PERF_SEL_PROBE_FILTER_DISABLED", "value": 129},
1819 {"name": "GL2C_PERF_SEL_ALL_TC_OP_WB_OR_INV_START", "value": 130},
1820 {"name": "GL2C_PERF_SEL_ALL_TC_OP_WB_OR_INV_VOL_START", "value": 131},
1821 {"name": "GL2C_PERF_SEL_GCR_INV", "value": 132},
1822 {"name": "GL2C_PERF_SEL_GCR_WB", "value": 133},
1823 {"name": "GL2C_PERF_SEL_GCR_DISCARD", "value": 134},
1824 {"name": "GL2C_PERF_SEL_GCR_RANGE", "value": 135},
1825 {"name": "GL2C_PERF_SEL_GCR_ALL", "value": 136},
1826 {"name": "GL2C_PERF_SEL_GCR_VOL", "value": 137},
1827 {"name": "GL2C_PERF_SEL_GCR_UNSHARED", "value": 138},
1828 {"name": "GL2C_PERF_SEL_GCR_MDC_INV", "value": 139},
1829 {"name": "GL2C_PERF_SEL_GCR_GL2_INV_ALL", "value": 140},
1830 {"name": "GL2C_PERF_SEL_GCR_GL2_WB_ALL", "value": 141},
1831 {"name": "GL2C_PERF_SEL_GCR_MDC_INV_ALL", "value": 142},
1832 {"name": "GL2C_PERF_SEL_GCR_GL2_INV_RANGE", "value": 143},
1833 {"name": "GL2C_PERF_SEL_GCR_GL2_WB_RANGE", "value": 144},
1834 {"name": "GL2C_PERF_SEL_GCR_GL2_WB_INV_RANGE", "value": 145},
1835 {"name": "GL2C_PERF_SEL_GCR_MDC_INV_RANGE", "value": 146},
1836 {"name": "GL2C_PERF_SEL_ALL_GCR_INV_EVICT", "value": 147},
1837 {"name": "GL2C_PERF_SEL_ALL_GCR_INV_VOL_EVICT", "value": 148},
1838 {"name": "GL2C_PERF_SEL_ALL_GCR_WB_OR_INV_CYCLE", "value": 149},
1839 {"name": "GL2C_PERF_SEL_ALL_GCR_WB_OR_INV_VOL_CYCLE", "value": 150},
1840 {"name": "GL2C_PERF_SEL_ALL_GCR_WB_WRITEBACK", "value": 151},
1841 {"name": "GL2C_PERF_SEL_GCR_INVL2_VOL_CYCLE", "value": 152},
1842 {"name": "GL2C_PERF_SEL_GCR_INVL2_VOL_EVICT", "value": 153},
1843 {"name": "GL2C_PERF_SEL_GCR_INVL2_VOL_START", "value": 154},
1844 {"name": "GL2C_PERF_SEL_GCR_WBL2_VOL_CYCLE", "value": 155},
1845 {"name": "GL2C_PERF_SEL_GCR_WBL2_VOL_EVICT", "value": 156},
1846 {"name": "GL2C_PERF_SEL_GCR_WBL2_VOL_START", "value": 157},
1847 {"name": "GL2C_PERF_SEL_GCR_WBINVL2_CYCLE", "value": 158},
1848 {"name": "GL2C_PERF_SEL_GCR_WBINVL2_EVICT", "value": 159},
1849 {"name": "GL2C_PERF_SEL_GCR_WBINVL2_START", "value": 160},
1850 {"name": "GL2C_PERF_SEL_MDC_INV_METADATA", "value": 161},
1851 {"name": "GL2C_PERF_SEL_MDC_REQ", "value": 162},
1852 {"name": "GL2C_PERF_SEL_MDC_LEVEL", "value": 163},
1853 {"name": "GL2C_PERF_SEL_MDC_TAG_HIT", "value": 164},
1854 {"name": "GL2C_PERF_SEL_MDC_SECTOR_HIT", "value": 165},
1855 {"name": "GL2C_PERF_SEL_MDC_SECTOR_MISS", "value": 166},
1856 {"name": "GL2C_PERF_SEL_MDC_TAG_STALL", "value": 167},
1857 {"name": "GL2C_PERF_SEL_MDC_TAG_REPLACEMENT_LINE_IN_USE_STALL", "value": 168},
1858 {"name": "GL2C_PERF_SEL_MDC_TAG_DESECTORIZATION_FIFO_FULL_STALL", "value": 169},
1859 {"name": "GL2C_PERF_SEL_MDC_TAG_WAITING_FOR_INVALIDATE_COMPLETION_STALL", "value": 170},
1860 {"name": "GL2C_PERF_SEL_CM_CHANNEL0_REQ", "value": 171},
1861 {"name": "GL2C_PERF_SEL_CM_CHANNEL1_REQ", "value": 172},
1862 {"name": "GL2C_PERF_SEL_CM_CHANNEL2_REQ", "value": 173},
1863 {"name": "GL2C_PERF_SEL_CM_CHANNEL3_REQ", "value": 174},
1864 {"name": "GL2C_PERF_SEL_CM_CHANNEL4_REQ", "value": 175},
1865 {"name": "GL2C_PERF_SEL_CM_CHANNEL5_REQ", "value": 176},
1866 {"name": "GL2C_PERF_SEL_CM_CHANNEL6_REQ", "value": 177},
1867 {"name": "GL2C_PERF_SEL_CM_CHANNEL7_REQ", "value": 178},
1868 {"name": "GL2C_PERF_SEL_CM_CHANNEL8_REQ", "value": 179},
1869 {"name": "GL2C_PERF_SEL_CM_CHANNEL9_REQ", "value": 180},
1870 {"name": "GL2C_PERF_SEL_CM_CHANNEL10_REQ", "value": 181},
1871 {"name": "GL2C_PERF_SEL_CM_CHANNEL11_REQ", "value": 182},
1872 {"name": "GL2C_PERF_SEL_CM_CHANNEL12_REQ", "value": 183},
1873 {"name": "GL2C_PERF_SEL_CM_CHANNEL13_REQ", "value": 184},
1874 {"name": "GL2C_PERF_SEL_CM_CHANNEL14_REQ", "value": 185},
1875 {"name": "GL2C_PERF_SEL_CM_CHANNEL15_REQ", "value": 186},
1876 {"name": "GL2C_PERF_SEL_CM_CHANNEL16_REQ", "value": 187},
1877 {"name": "GL2C_PERF_SEL_CM_CHANNEL17_REQ", "value": 188},
1878 {"name": "GL2C_PERF_SEL_CM_CHANNEL18_REQ", "value": 189},
1879 {"name": "GL2C_PERF_SEL_CM_CHANNEL19_REQ", "value": 190},
1880 {"name": "GL2C_PERF_SEL_CM_CHANNEL20_REQ", "value": 191},
1881 {"name": "GL2C_PERF_SEL_CM_CHANNEL21_REQ", "value": 192},
1882 {"name": "GL2C_PERF_SEL_CM_CHANNEL22_REQ", "value": 193},
1883 {"name": "GL2C_PERF_SEL_CM_CHANNEL23_REQ", "value": 194},
1884 {"name": "GL2C_PERF_SEL_CM_CHANNEL24_REQ", "value": 195},
1885 {"name": "GL2C_PERF_SEL_CM_CHANNEL25_REQ", "value": 196},
1886 {"name": "GL2C_PERF_SEL_CM_CHANNEL26_REQ", "value": 197},
1887 {"name": "GL2C_PERF_SEL_CM_CHANNEL27_REQ", "value": 198},
1888 {"name": "GL2C_PERF_SEL_CM_CHANNEL28_REQ", "value": 199},
1889 {"name": "GL2C_PERF_SEL_CM_CHANNEL29_REQ", "value": 200},
1890 {"name": "GL2C_PERF_SEL_CM_CHANNEL30_REQ", "value": 201},
1891 {"name": "GL2C_PERF_SEL_CM_CHANNEL31_REQ", "value": 202},
1892 {"name": "GL2C_PERF_SEL_CM_COMP_ATOMIC_COLOR_REQ", "value": 203},
1893 {"name": "GL2C_PERF_SEL_CM_COMP_ATOMIC_DEPTH16_REQ", "value": 204},
1894 {"name": "GL2C_PERF_SEL_CM_COMP_ATOMIC_DEPTH32_REQ", "value": 205},
1895 {"name": "GL2C_PERF_SEL_CM_COMP_WRITE_COLOR_REQ", "value": 206},
1896 {"name": "GL2C_PERF_SEL_CM_COMP_WRITE_DEPTH16_REQ", "value": 207},
1897 {"name": "GL2C_PERF_SEL_CM_COMP_WRITE_DEPTH32_REQ", "value": 208},
1898 {"name": "GL2C_PERF_SEL_CM_COMP_WRITE_STENCIL_REQ", "value": 209},
1899 {"name": "GL2C_PERF_SEL_CM_COMP_READ_REQ", "value": 210},
1900 {"name": "GL2C_PERF_SEL_CM_READ_BACK_REQ", "value": 211},
1901 {"name": "GL2C_PERF_SEL_CM_METADATA_WR_REQ", "value": 212},
1902 {"name": "GL2C_PERF_SEL_CM_WR_ACK_REQ", "value": 213},
1903 {"name": "GL2C_PERF_SEL_CM_NO_ACK_REQ", "value": 214},
1904 {"name": "GL2C_PERF_SEL_CM_NOOP_REQ", "value": 215},
1905 {"name": "GL2C_PERF_SEL_CM_COMP_COLOR_EN_REQ", "value": 216},
1906 {"name": "GL2C_PERF_SEL_CM_COMP_COLOR_DIS_REQ", "value": 217},
1907 {"name": "GL2C_PERF_SEL_CM_COMP_STENCIL_REQ", "value": 218},
1908 {"name": "GL2C_PERF_SEL_CM_COMP_DEPTH16_REQ", "value": 219},
1909 {"name": "GL2C_PERF_SEL_CM_COMP_DEPTH32_REQ", "value": 220},
1910 {"name": "GL2C_PERF_SEL_CM_COLOR_32B_WR_REQ", "value": 221},
1911 {"name": "GL2C_PERF_SEL_CM_COLOR_64B_WR_REQ", "value": 222},
1912 {"name": "GL2C_PERF_SEL_CM_FULL_WRITE_REQ", "value": 223},
1913 {"name": "GL2C_PERF_SEL_CM_RVF_FULL", "value": 224},
1914 {"name": "GL2C_PERF_SEL_CM_SDR_FULL", "value": 225},
1915 {"name": "GL2C_PERF_SEL_CM_MERGE_BUF_FULL", "value": 226},
1916 {"name": "GL2C_PERF_SEL_CM_DCC_STALL", "value": 227}
1917 ]
1918 },
1919 "GRBM_PERF_SEL": {
1920 "entries": [
1921 {"name": "GRBM_PERF_SEL_COUNT", "value": 0},
1922 {"name": "GRBM_PERF_SEL_USER_DEFINED", "value": 1},
1923 {"name": "GRBM_PERF_SEL_GUI_ACTIVE", "value": 2},
1924 {"name": "GRBM_PERF_SEL_CP_BUSY", "value": 3},
1925 {"name": "GRBM_PERF_SEL_CP_COHER_BUSY", "value": 4},
1926 {"name": "GRBM_PERF_SEL_CP_DMA_BUSY", "value": 5},
1927 {"name": "GRBM_PERF_SEL_CB_BUSY", "value": 6},
1928 {"name": "GRBM_PERF_SEL_DB_BUSY", "value": 7},
1929 {"name": "GRBM_PERF_SEL_PA_BUSY", "value": 8},
1930 {"name": "GRBM_PERF_SEL_SC_BUSY", "value": 9},
1931 {"name": "GRBM_PERF_SEL_RESERVED_6", "value": 10},
1932 {"name": "GRBM_PERF_SEL_SPI_BUSY", "value": 11},
1933 {"name": "GRBM_PERF_SEL_SX_BUSY", "value": 12},
1934 {"name": "GRBM_PERF_SEL_TA_BUSY", "value": 13},
1935 {"name": "GRBM_PERF_SEL_CB_CLEAN", "value": 14},
1936 {"name": "GRBM_PERF_SEL_DB_CLEAN", "value": 15},
1937 {"name": "GRBM_PERF_SEL_RESERVED_5", "value": 16},
1938 {"name": "GRBM_PERF_SEL_RESERVED_9", "value": 17},
1939 {"name": "GRBM_PERF_SEL_RESERVED_4", "value": 18},
1940 {"name": "GRBM_PERF_SEL_RESERVED_3", "value": 19},
1941 {"name": "GRBM_PERF_SEL_RESERVED_2", "value": 20},
1942 {"name": "GRBM_PERF_SEL_RESERVED_1", "value": 21},
1943 {"name": "GRBM_PERF_SEL_RESERVED_0", "value": 22},
1944 {"name": "GRBM_PERF_SEL_RESERVED_8", "value": 23},
1945 {"name": "GRBM_PERF_SEL_RESERVED_7", "value": 24},
1946 {"name": "GRBM_PERF_SEL_GDS_BUSY", "value": 25},
1947 {"name": "GRBM_PERF_SEL_BCI_BUSY", "value": 26},
1948 {"name": "GRBM_PERF_SEL_RLC_BUSY", "value": 27},
1949 {"name": "GRBM_PERF_SEL_TCP_BUSY", "value": 28},
1950 {"name": "GRBM_PERF_SEL_CPG_BUSY", "value": 29},
1951 {"name": "GRBM_PERF_SEL_CPC_BUSY", "value": 30},
1952 {"name": "GRBM_PERF_SEL_CPF_BUSY", "value": 31},
1953 {"name": "GRBM_PERF_SEL_GE_BUSY", "value": 32},
1954 {"name": "GRBM_PERF_SEL_GE_NO_DMA_BUSY", "value": 33},
1955 {"name": "GRBM_PERF_SEL_UTCL2_BUSY", "value": 34},
1956 {"name": "GRBM_PERF_SEL_EA_BUSY", "value": 35},
1957 {"name": "GRBM_PERF_SEL_RMI_BUSY", "value": 36},
1958 {"name": "GRBM_PERF_SEL_CPAXI_BUSY", "value": 37},
1959 {"name": "GRBM_PERF_SEL_UTCL1_BUSY", "value": 39},
1960 {"name": "GRBM_PERF_SEL_GL2CC_BUSY", "value": 40},
1961 {"name": "GRBM_PERF_SEL_SDMA_BUSY", "value": 41},
1962 {"name": "GRBM_PERF_SEL_CH_BUSY", "value": 42},
1963 {"name": "GRBM_PERF_SEL_PH_BUSY", "value": 43},
1964 {"name": "GRBM_PERF_SEL_PMM_BUSY", "value": 44},
1965 {"name": "GRBM_PERF_SEL_GUS_BUSY", "value": 45},
1966 {"name": "GRBM_PERF_SEL_GL1CC_BUSY", "value": 46}
1967 ]
1968 },
1969 "GRBM_SE0_PERF_SEL": {
1970 "entries": [
1971 {"name": "GRBM_SE0_PERF_SEL_COUNT", "value": 0},
1972 {"name": "GRBM_SE0_PERF_SEL_USER_DEFINED", "value": 1},
1973 {"name": "GRBM_SE0_PERF_SEL_CB_BUSY", "value": 2},
1974 {"name": "GRBM_SE0_PERF_SEL_DB_BUSY", "value": 3},
1975 {"name": "GRBM_SE0_PERF_SEL_SC_BUSY", "value": 4},
1976 {"name": "GRBM_SE0_PERF_SEL_RESERVED_1", "value": 5},
1977 {"name": "GRBM_SE0_PERF_SEL_SPI_BUSY", "value": 6},
1978 {"name": "GRBM_SE0_PERF_SEL_SX_BUSY", "value": 7},
1979 {"name": "GRBM_SE0_PERF_SEL_TA_BUSY", "value": 8},
1980 {"name": "GRBM_SE0_PERF_SEL_CB_CLEAN", "value": 9},
1981 {"name": "GRBM_SE0_PERF_SEL_DB_CLEAN", "value": 10},
1982 {"name": "GRBM_SE0_PERF_SEL_RESERVED_0", "value": 11},
1983 {"name": "GRBM_SE0_PERF_SEL_PA_BUSY", "value": 12},
1984 {"name": "GRBM_SE0_PERF_SEL_RESERVED_2", "value": 13},
1985 {"name": "GRBM_SE0_PERF_SEL_BCI_BUSY", "value": 14},
1986 {"name": "GRBM_SE0_PERF_SEL_RMI_BUSY", "value": 15},
1987 {"name": "GRBM_SE0_PERF_SEL_UTCL1_BUSY", "value": 16},
1988 {"name": "GRBM_SE0_PERF_SEL_TCP_BUSY", "value": 17},
1989 {"name": "GRBM_SE0_PERF_SEL_GL1CC_BUSY", "value": 18}
1990 ]
1991 },
1992 "GRBM_SE1_PERF_SEL": {
1993 "entries": [
1994 {"name": "GRBM_SE1_PERF_SEL_COUNT", "value": 0},
1995 {"name": "GRBM_SE1_PERF_SEL_USER_DEFINED", "value": 1},
1996 {"name": "GRBM_SE1_PERF_SEL_CB_BUSY", "value": 2},
1997 {"name": "GRBM_SE1_PERF_SEL_DB_BUSY", "value": 3},
1998 {"name": "GRBM_SE1_PERF_SEL_SC_BUSY", "value": 4},
1999 {"name": "GRBM_SE1_PERF_SEL_RESERVED_1", "value": 5},
2000 {"name": "GRBM_SE1_PERF_SEL_SPI_BUSY", "value": 6},
2001 {"name": "GRBM_SE1_PERF_SEL_SX_BUSY", "value": 7},
2002 {"name": "GRBM_SE1_PERF_SEL_TA_BUSY", "value": 8},
2003 {"name": "GRBM_SE1_PERF_SEL_CB_CLEAN", "value": 9},
2004 {"name": "GRBM_SE1_PERF_SEL_DB_CLEAN", "value": 10},
2005 {"name": "GRBM_SE1_PERF_SEL_RESERVED_0", "value": 11},
2006 {"name": "GRBM_SE1_PERF_SEL_PA_BUSY", "value": 12},
2007 {"name": "GRBM_SE1_PERF_SEL_RESERVED_2", "value": 13},
2008 {"name": "GRBM_SE1_PERF_SEL_BCI_BUSY", "value": 14},
2009 {"name": "GRBM_SE1_PERF_SEL_RMI_BUSY", "value": 15},
2010 {"name": "GRBM_SE1_PERF_SEL_UTCL1_BUSY", "value": 16},
2011 {"name": "GRBM_SE1_PERF_SEL_TCP_BUSY", "value": 17},
2012 {"name": "GRBM_SE1_PERF_SEL_GL1CC_BUSY", "value": 18}
2013 ]
2014 },
2015 "GRBM_SE2_PERF_SEL": {
2016 "entries": [
2017 {"name": "GRBM_SE2_PERF_SEL_COUNT", "value": 0},
2018 {"name": "GRBM_SE2_PERF_SEL_USER_DEFINED", "value": 1},
2019 {"name": "GRBM_SE2_PERF_SEL_CB_BUSY", "value": 2},
2020 {"name": "GRBM_SE2_PERF_SEL_DB_BUSY", "value": 3},
2021 {"name": "GRBM_SE2_PERF_SEL_SC_BUSY", "value": 4},
2022 {"name": "GRBM_SE2_PERF_SEL_RESERVED_1", "value": 5},
2023 {"name": "GRBM_SE2_PERF_SEL_SPI_BUSY", "value": 6},
2024 {"name": "GRBM_SE2_PERF_SEL_SX_BUSY", "value": 7},
2025 {"name": "GRBM_SE2_PERF_SEL_TA_BUSY", "value": 8},
2026 {"name": "GRBM_SE2_PERF_SEL_CB_CLEAN", "value": 9},
2027 {"name": "GRBM_SE2_PERF_SEL_DB_CLEAN", "value": 10},
2028 {"name": "GRBM_SE2_PERF_SEL_RESERVED_0", "value": 11},
2029 {"name": "GRBM_SE2_PERF_SEL_PA_BUSY", "value": 12},
2030 {"name": "GRBM_SE2_PERF_SEL_RESERVED_2", "value": 13},
2031 {"name": "GRBM_SE2_PERF_SEL_BCI_BUSY", "value": 14},
2032 {"name": "GRBM_SE2_PERF_SEL_RMI_BUSY", "value": 15},
2033 {"name": "GRBM_SE2_PERF_SEL_UTCL1_BUSY", "value": 16},
2034 {"name": "GRBM_SE2_PERF_SEL_TCP_BUSY", "value": 17},
2035 {"name": "GRBM_SE2_PERF_SEL_GL1CC_BUSY", "value": 18}
2036 ]
2037 },
2038 "GRBM_SE3_PERF_SEL": {
2039 "entries": [
2040 {"name": "GRBM_SE3_PERF_SEL_COUNT", "value": 0},
2041 {"name": "GRBM_SE3_PERF_SEL_USER_DEFINED", "value": 1},
2042 {"name": "GRBM_SE3_PERF_SEL_CB_BUSY", "value": 2},
2043 {"name": "GRBM_SE3_PERF_SEL_DB_BUSY", "value": 3},
2044 {"name": "GRBM_SE3_PERF_SEL_SC_BUSY", "value": 4},
2045 {"name": "GRBM_SE3_PERF_SEL_RESERVED_1", "value": 5},
2046 {"name": "GRBM_SE3_PERF_SEL_SPI_BUSY", "value": 6},
2047 {"name": "GRBM_SE3_PERF_SEL_SX_BUSY", "value": 7},
2048 {"name": "GRBM_SE3_PERF_SEL_TA_BUSY", "value": 8},
2049 {"name": "GRBM_SE3_PERF_SEL_CB_CLEAN", "value": 9},
2050 {"name": "GRBM_SE3_PERF_SEL_DB_CLEAN", "value": 10},
2051 {"name": "GRBM_SE3_PERF_SEL_RESERVED_0", "value": 11},
2052 {"name": "GRBM_SE3_PERF_SEL_PA_BUSY", "value": 12},
2053 {"name": "GRBM_SE3_PERF_SEL_RESERVED_2", "value": 13},
2054 {"name": "GRBM_SE3_PERF_SEL_BCI_BUSY", "value": 14},
2055 {"name": "GRBM_SE3_PERF_SEL_RMI_BUSY", "value": 15},
2056 {"name": "GRBM_SE3_PERF_SEL_UTCL1_BUSY", "value": 16},
2057 {"name": "GRBM_SE3_PERF_SEL_TCP_BUSY", "value": 17},
2058 {"name": "GRBM_SE3_PERF_SEL_GL1CC_BUSY", "value": 18}
2059 ]
2060 },
2061 "PH_PERFCNT_SEL": {
2062 "entries": [
2063 {"name": "PH_SC0_SRPS_WINDOW_VALID", "value": 0},
2064 {"name": "PH_SC0_ARB_XFC_ALL_EVENT_OR_PRIM_CYCLES", "value": 1},
2065 {"name": "PH_SC0_ARB_XFC_ONLY_PRIM_CYCLES", "value": 2},
2066 {"name": "PH_SC0_ARB_XFC_ONLY_ONE_INC_PER_PRIM", "value": 3},
2067 {"name": "PH_SC0_ARB_STALLED_FROM_BELOW", "value": 4},
2068 {"name": "PH_SC0_ARB_STARVED_FROM_ABOVE", "value": 5},
2069 {"name": "PH_SC0_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_NOT_EMPTY", "value": 6},
2070 {"name": "PH_SC0_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_FULL", "value": 7},
2071 {"name": "PH_SC0_ARB_BUSY", "value": 8},
2072 {"name": "PH_SC0_ARB_PA_BUSY_SOP", "value": 9},
2073 {"name": "PH_SC0_ARB_EOP_POP_SYNC_POP", "value": 10},
2074 {"name": "PH_SC0_ARB_EVENT_SYNC_POP", "value": 11},
2075 {"name": "PH_SC0_PS_ENG_MULTICYCLE_BUBBLE", "value": 12},
2076 {"name": "PH_SC0_EOP_SYNC_WINDOW", "value": 13},
2077 {"name": "PH_SC0_BUSY_PROCESSING_MULTICYCLE_PRIM", "value": 14},
2078 {"name": "PH_SC0_BUSY_CNT_NOT_ZERO", "value": 15},
2079 {"name": "PH_SC0_SEND", "value": 16},
2080 {"name": "PH_SC0_CREDIT_AT_ZERO_WITH_PENDING_SEND", "value": 17},
2081 {"name": "PH_SC0_CREDIT_AT_MAX", "value": 18},
2082 {"name": "PH_SC0_CREDIT_AT_MAX_NO_PENDING_SEND", "value": 19},
2083 {"name": "PH_SC0_GFX_PIPE_EVENT_PROVOKED_TRANSITION", "value": 20},
2084 {"name": "PH_SC0_GFX_PIPE_PRIM_PROVOKED_TRANSITION", "value": 21},
2085 {"name": "PH_SC0_GFX_PIPE0_TO_1_TRANSITION", "value": 22},
2086 {"name": "PH_SC0_GFX_PIPE1_TO_0_TRANSITION", "value": 23},
2087 {"name": "PH_SC0_PA0_DATA_FIFO_RD", "value": 24},
2088 {"name": "PH_SC0_PA0_DATA_FIFO_WE", "value": 25},
2089 {"name": "PH_SC0_PA0_FIFO_EMPTY", "value": 26},
2090 {"name": "PH_SC0_PA0_FIFO_FULL", "value": 27},
2091 {"name": "PH_SC0_PA0_NULL_WE", "value": 28},
2092 {"name": "PH_SC0_PA0_EVENT_WE", "value": 29},
2093 {"name": "PH_SC0_PA0_FPOV_WE", "value": 30},
2094 {"name": "PH_SC0_PA0_LPOV_WE", "value": 31},
2095 {"name": "PH_SC0_PA0_EOP_WE", "value": 32},
2096 {"name": "PH_SC0_PA0_DATA_FIFO_EOP_RD", "value": 33},
2097 {"name": "PH_SC0_PA0_EOPG_WE", "value": 34},
2098 {"name": "PH_SC0_PA0_DEALLOC_4_0_RD", "value": 35},
2099 {"name": "PH_SC0_PA1_DATA_FIFO_RD", "value": 36},
2100 {"name": "PH_SC0_PA1_DATA_FIFO_WE", "value": 37},
2101 {"name": "PH_SC0_PA1_FIFO_EMPTY", "value": 38},
2102 {"name": "PH_SC0_PA1_FIFO_FULL", "value": 39},
2103 {"name": "PH_SC0_PA1_NULL_WE", "value": 40},
2104 {"name": "PH_SC0_PA1_EVENT_WE", "value": 41},
2105 {"name": "PH_SC0_PA1_FPOV_WE", "value": 42},
2106 {"name": "PH_SC0_PA1_LPOV_WE", "value": 43},
2107 {"name": "PH_SC0_PA1_EOP_WE", "value": 44},
2108 {"name": "PH_SC0_PA1_DATA_FIFO_EOP_RD", "value": 45},
2109 {"name": "PH_SC0_PA1_EOPG_WE", "value": 46},
2110 {"name": "PH_SC0_PA1_DEALLOC_4_0_RD", "value": 47},
2111 {"name": "PH_SC0_PA2_DATA_FIFO_RD", "value": 48},
2112 {"name": "PH_SC0_PA2_DATA_FIFO_WE", "value": 49},
2113 {"name": "PH_SC0_PA2_FIFO_EMPTY", "value": 50},
2114 {"name": "PH_SC0_PA2_FIFO_FULL", "value": 51},
2115 {"name": "PH_SC0_PA2_NULL_WE", "value": 52},
2116 {"name": "PH_SC0_PA2_EVENT_WE", "value": 53},
2117 {"name": "PH_SC0_PA2_FPOV_WE", "value": 54},
2118 {"name": "PH_SC0_PA2_LPOV_WE", "value": 55},
2119 {"name": "PH_SC0_PA2_EOP_WE", "value": 56},
2120 {"name": "PH_SC0_PA2_DATA_FIFO_EOP_RD", "value": 57},
2121 {"name": "PH_SC0_PA2_EOPG_WE", "value": 58},
2122 {"name": "PH_SC0_PA2_DEALLOC_4_0_RD", "value": 59},
2123 {"name": "PH_SC0_PA3_DATA_FIFO_RD", "value": 60},
2124 {"name": "PH_SC0_PA3_DATA_FIFO_WE", "value": 61},
2125 {"name": "PH_SC0_PA3_FIFO_EMPTY", "value": 62},
2126 {"name": "PH_SC0_PA3_FIFO_FULL", "value": 63},
2127 {"name": "PH_SC0_PA3_NULL_WE", "value": 64},
2128 {"name": "PH_SC0_PA3_EVENT_WE", "value": 65},
2129 {"name": "PH_SC0_PA3_FPOV_WE", "value": 66},
2130 {"name": "PH_SC0_PA3_LPOV_WE", "value": 67},
2131 {"name": "PH_SC0_PA3_EOP_WE", "value": 68},
2132 {"name": "PH_SC0_PA3_DATA_FIFO_EOP_RD", "value": 69},
2133 {"name": "PH_SC0_PA3_EOPG_WE", "value": 70},
2134 {"name": "PH_SC0_PA3_DEALLOC_4_0_RD", "value": 71},
2135 {"name": "PH_SC0_PA4_DATA_FIFO_RD", "value": 72},
2136 {"name": "PH_SC0_PA4_DATA_FIFO_WE", "value": 73},
2137 {"name": "PH_SC0_PA4_FIFO_EMPTY", "value": 74},
2138 {"name": "PH_SC0_PA4_FIFO_FULL", "value": 75},
2139 {"name": "PH_SC0_PA4_NULL_WE", "value": 76},
2140 {"name": "PH_SC0_PA4_EVENT_WE", "value": 77},
2141 {"name": "PH_SC0_PA4_FPOV_WE", "value": 78},
2142 {"name": "PH_SC0_PA4_LPOV_WE", "value": 79},
2143 {"name": "PH_SC0_PA4_EOP_WE", "value": 80},
2144 {"name": "PH_SC0_PA4_DATA_FIFO_EOP_RD", "value": 81},
2145 {"name": "PH_SC0_PA4_EOPG_WE", "value": 82},
2146 {"name": "PH_SC0_PA4_DEALLOC_4_0_RD", "value": 83},
2147 {"name": "PH_SC0_PA5_DATA_FIFO_RD", "value": 84},
2148 {"name": "PH_SC0_PA5_DATA_FIFO_WE", "value": 85},
2149 {"name": "PH_SC0_PA5_FIFO_EMPTY", "value": 86},
2150 {"name": "PH_SC0_PA5_FIFO_FULL", "value": 87},
2151 {"name": "PH_SC0_PA5_NULL_WE", "value": 88},
2152 {"name": "PH_SC0_PA5_EVENT_WE", "value": 89},
2153 {"name": "PH_SC0_PA5_FPOV_WE", "value": 90},
2154 {"name": "PH_SC0_PA5_LPOV_WE", "value": 91},
2155 {"name": "PH_SC0_PA5_EOP_WE", "value": 92},
2156 {"name": "PH_SC0_PA5_DATA_FIFO_EOP_RD", "value": 93},
2157 {"name": "PH_SC0_PA5_EOPG_WE", "value": 94},
2158 {"name": "PH_SC0_PA5_DEALLOC_4_0_RD", "value": 95},
2159 {"name": "PH_SC0_PA6_DATA_FIFO_RD", "value": 96},
2160 {"name": "PH_SC0_PA6_DATA_FIFO_WE", "value": 97},
2161 {"name": "PH_SC0_PA6_FIFO_EMPTY", "value": 98},
2162 {"name": "PH_SC0_PA6_FIFO_FULL", "value": 99},
2163 {"name": "PH_SC0_PA6_NULL_WE", "value": 100},
2164 {"name": "PH_SC0_PA6_EVENT_WE", "value": 101},
2165 {"name": "PH_SC0_PA6_FPOV_WE", "value": 102},
2166 {"name": "PH_SC0_PA6_LPOV_WE", "value": 103},
2167 {"name": "PH_SC0_PA6_EOP_WE", "value": 104},
2168 {"name": "PH_SC0_PA6_DATA_FIFO_EOP_RD", "value": 105},
2169 {"name": "PH_SC0_PA6_EOPG_WE", "value": 106},
2170 {"name": "PH_SC0_PA6_DEALLOC_4_0_RD", "value": 107},
2171 {"name": "PH_SC0_PA7_DATA_FIFO_RD", "value": 108},
2172 {"name": "PH_SC0_PA7_DATA_FIFO_WE", "value": 109},
2173 {"name": "PH_SC0_PA7_FIFO_EMPTY", "value": 110},
2174 {"name": "PH_SC0_PA7_FIFO_FULL", "value": 111},
2175 {"name": "PH_SC0_PA7_NULL_WE", "value": 112},
2176 {"name": "PH_SC0_PA7_EVENT_WE", "value": 113},
2177 {"name": "PH_SC0_PA7_FPOV_WE", "value": 114},
2178 {"name": "PH_SC0_PA7_LPOV_WE", "value": 115},
2179 {"name": "PH_SC0_PA7_EOP_WE", "value": 116},
2180 {"name": "PH_SC0_PA7_DATA_FIFO_EOP_RD", "value": 117},
2181 {"name": "PH_SC0_PA7_EOPG_WE", "value": 118},
2182 {"name": "PH_SC0_PA7_DEALLOC_4_0_RD", "value": 119},
2183 {"name": "PH_SC1_SRPS_WINDOW_VALID", "value": 120},
2184 {"name": "PH_SC1_ARB_XFC_ALL_EVENT_OR_PRIM_CYCLES", "value": 121},
2185 {"name": "PH_SC1_ARB_XFC_ONLY_PRIM_CYCLES", "value": 122},
2186 {"name": "PH_SC1_ARB_XFC_ONLY_ONE_INC_PER_PRIM", "value": 123},
2187 {"name": "PH_SC1_ARB_STALLED_FROM_BELOW", "value": 124},
2188 {"name": "PH_SC1_ARB_STARVED_FROM_ABOVE", "value": 125},
2189 {"name": "PH_SC1_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_NOT_EMPTY", "value": 126},
2190 {"name": "PH_SC1_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_FULL", "value": 127},
2191 {"name": "PH_SC1_ARB_BUSY", "value": 128},
2192 {"name": "PH_SC1_ARB_PA_BUSY_SOP", "value": 129},
2193 {"name": "PH_SC1_ARB_EOP_POP_SYNC_POP", "value": 130},
2194 {"name": "PH_SC1_ARB_EVENT_SYNC_POP", "value": 131},
2195 {"name": "PH_SC1_PS_ENG_MULTICYCLE_BUBBLE", "value": 132},
2196 {"name": "PH_SC1_EOP_SYNC_WINDOW", "value": 133},
2197 {"name": "PH_SC1_BUSY_PROCESSING_MULTICYCLE_PRIM", "value": 134},
2198 {"name": "PH_SC1_BUSY_CNT_NOT_ZERO", "value": 135},
2199 {"name": "PH_SC1_SEND", "value": 136},
2200 {"name": "PH_SC1_CREDIT_AT_ZERO_WITH_PENDING_SEND", "value": 137},
2201 {"name": "PH_SC1_CREDIT_AT_MAX", "value": 138},
2202 {"name": "PH_SC1_CREDIT_AT_MAX_NO_PENDING_SEND", "value": 139},
2203 {"name": "PH_SC1_GFX_PIPE_EVENT_PROVOKED_TRANSITION", "value": 140},
2204 {"name": "PH_SC1_GFX_PIPE_EOP_PRIM_PROVOKED_TRANSITION", "value": 141},
2205 {"name": "PH_SC1_GFX_PIPE0_TO_1_TRANSITION", "value": 142},
2206 {"name": "PH_SC1_GFX_PIPE1_TO_0_TRANSITION", "value": 143},
2207 {"name": "PH_SC1_PA0_DATA_FIFO_RD", "value": 144},
2208 {"name": "PH_SC1_PA0_DATA_FIFO_WE", "value": 145},
2209 {"name": "PH_SC1_PA0_FIFO_EMPTY", "value": 146},
2210 {"name": "PH_SC1_PA0_FIFO_FULL", "value": 147},
2211 {"name": "PH_SC1_PA0_NULL_WE", "value": 148},
2212 {"name": "PH_SC1_PA0_EVENT_WE", "value": 149},
2213 {"name": "PH_SC1_PA0_FPOV_WE", "value": 150},
2214 {"name": "PH_SC1_PA0_LPOV_WE", "value": 151},
2215 {"name": "PH_SC1_PA0_EOP_WE", "value": 152},
2216 {"name": "PH_SC1_PA0_DATA_FIFO_EOP_RD", "value": 153},
2217 {"name": "PH_SC1_PA0_EOPG_WE", "value": 154},
2218 {"name": "PH_SC1_PA0_DEALLOC_4_0_RD", "value": 155},
2219 {"name": "PH_SC1_PA1_DATA_FIFO_RD", "value": 156},
2220 {"name": "PH_SC1_PA1_DATA_FIFO_WE", "value": 157},
2221 {"name": "PH_SC1_PA1_FIFO_EMPTY", "value": 158},
2222 {"name": "PH_SC1_PA1_FIFO_FULL", "value": 159},
2223 {"name": "PH_SC1_PA1_NULL_WE", "value": 160},
2224 {"name": "PH_SC1_PA1_EVENT_WE", "value": 161},
2225 {"name": "PH_SC1_PA1_FPOV_WE", "value": 162},
2226 {"name": "PH_SC1_PA1_LPOV_WE", "value": 163},
2227 {"name": "PH_SC1_PA1_EOP_WE", "value": 164},
2228 {"name": "PH_SC1_PA1_DATA_FIFO_EOP_RD", "value": 165},
2229 {"name": "PH_SC1_PA1_EOPG_WE", "value": 166},
2230 {"name": "PH_SC1_PA1_DEALLOC_4_0_RD", "value": 167},
2231 {"name": "PH_SC1_PA2_DATA_FIFO_RD", "value": 168},
2232 {"name": "PH_SC1_PA2_DATA_FIFO_WE", "value": 169},
2233 {"name": "PH_SC1_PA2_FIFO_EMPTY", "value": 170},
2234 {"name": "PH_SC1_PA2_FIFO_FULL", "value": 171},
2235 {"name": "PH_SC1_PA2_NULL_WE", "value": 172},
2236 {"name": "PH_SC1_PA2_EVENT_WE", "value": 173},
2237 {"name": "PH_SC1_PA2_FPOV_WE", "value": 174},
2238 {"name": "PH_SC1_PA2_LPOV_WE", "value": 175},
2239 {"name": "PH_SC1_PA2_EOP_WE", "value": 176},
2240 {"name": "PH_SC1_PA2_DATA_FIFO_EOP_RD", "value": 177},
2241 {"name": "PH_SC1_PA2_EOPG_WE", "value": 178},
2242 {"name": "PH_SC1_PA2_DEALLOC_4_0_RD", "value": 179},
2243 {"name": "PH_SC1_PA3_DATA_FIFO_RD", "value": 180},
2244 {"name": "PH_SC1_PA3_DATA_FIFO_WE", "value": 181},
2245 {"name": "PH_SC1_PA3_FIFO_EMPTY", "value": 182},
2246 {"name": "PH_SC1_PA3_FIFO_FULL", "value": 183},
2247 {"name": "PH_SC1_PA3_NULL_WE", "value": 184},
2248 {"name": "PH_SC1_PA3_EVENT_WE", "value": 185},
2249 {"name": "PH_SC1_PA3_FPOV_WE", "value": 186},
2250 {"name": "PH_SC1_PA3_LPOV_WE", "value": 187},
2251 {"name": "PH_SC1_PA3_EOP_WE", "value": 188},
2252 {"name": "PH_SC1_PA3_DATA_FIFO_EOP_RD", "value": 189},
2253 {"name": "PH_SC1_PA3_EOPG_WE", "value": 190},
2254 {"name": "PH_SC1_PA3_DEALLOC_4_0_RD", "value": 191},
2255 {"name": "PH_SC1_PA4_DATA_FIFO_RD", "value": 192},
2256 {"name": "PH_SC1_PA4_DATA_FIFO_WE", "value": 193},
2257 {"name": "PH_SC1_PA4_FIFO_EMPTY", "value": 194},
2258 {"name": "PH_SC1_PA4_FIFO_FULL", "value": 195},
2259 {"name": "PH_SC1_PA4_NULL_WE", "value": 196},
2260 {"name": "PH_SC1_PA4_EVENT_WE", "value": 197},
2261 {"name": "PH_SC1_PA4_FPOV_WE", "value": 198},
2262 {"name": "PH_SC1_PA4_LPOV_WE", "value": 199},
2263 {"name": "PH_SC1_PA4_EOP_WE", "value": 200},
2264 {"name": "PH_SC1_PA4_DATA_FIFO_EOP_RD", "value": 201},
2265 {"name": "PH_SC1_PA4_EOPG_WE", "value": 202},
2266 {"name": "PH_SC1_PA4_DEALLOC_4_0_RD", "value": 203},
2267 {"name": "PH_SC1_PA5_DATA_FIFO_RD", "value": 204},
2268 {"name": "PH_SC1_PA5_DATA_FIFO_WE", "value": 205},
2269 {"name": "PH_SC1_PA5_FIFO_EMPTY", "value": 206},
2270 {"name": "PH_SC1_PA5_FIFO_FULL", "value": 207},
2271 {"name": "PH_SC1_PA5_NULL_WE", "value": 208},
2272 {"name": "PH_SC1_PA5_EVENT_WE", "value": 209},
2273 {"name": "PH_SC1_PA5_FPOV_WE", "value": 210},
2274 {"name": "PH_SC1_PA5_LPOV_WE", "value": 211},
2275 {"name": "PH_SC1_PA5_EOP_WE", "value": 212},
2276 {"name": "PH_SC1_PA5_DATA_FIFO_EOP_RD", "value": 213},
2277 {"name": "PH_SC1_PA5_EOPG_WE", "value": 214},
2278 {"name": "PH_SC1_PA5_DEALLOC_4_0_RD", "value": 215},
2279 {"name": "PH_SC1_PA6_DATA_FIFO_RD", "value": 216},
2280 {"name": "PH_SC1_PA6_DATA_FIFO_WE", "value": 217},
2281 {"name": "PH_SC1_PA6_FIFO_EMPTY", "value": 218},
2282 {"name": "PH_SC1_PA6_FIFO_FULL", "value": 219},
2283 {"name": "PH_SC1_PA6_NULL_WE", "value": 220},
2284 {"name": "PH_SC1_PA6_EVENT_WE", "value": 221},
2285 {"name": "PH_SC1_PA6_FPOV_WE", "value": 222},
2286 {"name": "PH_SC1_PA6_LPOV_WE", "value": 223},
2287 {"name": "PH_SC1_PA6_EOP_WE", "value": 224},
2288 {"name": "PH_SC1_PA6_DATA_FIFO_EOP_RD", "value": 225},
2289 {"name": "PH_SC1_PA6_EOPG_WE", "value": 226},
2290 {"name": "PH_SC1_PA6_DEALLOC_4_0_RD", "value": 227},
2291 {"name": "PH_SC1_PA7_DATA_FIFO_RD", "value": 228},
2292 {"name": "PH_SC1_PA7_DATA_FIFO_WE", "value": 229},
2293 {"name": "PH_SC1_PA7_FIFO_EMPTY", "value": 230},
2294 {"name": "PH_SC1_PA7_FIFO_FULL", "value": 231},
2295 {"name": "PH_SC1_PA7_NULL_WE", "value": 232},
2296 {"name": "PH_SC1_PA7_EVENT_WE", "value": 233},
2297 {"name": "PH_SC1_PA7_FPOV_WE", "value": 234},
2298 {"name": "PH_SC1_PA7_LPOV_WE", "value": 235},
2299 {"name": "PH_SC1_PA7_EOP_WE", "value": 236},
2300 {"name": "PH_SC1_PA7_DATA_FIFO_EOP_RD", "value": 237},
2301 {"name": "PH_SC1_PA7_EOPG_WE", "value": 238},
2302 {"name": "PH_SC1_PA7_DEALLOC_4_0_RD", "value": 239},
2303 {"name": "PH_SC2_SRPS_WINDOW_VALID", "value": 240},
2304 {"name": "PH_SC2_ARB_XFC_ALL_EVENT_OR_PRIM_CYCLES", "value": 241},
2305 {"name": "PH_SC2_ARB_XFC_ONLY_PRIM_CYCLES", "value": 242},
2306 {"name": "PH_SC2_ARB_XFC_ONLY_ONE_INC_PER_PRIM", "value": 243},
2307 {"name": "PH_SC2_ARB_STALLED_FROM_BELOW", "value": 244},
2308 {"name": "PH_SC2_ARB_STARVED_FROM_ABOVE", "value": 245},
2309 {"name": "PH_SC2_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_NOT_EMPTY", "value": 246},
2310 {"name": "PH_SC2_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_FULL", "value": 247},
2311 {"name": "PH_SC2_ARB_BUSY", "value": 248},
2312 {"name": "PH_SC2_ARB_PA_BUSY_SOP", "value": 249},
2313 {"name": "PH_SC2_ARB_EOP_POP_SYNC_POP", "value": 250},
2314 {"name": "PH_SC2_ARB_EVENT_SYNC_POP", "value": 251},
2315 {"name": "PH_SC2_PS_ENG_MULTICYCLE_BUBBLE", "value": 252},
2316 {"name": "PH_SC2_EOP_SYNC_WINDOW", "value": 253},
2317 {"name": "PH_SC2_BUSY_PROCESSING_MULTICYCLE_PRIM", "value": 254},
2318 {"name": "PH_SC2_BUSY_CNT_NOT_ZERO", "value": 255},
2319 {"name": "PH_SC2_SEND", "value": 256},
2320 {"name": "PH_SC2_CREDIT_AT_ZERO_WITH_PENDING_SEND", "value": 257},
2321 {"name": "PH_SC2_CREDIT_AT_MAX", "value": 258},
2322 {"name": "PH_SC2_CREDIT_AT_MAX_NO_PENDING_SEND", "value": 259},
2323 {"name": "PH_SC2_GFX_PIPE_EVENT_PROVOKED_TRANSITION", "value": 260},
2324 {"name": "PH_SC2_GFX_PIPE_EOP_PRIM_PROVOKED_TRANSITION", "value": 261},
2325 {"name": "PH_SC2_GFX_PIPE0_TO_1_TRANSITION", "value": 262},
2326 {"name": "PH_SC2_GFX_PIPE1_TO_0_TRANSITION", "value": 263},
2327 {"name": "PH_SC2_PA0_DATA_FIFO_RD", "value": 264},
2328 {"name": "PH_SC2_PA0_DATA_FIFO_WE", "value": 265},
2329 {"name": "PH_SC2_PA0_FIFO_EMPTY", "value": 266},
2330 {"name": "PH_SC2_PA0_FIFO_FULL", "value": 267},
2331 {"name": "PH_SC2_PA0_NULL_WE", "value": 268},
2332 {"name": "PH_SC2_PA0_EVENT_WE", "value": 269},
2333 {"name": "PH_SC2_PA0_FPOV_WE", "value": 270},
2334 {"name": "PH_SC2_PA0_LPOV_WE", "value": 271},
2335 {"name": "PH_SC2_PA0_EOP_WE", "value": 272},
2336 {"name": "PH_SC2_PA0_DATA_FIFO_EOP_RD", "value": 273},
2337 {"name": "PH_SC2_PA0_EOPG_WE", "value": 274},
2338 {"name": "PH_SC2_PA0_DEALLOC_4_0_RD", "value": 275},
2339 {"name": "PH_SC2_PA1_DATA_FIFO_RD", "value": 276},
2340 {"name": "PH_SC2_PA1_DATA_FIFO_WE", "value": 277},
2341 {"name": "PH_SC2_PA1_FIFO_EMPTY", "value": 278},
2342 {"name": "PH_SC2_PA1_FIFO_FULL", "value": 279},
2343 {"name": "PH_SC2_PA1_NULL_WE", "value": 280},
2344 {"name": "PH_SC2_PA1_EVENT_WE", "value": 281},
2345 {"name": "PH_SC2_PA1_FPOV_WE", "value": 282},
2346 {"name": "PH_SC2_PA1_LPOV_WE", "value": 283},
2347 {"name": "PH_SC2_PA1_EOP_WE", "value": 284},
2348 {"name": "PH_SC2_PA1_DATA_FIFO_EOP_RD", "value": 285},
2349 {"name": "PH_SC2_PA1_EOPG_WE", "value": 286},
2350 {"name": "PH_SC2_PA1_DEALLOC_4_0_RD", "value": 287},
2351 {"name": "PH_SC2_PA2_DATA_FIFO_RD", "value": 288},
2352 {"name": "PH_SC2_PA2_DATA_FIFO_WE", "value": 289},
2353 {"name": "PH_SC2_PA2_FIFO_EMPTY", "value": 290},
2354 {"name": "PH_SC2_PA2_FIFO_FULL", "value": 291},
2355 {"name": "PH_SC2_PA2_NULL_WE", "value": 292},
2356 {"name": "PH_SC2_PA2_EVENT_WE", "value": 293},
2357 {"name": "PH_SC2_PA2_FPOV_WE", "value": 294},
2358 {"name": "PH_SC2_PA2_LPOV_WE", "value": 295},
2359 {"name": "PH_SC2_PA2_EOP_WE", "value": 296},
2360 {"name": "PH_SC2_PA2_DATA_FIFO_EOP_RD", "value": 297},
2361 {"name": "PH_SC2_PA2_EOPG_WE", "value": 298},
2362 {"name": "PH_SC2_PA2_DEALLOC_4_0_RD", "value": 299},
2363 {"name": "PH_SC2_PA3_DATA_FIFO_RD", "value": 300},
2364 {"name": "PH_SC2_PA3_DATA_FIFO_WE", "value": 301},
2365 {"name": "PH_SC2_PA3_FIFO_EMPTY", "value": 302},
2366 {"name": "PH_SC2_PA3_FIFO_FULL", "value": 303},
2367 {"name": "PH_SC2_PA3_NULL_WE", "value": 304},
2368 {"name": "PH_SC2_PA3_EVENT_WE", "value": 305},
2369 {"name": "PH_SC2_PA3_FPOV_WE", "value": 306},
2370 {"name": "PH_SC2_PA3_LPOV_WE", "value": 307},
2371 {"name": "PH_SC2_PA3_EOP_WE", "value": 308},
2372 {"name": "PH_SC2_PA3_DATA_FIFO_EOP_RD", "value": 309},
2373 {"name": "PH_SC2_PA3_EOPG_WE", "value": 310},
2374 {"name": "PH_SC2_PA3_DEALLOC_4_0_RD", "value": 311},
2375 {"name": "PH_SC2_PA4_DATA_FIFO_RD", "value": 312},
2376 {"name": "PH_SC2_PA4_DATA_FIFO_WE", "value": 313},
2377 {"name": "PH_SC2_PA4_FIFO_EMPTY", "value": 314},
2378 {"name": "PH_SC2_PA4_FIFO_FULL", "value": 315},
2379 {"name": "PH_SC2_PA4_NULL_WE", "value": 316},
2380 {"name": "PH_SC2_PA4_EVENT_WE", "value": 317},
2381 {"name": "PH_SC2_PA4_FPOV_WE", "value": 318},
2382 {"name": "PH_SC2_PA4_LPOV_WE", "value": 319},
2383 {"name": "PH_SC2_PA4_EOP_WE", "value": 320},
2384 {"name": "PH_SC2_PA4_DATA_FIFO_EOP_RD", "value": 321},
2385 {"name": "PH_SC2_PA4_EOPG_WE", "value": 322},
2386 {"name": "PH_SC2_PA4_DEALLOC_4_0_RD", "value": 323},
2387 {"name": "PH_SC2_PA5_DATA_FIFO_RD", "value": 324},
2388 {"name": "PH_SC2_PA5_DATA_FIFO_WE", "value": 325},
2389 {"name": "PH_SC2_PA5_FIFO_EMPTY", "value": 326},
2390 {"name": "PH_SC2_PA5_FIFO_FULL", "value": 327},
2391 {"name": "PH_SC2_PA5_NULL_WE", "value": 328},
2392 {"name": "PH_SC2_PA5_EVENT_WE", "value": 329},
2393 {"name": "PH_SC2_PA5_FPOV_WE", "value": 330},
2394 {"name": "PH_SC2_PA5_LPOV_WE", "value": 331},
2395 {"name": "PH_SC2_PA5_EOP_WE", "value": 332},
2396 {"name": "PH_SC2_PA5_DATA_FIFO_EOP_RD", "value": 333},
2397 {"name": "PH_SC2_PA5_EOPG_WE", "value": 334},
2398 {"name": "PH_SC2_PA5_DEALLOC_4_0_RD", "value": 335},
2399 {"name": "PH_SC2_PA6_DATA_FIFO_RD", "value": 336},
2400 {"name": "PH_SC2_PA6_DATA_FIFO_WE", "value": 337},
2401 {"name": "PH_SC2_PA6_FIFO_EMPTY", "value": 338},
2402 {"name": "PH_SC2_PA6_FIFO_FULL", "value": 339},
2403 {"name": "PH_SC2_PA6_NULL_WE", "value": 340},
2404 {"name": "PH_SC2_PA6_EVENT_WE", "value": 341},
2405 {"name": "PH_SC2_PA6_FPOV_WE", "value": 342},
2406 {"name": "PH_SC2_PA6_LPOV_WE", "value": 343},
2407 {"name": "PH_SC2_PA6_EOP_WE", "value": 344},
2408 {"name": "PH_SC2_PA6_DATA_FIFO_EOP_RD", "value": 345},
2409 {"name": "PH_SC2_PA6_EOPG_WE", "value": 346},
2410 {"name": "PH_SC2_PA6_DEALLOC_4_0_RD", "value": 347},
2411 {"name": "PH_SC2_PA7_DATA_FIFO_RD", "value": 348},
2412 {"name": "PH_SC2_PA7_DATA_FIFO_WE", "value": 349},
2413 {"name": "PH_SC2_PA7_FIFO_EMPTY", "value": 350},
2414 {"name": "PH_SC2_PA7_FIFO_FULL", "value": 351},
2415 {"name": "PH_SC2_PA7_NULL_WE", "value": 352},
2416 {"name": "PH_SC2_PA7_EVENT_WE", "value": 353},
2417 {"name": "PH_SC2_PA7_FPOV_WE", "value": 354},
2418 {"name": "PH_SC2_PA7_LPOV_WE", "value": 355},
2419 {"name": "PH_SC2_PA7_EOP_WE", "value": 356},
2420 {"name": "PH_SC2_PA7_DATA_FIFO_EOP_RD", "value": 357},
2421 {"name": "PH_SC2_PA7_EOPG_WE", "value": 358},
2422 {"name": "PH_SC2_PA7_DEALLOC_4_0_RD", "value": 359},
2423 {"name": "PH_SC3_SRPS_WINDOW_VALID", "value": 360},
2424 {"name": "PH_SC3_ARB_XFC_ALL_EVENT_OR_PRIM_CYCLES", "value": 361},
2425 {"name": "PH_SC3_ARB_XFC_ONLY_PRIM_CYCLES", "value": 362},
2426 {"name": "PH_SC3_ARB_XFC_ONLY_ONE_INC_PER_PRIM", "value": 363},
2427 {"name": "PH_SC3_ARB_STALLED_FROM_BELOW", "value": 364},
2428 {"name": "PH_SC3_ARB_STARVED_FROM_ABOVE", "value": 365},
2429 {"name": "PH_SC3_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_NOT_EMPTY", "value": 366},
2430 {"name": "PH_SC3_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_FULL", "value": 367},
2431 {"name": "PH_SC3_ARB_BUSY", "value": 368},
2432 {"name": "PH_SC3_ARB_PA_BUSY_SOP", "value": 369},
2433 {"name": "PH_SC3_ARB_EOP_POP_SYNC_POP", "value": 370},
2434 {"name": "PH_SC3_ARB_EVENT_SYNC_POP", "value": 371},
2435 {"name": "PH_SC3_PS_ENG_MULTICYCLE_BUBBLE", "value": 372},
2436 {"name": "PH_SC3_EOP_SYNC_WINDOW", "value": 373},
2437 {"name": "PH_SC3_BUSY_PROCESSING_MULTICYCLE_PRIM", "value": 374},
2438 {"name": "PH_SC3_BUSY_CNT_NOT_ZERO", "value": 375},
2439 {"name": "PH_SC3_SEND", "value": 376},
2440 {"name": "PH_SC3_CREDIT_AT_ZERO_WITH_PENDING_SEND", "value": 377},
2441 {"name": "PH_SC3_CREDIT_AT_MAX", "value": 378},
2442 {"name": "PH_SC3_CREDIT_AT_MAX_NO_PENDING_SEND", "value": 379},
2443 {"name": "PH_SC3_GFX_PIPE_EVENT_PROVOKED_TRANSITION", "value": 380},
2444 {"name": "PH_SC3_GFX_PIPE_EOP_PRIM_PROVOKED_TRANSITION", "value": 381},
2445 {"name": "PH_SC3_GFX_PIPE0_TO_1_TRANSITION", "value": 382},
2446 {"name": "PH_SC3_GFX_PIPE1_TO_0_TRANSITION", "value": 383},
2447 {"name": "PH_SC3_PA0_DATA_FIFO_RD", "value": 384},
2448 {"name": "PH_SC3_PA0_DATA_FIFO_WE", "value": 385},
2449 {"name": "PH_SC3_PA0_FIFO_EMPTY", "value": 386},
2450 {"name": "PH_SC3_PA0_FIFO_FULL", "value": 387},
2451 {"name": "PH_SC3_PA0_NULL_WE", "value": 388},
2452 {"name": "PH_SC3_PA0_EVENT_WE", "value": 389},
2453 {"name": "PH_SC3_PA0_FPOV_WE", "value": 390},
2454 {"name": "PH_SC3_PA0_LPOV_WE", "value": 391},
2455 {"name": "PH_SC3_PA0_EOP_WE", "value": 392},
2456 {"name": "PH_SC3_PA0_DATA_FIFO_EOP_RD", "value": 393},
2457 {"name": "PH_SC3_PA0_EOPG_WE", "value": 394},
2458 {"name": "PH_SC3_PA0_DEALLOC_4_0_RD", "value": 395},
2459 {"name": "PH_SC3_PA1_DATA_FIFO_RD", "value": 396},
2460 {"name": "PH_SC3_PA1_DATA_FIFO_WE", "value": 397},
2461 {"name": "PH_SC3_PA1_FIFO_EMPTY", "value": 398},
2462 {"name": "PH_SC3_PA1_FIFO_FULL", "value": 399},
2463 {"name": "PH_SC3_PA1_NULL_WE", "value": 400},
2464 {"name": "PH_SC3_PA1_EVENT_WE", "value": 401},
2465 {"name": "PH_SC3_PA1_FPOV_WE", "value": 402},
2466 {"name": "PH_SC3_PA1_LPOV_WE", "value": 403},
2467 {"name": "PH_SC3_PA1_EOP_WE", "value": 404},
2468 {"name": "PH_SC3_PA1_DATA_FIFO_EOP_RD", "value": 405},
2469 {"name": "PH_SC3_PA1_EOPG_WE", "value": 406},
2470 {"name": "PH_SC3_PA1_DEALLOC_4_0_RD", "value": 407},
2471 {"name": "PH_SC3_PA2_DATA_FIFO_RD", "value": 408},
2472 {"name": "PH_SC3_PA2_DATA_FIFO_WE", "value": 409},
2473 {"name": "PH_SC3_PA2_FIFO_EMPTY", "value": 410},
2474 {"name": "PH_SC3_PA2_FIFO_FULL", "value": 411},
2475 {"name": "PH_SC3_PA2_NULL_WE", "value": 412},
2476 {"name": "PH_SC3_PA2_EVENT_WE", "value": 413},
2477 {"name": "PH_SC3_PA2_FPOV_WE", "value": 414},
2478 {"name": "PH_SC3_PA2_LPOV_WE", "value": 415},
2479 {"name": "PH_SC3_PA2_EOP_WE", "value": 416},
2480 {"name": "PH_SC3_PA2_DATA_FIFO_EOP_RD", "value": 417},
2481 {"name": "PH_SC3_PA2_EOPG_WE", "value": 418},
2482 {"name": "PH_SC3_PA2_DEALLOC_4_0_RD", "value": 419},
2483 {"name": "PH_SC3_PA3_DATA_FIFO_RD", "value": 420},
2484 {"name": "PH_SC3_PA3_DATA_FIFO_WE", "value": 421},
2485 {"name": "PH_SC3_PA3_FIFO_EMPTY", "value": 422},
2486 {"name": "PH_SC3_PA3_FIFO_FULL", "value": 423},
2487 {"name": "PH_SC3_PA3_NULL_WE", "value": 424},
2488 {"name": "PH_SC3_PA3_EVENT_WE", "value": 425},
2489 {"name": "PH_SC3_PA3_FPOV_WE", "value": 426},
2490 {"name": "PH_SC3_PA3_LPOV_WE", "value": 427},
2491 {"name": "PH_SC3_PA3_EOP_WE", "value": 428},
2492 {"name": "PH_SC3_PA3_DATA_FIFO_EOP_RD", "value": 429},
2493 {"name": "PH_SC3_PA3_EOPG_WE", "value": 430},
2494 {"name": "PH_SC3_PA3_DEALLOC_4_0_RD", "value": 431},
2495 {"name": "PH_SC3_PA4_DATA_FIFO_RD", "value": 432},
2496 {"name": "PH_SC3_PA4_DATA_FIFO_WE", "value": 433},
2497 {"name": "PH_SC3_PA4_FIFO_EMPTY", "value": 434},
2498 {"name": "PH_SC3_PA4_FIFO_FULL", "value": 435},
2499 {"name": "PH_SC3_PA4_NULL_WE", "value": 436},
2500 {"name": "PH_SC3_PA4_EVENT_WE", "value": 437},
2501 {"name": "PH_SC3_PA4_FPOV_WE", "value": 438},
2502 {"name": "PH_SC3_PA4_LPOV_WE", "value": 439},
2503 {"name": "PH_SC3_PA4_EOP_WE", "value": 440},
2504 {"name": "PH_SC3_PA4_DATA_FIFO_EOP_RD", "value": 441},
2505 {"name": "PH_SC3_PA4_EOPG_WE", "value": 442},
2506 {"name": "PH_SC3_PA4_DEALLOC_4_0_RD", "value": 443},
2507 {"name": "PH_SC3_PA5_DATA_FIFO_RD", "value": 444},
2508 {"name": "PH_SC3_PA5_DATA_FIFO_WE", "value": 445},
2509 {"name": "PH_SC3_PA5_FIFO_EMPTY", "value": 446},
2510 {"name": "PH_SC3_PA5_FIFO_FULL", "value": 447},
2511 {"name": "PH_SC3_PA5_NULL_WE", "value": 448},
2512 {"name": "PH_SC3_PA5_EVENT_WE", "value": 449},
2513 {"name": "PH_SC3_PA5_FPOV_WE", "value": 450},
2514 {"name": "PH_SC3_PA5_LPOV_WE", "value": 451},
2515 {"name": "PH_SC3_PA5_EOP_WE", "value": 452},
2516 {"name": "PH_SC3_PA5_DATA_FIFO_EOP_RD", "value": 453},
2517 {"name": "PH_SC3_PA5_EOPG_WE", "value": 454},
2518 {"name": "PH_SC3_PA5_DEALLOC_4_0_RD", "value": 455},
2519 {"name": "PH_SC3_PA6_DATA_FIFO_RD", "value": 456},
2520 {"name": "PH_SC3_PA6_DATA_FIFO_WE", "value": 457},
2521 {"name": "PH_SC3_PA6_FIFO_EMPTY", "value": 458},
2522 {"name": "PH_SC3_PA6_FIFO_FULL", "value": 459},
2523 {"name": "PH_SC3_PA6_NULL_WE", "value": 460},
2524 {"name": "PH_SC3_PA6_EVENT_WE", "value": 461},
2525 {"name": "PH_SC3_PA6_FPOV_WE", "value": 462},
2526 {"name": "PH_SC3_PA6_LPOV_WE", "value": 463},
2527 {"name": "PH_SC3_PA6_EOP_WE", "value": 464},
2528 {"name": "PH_SC3_PA6_DATA_FIFO_EOP_RD", "value": 465},
2529 {"name": "PH_SC3_PA6_EOPG_WE", "value": 466},
2530 {"name": "PH_SC3_PA6_DEALLOC_4_0_RD", "value": 467},
2531 {"name": "PH_SC3_PA7_DATA_FIFO_RD", "value": 468},
2532 {"name": "PH_SC3_PA7_DATA_FIFO_WE", "value": 469},
2533 {"name": "PH_SC3_PA7_FIFO_EMPTY", "value": 470},
2534 {"name": "PH_SC3_PA7_FIFO_FULL", "value": 471},
2535 {"name": "PH_SC3_PA7_NULL_WE", "value": 472},
2536 {"name": "PH_SC3_PA7_EVENT_WE", "value": 473},
2537 {"name": "PH_SC3_PA7_FPOV_WE", "value": 474},
2538 {"name": "PH_SC3_PA7_LPOV_WE", "value": 475},
2539 {"name": "PH_SC3_PA7_EOP_WE", "value": 476},
2540 {"name": "PH_SC3_PA7_DATA_FIFO_EOP_RD", "value": 477},
2541 {"name": "PH_SC3_PA7_EOPG_WE", "value": 478},
2542 {"name": "PH_SC3_PA7_DEALLOC_4_0_RD", "value": 479},
2543 {"name": "PH_SC4_SRPS_WINDOW_VALID", "value": 480},
2544 {"name": "PH_SC4_ARB_XFC_ALL_EVENT_OR_PRIM_CYCLES", "value": 481},
2545 {"name": "PH_SC4_ARB_XFC_ONLY_PRIM_CYCLES", "value": 482},
2546 {"name": "PH_SC4_ARB_XFC_ONLY_ONE_INC_PER_PRIM", "value": 483},
2547 {"name": "PH_SC4_ARB_STALLED_FROM_BELOW", "value": 484},
2548 {"name": "PH_SC4_ARB_STARVED_FROM_ABOVE", "value": 485},
2549 {"name": "PH_SC4_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_NOT_EMPTY", "value": 486},
2550 {"name": "PH_SC4_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_FULL", "value": 487},
2551 {"name": "PH_SC4_ARB_BUSY", "value": 488},
2552 {"name": "PH_SC4_ARB_PA_BUSY_SOP", "value": 489},
2553 {"name": "PH_SC4_ARB_EOP_POP_SYNC_POP", "value": 490},
2554 {"name": "PH_SC4_ARB_EVENT_SYNC_POP", "value": 491},
2555 {"name": "PH_SC4_PS_ENG_MULTICYCLE_BUBBLE", "value": 492},
2556 {"name": "PH_SC4_EOP_SYNC_WINDOW", "value": 493},
2557 {"name": "PH_SC4_BUSY_PROCESSING_MULTICYCLE_PRIM", "value": 494},
2558 {"name": "PH_SC4_BUSY_CNT_NOT_ZERO", "value": 495},
2559 {"name": "PH_SC4_SEND", "value": 496},
2560 {"name": "PH_SC4_CREDIT_AT_ZERO_WITH_PENDING_SEND", "value": 497},
2561 {"name": "PH_SC4_CREDIT_AT_MAX", "value": 498},
2562 {"name": "PH_SC4_CREDIT_AT_MAX_NO_PENDING_SEND", "value": 499},
2563 {"name": "PH_SC4_GFX_PIPE_EVENT_PROVOKED_TRANSITION", "value": 500},
2564 {"name": "PH_SC4_GFX_PIPE_EOP_PRIM_PROVOKED_TRANSITION", "value": 501},
2565 {"name": "PH_SC4_GFX_PIPE0_TO_1_TRANSITION", "value": 502},
2566 {"name": "PH_SC4_GFX_PIPE1_TO_0_TRANSITION", "value": 503},
2567 {"name": "PH_SC4_PA0_DATA_FIFO_RD", "value": 504},
2568 {"name": "PH_SC4_PA0_DATA_FIFO_WE", "value": 505},
2569 {"name": "PH_SC4_PA0_FIFO_EMPTY", "value": 506},
2570 {"name": "PH_SC4_PA0_FIFO_FULL", "value": 507},
2571 {"name": "PH_SC4_PA0_NULL_WE", "value": 508},
2572 {"name": "PH_SC4_PA0_EVENT_WE", "value": 509},
2573 {"name": "PH_SC4_PA0_FPOV_WE", "value": 510},
2574 {"name": "PH_SC4_PA0_LPOV_WE", "value": 511},
2575 {"name": "PH_SC4_PA0_EOP_WE", "value": 512},
2576 {"name": "PH_SC4_PA0_DATA_FIFO_EOP_RD", "value": 513},
2577 {"name": "PH_SC4_PA0_EOPG_WE", "value": 514},
2578 {"name": "PH_SC4_PA0_DEALLOC_4_0_RD", "value": 515},
2579 {"name": "PH_SC4_PA1_DATA_FIFO_RD", "value": 516},
2580 {"name": "PH_SC4_PA1_DATA_FIFO_WE", "value": 517},
2581 {"name": "PH_SC4_PA1_FIFO_EMPTY", "value": 518},
2582 {"name": "PH_SC4_PA1_FIFO_FULL", "value": 519},
2583 {"name": "PH_SC4_PA1_NULL_WE", "value": 520},
2584 {"name": "PH_SC4_PA1_EVENT_WE", "value": 521},
2585 {"name": "PH_SC4_PA1_FPOV_WE", "value": 522},
2586 {"name": "PH_SC4_PA1_LPOV_WE", "value": 523},
2587 {"name": "PH_SC4_PA1_EOP_WE", "value": 524},
2588 {"name": "PH_SC4_PA1_DATA_FIFO_EOP_RD", "value": 525},
2589 {"name": "PH_SC4_PA1_EOPG_WE", "value": 526},
2590 {"name": "PH_SC4_PA1_DEALLOC_4_0_RD", "value": 527},
2591 {"name": "PH_SC4_PA2_DATA_FIFO_RD", "value": 528},
2592 {"name": "PH_SC4_PA2_DATA_FIFO_WE", "value": 529},
2593 {"name": "PH_SC4_PA2_FIFO_EMPTY", "value": 530},
2594 {"name": "PH_SC4_PA2_FIFO_FULL", "value": 531},
2595 {"name": "PH_SC4_PA2_NULL_WE", "value": 532},
2596 {"name": "PH_SC4_PA2_EVENT_WE", "value": 533},
2597 {"name": "PH_SC4_PA2_FPOV_WE", "value": 534},
2598 {"name": "PH_SC4_PA2_LPOV_WE", "value": 535},
2599 {"name": "PH_SC4_PA2_EOP_WE", "value": 536},
2600 {"name": "PH_SC4_PA2_DATA_FIFO_EOP_RD", "value": 537},
2601 {"name": "PH_SC4_PA2_EOPG_WE", "value": 538},
2602 {"name": "PH_SC4_PA2_DEALLOC_4_0_RD", "value": 539},
2603 {"name": "PH_SC4_PA3_DATA_FIFO_RD", "value": 540},
2604 {"name": "PH_SC4_PA3_DATA_FIFO_WE", "value": 541},
2605 {"name": "PH_SC4_PA3_FIFO_EMPTY", "value": 542},
2606 {"name": "PH_SC4_PA3_FIFO_FULL", "value": 543},
2607 {"name": "PH_SC4_PA3_NULL_WE", "value": 544},
2608 {"name": "PH_SC4_PA3_EVENT_WE", "value": 545},
2609 {"name": "PH_SC4_PA3_FPOV_WE", "value": 546},
2610 {"name": "PH_SC4_PA3_LPOV_WE", "value": 547},
2611 {"name": "PH_SC4_PA3_EOP_WE", "value": 548},
2612 {"name": "PH_SC4_PA3_DATA_FIFO_EOP_RD", "value": 549},
2613 {"name": "PH_SC4_PA3_EOPG_WE", "value": 550},
2614 {"name": "PH_SC4_PA3_DEALLOC_4_0_RD", "value": 551},
2615 {"name": "PH_SC4_PA4_DATA_FIFO_RD", "value": 552},
2616 {"name": "PH_SC4_PA4_DATA_FIFO_WE", "value": 553},
2617 {"name": "PH_SC4_PA4_FIFO_EMPTY", "value": 554},
2618 {"name": "PH_SC4_PA4_FIFO_FULL", "value": 555},
2619 {"name": "PH_SC4_PA4_NULL_WE", "value": 556},
2620 {"name": "PH_SC4_PA4_EVENT_WE", "value": 557},
2621 {"name": "PH_SC4_PA4_FPOV_WE", "value": 558},
2622 {"name": "PH_SC4_PA4_LPOV_WE", "value": 559},
2623 {"name": "PH_SC4_PA4_EOP_WE", "value": 560},
2624 {"name": "PH_SC4_PA4_DATA_FIFO_EOP_RD", "value": 561},
2625 {"name": "PH_SC4_PA4_EOPG_WE", "value": 562},
2626 {"name": "PH_SC4_PA4_DEALLOC_4_0_RD", "value": 563},
2627 {"name": "PH_SC4_PA5_DATA_FIFO_RD", "value": 564},
2628 {"name": "PH_SC4_PA5_DATA_FIFO_WE", "value": 565},
2629 {"name": "PH_SC4_PA5_FIFO_EMPTY", "value": 566},
2630 {"name": "PH_SC4_PA5_FIFO_FULL", "value": 567},
2631 {"name": "PH_SC4_PA5_NULL_WE", "value": 568},
2632 {"name": "PH_SC4_PA5_EVENT_WE", "value": 569},
2633 {"name": "PH_SC4_PA5_FPOV_WE", "value": 570},
2634 {"name": "PH_SC4_PA5_LPOV_WE", "value": 571},
2635 {"name": "PH_SC4_PA5_EOP_WE", "value": 572},
2636 {"name": "PH_SC4_PA5_DATA_FIFO_EOP_RD", "value": 573},
2637 {"name": "PH_SC4_PA5_EOPG_WE", "value": 574},
2638 {"name": "PH_SC4_PA5_DEALLOC_4_0_RD", "value": 575},
2639 {"name": "PH_SC4_PA6_DATA_FIFO_RD", "value": 576},
2640 {"name": "PH_SC4_PA6_DATA_FIFO_WE", "value": 577},
2641 {"name": "PH_SC4_PA6_FIFO_EMPTY", "value": 578},
2642 {"name": "PH_SC4_PA6_FIFO_FULL", "value": 579},
2643 {"name": "PH_SC4_PA6_NULL_WE", "value": 580},
2644 {"name": "PH_SC4_PA6_EVENT_WE", "value": 581},
2645 {"name": "PH_SC4_PA6_FPOV_WE", "value": 582},
2646 {"name": "PH_SC4_PA6_LPOV_WE", "value": 583},
2647 {"name": "PH_SC4_PA6_EOP_WE", "value": 584},
2648 {"name": "PH_SC4_PA6_DATA_FIFO_EOP_RD", "value": 585},
2649 {"name": "PH_SC4_PA6_EOPG_WE", "value": 586},
2650 {"name": "PH_SC4_PA6_DEALLOC_4_0_RD", "value": 587},
2651 {"name": "PH_SC4_PA7_DATA_FIFO_RD", "value": 588},
2652 {"name": "PH_SC4_PA7_DATA_FIFO_WE", "value": 589},
2653 {"name": "PH_SC4_PA7_FIFO_EMPTY", "value": 590},
2654 {"name": "PH_SC4_PA7_FIFO_FULL", "value": 591},
2655 {"name": "PH_SC4_PA7_NULL_WE", "value": 592},
2656 {"name": "PH_SC4_PA7_EVENT_WE", "value": 593},
2657 {"name": "PH_SC4_PA7_FPOV_WE", "value": 594},
2658 {"name": "PH_SC4_PA7_LPOV_WE", "value": 595},
2659 {"name": "PH_SC4_PA7_EOP_WE", "value": 596},
2660 {"name": "PH_SC4_PA7_DATA_FIFO_EOP_RD", "value": 597},
2661 {"name": "PH_SC4_PA7_EOPG_WE", "value": 598},
2662 {"name": "PH_SC4_PA7_DEALLOC_4_0_RD", "value": 599},
2663 {"name": "PH_SC5_SRPS_WINDOW_VALID", "value": 600},
2664 {"name": "PH_SC5_ARB_XFC_ALL_EVENT_OR_PRIM_CYCLES", "value": 601},
2665 {"name": "PH_SC5_ARB_XFC_ONLY_PRIM_CYCLES", "value": 602},
2666 {"name": "PH_SC5_ARB_XFC_ONLY_ONE_INC_PER_PRIM", "value": 603},
2667 {"name": "PH_SC5_ARB_STALLED_FROM_BELOW", "value": 604},
2668 {"name": "PH_SC5_ARB_STARVED_FROM_ABOVE", "value": 605},
2669 {"name": "PH_SC5_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_NOT_EMPTY", "value": 606},
2670 {"name": "PH_SC5_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_FULL", "value": 607},
2671 {"name": "PH_SC5_ARB_BUSY", "value": 608},
2672 {"name": "PH_SC5_ARB_PA_BUSY_SOP", "value": 609},
2673 {"name": "PH_SC5_ARB_EOP_POP_SYNC_POP", "value": 610},
2674 {"name": "PH_SC5_ARB_EVENT_SYNC_POP", "value": 611},
2675 {"name": "PH_SC5_PS_ENG_MULTICYCLE_BUBBLE", "value": 612},
2676 {"name": "PH_SC5_EOP_SYNC_WINDOW", "value": 613},
2677 {"name": "PH_SC5_BUSY_PROCESSING_MULTICYCLE_PRIM", "value": 614},
2678 {"name": "PH_SC5_BUSY_CNT_NOT_ZERO", "value": 615},
2679 {"name": "PH_SC5_SEND", "value": 616},
2680 {"name": "PH_SC5_CREDIT_AT_ZERO_WITH_PENDING_SEND", "value": 617},
2681 {"name": "PH_SC5_CREDIT_AT_MAX", "value": 618},
2682 {"name": "PH_SC5_CREDIT_AT_MAX_NO_PENDING_SEND", "value": 619},
2683 {"name": "PH_SC5_GFX_PIPE_EVENT_PROVOKED_TRANSITION", "value": 620},
2684 {"name": "PH_SC5_GFX_PIPE_EOP_PRIM_PROVOKED_TRANSITION", "value": 621},
2685 {"name": "PH_SC5_GFX_PIPE0_TO_1_TRANSITION", "value": 622},
2686 {"name": "PH_SC5_GFX_PIPE1_TO_0_TRANSITION", "value": 623},
2687 {"name": "PH_SC5_PA0_DATA_FIFO_RD", "value": 624},
2688 {"name": "PH_SC5_PA0_DATA_FIFO_WE", "value": 625},
2689 {"name": "PH_SC5_PA0_FIFO_EMPTY", "value": 626},
2690 {"name": "PH_SC5_PA0_FIFO_FULL", "value": 627},
2691 {"name": "PH_SC5_PA0_NULL_WE", "value": 628},
2692 {"name": "PH_SC5_PA0_EVENT_WE", "value": 629},
2693 {"name": "PH_SC5_PA0_FPOV_WE", "value": 630},
2694 {"name": "PH_SC5_PA0_LPOV_WE", "value": 631},
2695 {"name": "PH_SC5_PA0_EOP_WE", "value": 632},
2696 {"name": "PH_SC5_PA0_DATA_FIFO_EOP_RD", "value": 633},
2697 {"name": "PH_SC5_PA0_EOPG_WE", "value": 634},
2698 {"name": "PH_SC5_PA0_DEALLOC_4_0_RD", "value": 635},
2699 {"name": "PH_SC5_PA1_DATA_FIFO_RD", "value": 636},
2700 {"name": "PH_SC5_PA1_DATA_FIFO_WE", "value": 637},
2701 {"name": "PH_SC5_PA1_FIFO_EMPTY", "value": 638},
2702 {"name": "PH_SC5_PA1_FIFO_FULL", "value": 639},
2703 {"name": "PH_SC5_PA1_NULL_WE", "value": 640},
2704 {"name": "PH_SC5_PA1_EVENT_WE", "value": 641},
2705 {"name": "PH_SC5_PA1_FPOV_WE", "value": 642},
2706 {"name": "PH_SC5_PA1_LPOV_WE", "value": 643},
2707 {"name": "PH_SC5_PA1_EOP_WE", "value": 644},
2708 {"name": "PH_SC5_PA1_DATA_FIFO_EOP_RD", "value": 645},
2709 {"name": "PH_SC5_PA1_EOPG_WE", "value": 646},
2710 {"name": "PH_SC5_PA1_DEALLOC_4_0_RD", "value": 647},
2711 {"name": "PH_SC5_PA2_DATA_FIFO_RD", "value": 648},
2712 {"name": "PH_SC5_PA2_DATA_FIFO_WE", "value": 649},
2713 {"name": "PH_SC5_PA2_FIFO_EMPTY", "value": 650},
2714 {"name": "PH_SC5_PA2_FIFO_FULL", "value": 651},
2715 {"name": "PH_SC5_PA2_NULL_WE", "value": 652},
2716 {"name": "PH_SC5_PA2_EVENT_WE", "value": 653},
2717 {"name": "PH_SC5_PA2_FPOV_WE", "value": 654},
2718 {"name": "PH_SC5_PA2_LPOV_WE", "value": 655},
2719 {"name": "PH_SC5_PA2_EOP_WE", "value": 656},
2720 {"name": "PH_SC5_PA2_DATA_FIFO_EOP_RD", "value": 657},
2721 {"name": "PH_SC5_PA2_EOPG_WE", "value": 658},
2722 {"name": "PH_SC5_PA2_DEALLOC_4_0_RD", "value": 659},
2723 {"name": "PH_SC5_PA3_DATA_FIFO_RD", "value": 660},
2724 {"name": "PH_SC5_PA3_DATA_FIFO_WE", "value": 661},
2725 {"name": "PH_SC5_PA3_FIFO_EMPTY", "value": 662},
2726 {"name": "PH_SC5_PA3_FIFO_FULL", "value": 663},
2727 {"name": "PH_SC5_PA3_NULL_WE", "value": 664},
2728 {"name": "PH_SC5_PA3_EVENT_WE", "value": 665},
2729 {"name": "PH_SC5_PA3_FPOV_WE", "value": 666},
2730 {"name": "PH_SC5_PA3_LPOV_WE", "value": 667},
2731 {"name": "PH_SC5_PA3_EOP_WE", "value": 668},
2732 {"name": "PH_SC5_PA3_DATA_FIFO_EOP_RD", "value": 669},
2733 {"name": "PH_SC5_PA3_EOPG_WE", "value": 670},
2734 {"name": "PH_SC5_PA3_DEALLOC_4_0_RD", "value": 671},
2735 {"name": "PH_SC5_PA4_DATA_FIFO_RD", "value": 672},
2736 {"name": "PH_SC5_PA4_DATA_FIFO_WE", "value": 673},
2737 {"name": "PH_SC5_PA4_FIFO_EMPTY", "value": 674},
2738 {"name": "PH_SC5_PA4_FIFO_FULL", "value": 675},
2739 {"name": "PH_SC5_PA4_NULL_WE", "value": 676},
2740 {"name": "PH_SC5_PA4_EVENT_WE", "value": 677},
2741 {"name": "PH_SC5_PA4_FPOV_WE", "value": 678},
2742 {"name": "PH_SC5_PA4_LPOV_WE", "value": 679},
2743 {"name": "PH_SC5_PA4_EOP_WE", "value": 680},
2744 {"name": "PH_SC5_PA4_DATA_FIFO_EOP_RD", "value": 681},
2745 {"name": "PH_SC5_PA4_EOPG_WE", "value": 682},
2746 {"name": "PH_SC5_PA4_DEALLOC_4_0_RD", "value": 683},
2747 {"name": "PH_SC5_PA5_DATA_FIFO_RD", "value": 684},
2748 {"name": "PH_SC5_PA5_DATA_FIFO_WE", "value": 685},
2749 {"name": "PH_SC5_PA5_FIFO_EMPTY", "value": 686},
2750 {"name": "PH_SC5_PA5_FIFO_FULL", "value": 687},
2751 {"name": "PH_SC5_PA5_NULL_WE", "value": 688},
2752 {"name": "PH_SC5_PA5_EVENT_WE", "value": 689},
2753 {"name": "PH_SC5_PA5_FPOV_WE", "value": 690},
2754 {"name": "PH_SC5_PA5_LPOV_WE", "value": 691},
2755 {"name": "PH_SC5_PA5_EOP_WE", "value": 692},
2756 {"name": "PH_SC5_PA5_DATA_FIFO_EOP_RD", "value": 693},
2757 {"name": "PH_SC5_PA5_EOPG_WE", "value": 694},
2758 {"name": "PH_SC5_PA5_DEALLOC_4_0_RD", "value": 695},
2759 {"name": "PH_SC5_PA6_DATA_FIFO_RD", "value": 696},
2760 {"name": "PH_SC5_PA6_DATA_FIFO_WE", "value": 697},
2761 {"name": "PH_SC5_PA6_FIFO_EMPTY", "value": 698},
2762 {"name": "PH_SC5_PA6_FIFO_FULL", "value": 699},
2763 {"name": "PH_SC5_PA6_NULL_WE", "value": 700},
2764 {"name": "PH_SC5_PA6_EVENT_WE", "value": 701},
2765 {"name": "PH_SC5_PA6_FPOV_WE", "value": 702},
2766 {"name": "PH_SC5_PA6_LPOV_WE", "value": 703},
2767 {"name": "PH_SC5_PA6_EOP_WE", "value": 704},
2768 {"name": "PH_SC5_PA6_DATA_FIFO_EOP_RD", "value": 705},
2769 {"name": "PH_SC5_PA6_EOPG_WE", "value": 706},
2770 {"name": "PH_SC5_PA6_DEALLOC_4_0_RD", "value": 707},
2771 {"name": "PH_SC5_PA7_DATA_FIFO_RD", "value": 708},
2772 {"name": "PH_SC5_PA7_DATA_FIFO_WE", "value": 709},
2773 {"name": "PH_SC5_PA7_FIFO_EMPTY", "value": 710},
2774 {"name": "PH_SC5_PA7_FIFO_FULL", "value": 711},
2775 {"name": "PH_SC5_PA7_NULL_WE", "value": 712},
2776 {"name": "PH_SC5_PA7_EVENT_WE", "value": 713},
2777 {"name": "PH_SC5_PA7_FPOV_WE", "value": 714},
2778 {"name": "PH_SC5_PA7_LPOV_WE", "value": 715},
2779 {"name": "PH_SC5_PA7_EOP_WE", "value": 716},
2780 {"name": "PH_SC5_PA7_DATA_FIFO_EOP_RD", "value": 717},
2781 {"name": "PH_SC5_PA7_EOPG_WE", "value": 718},
2782 {"name": "PH_SC5_PA7_DEALLOC_4_0_RD", "value": 719},
2783 {"name": "PH_SC6_SRPS_WINDOW_VALID", "value": 720},
2784 {"name": "PH_SC6_ARB_XFC_ALL_EVENT_OR_PRIM_CYCLES", "value": 721},
2785 {"name": "PH_SC6_ARB_XFC_ONLY_PRIM_CYCLES", "value": 722},
2786 {"name": "PH_SC6_ARB_XFC_ONLY_ONE_INC_PER_PRIM", "value": 723},
2787 {"name": "PH_SC6_ARB_STALLED_FROM_BELOW", "value": 724},
2788 {"name": "PH_SC6_ARB_STARVED_FROM_ABOVE", "value": 725},
2789 {"name": "PH_SC6_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_NOT_EMPTY", "value": 726},
2790 {"name": "PH_SC6_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_FULL", "value": 727},
2791 {"name": "PH_SC6_ARB_BUSY", "value": 728},
2792 {"name": "PH_SC6_ARB_PA_BUSY_SOP", "value": 729},
2793 {"name": "PH_SC6_ARB_EOP_POP_SYNC_POP", "value": 730},
2794 {"name": "PH_SC6_ARB_EVENT_SYNC_POP", "value": 731},
2795 {"name": "PH_SC6_PS_ENG_MULTICYCLE_BUBBLE", "value": 732},
2796 {"name": "PH_SC6_EOP_SYNC_WINDOW", "value": 733},
2797 {"name": "PH_SC6_BUSY_PROCESSING_MULTICYCLE_PRIM", "value": 734},
2798 {"name": "PH_SC6_BUSY_CNT_NOT_ZERO", "value": 735},
2799 {"name": "PH_SC6_SEND", "value": 736},
2800 {"name": "PH_SC6_CREDIT_AT_ZERO_WITH_PENDING_SEND", "value": 737},
2801 {"name": "PH_SC6_CREDIT_AT_MAX", "value": 738},
2802 {"name": "PH_SC6_CREDIT_AT_MAX_NO_PENDING_SEND", "value": 739},
2803 {"name": "PH_SC6_GFX_PIPE_EVENT_PROVOKED_TRANSITION", "value": 740},
2804 {"name": "PH_SC6_GFX_PIPE_EOP_PRIM_PROVOKED_TRANSITION", "value": 741},
2805 {"name": "PH_SC6_GFX_PIPE0_TO_1_TRANSITION", "value": 742},
2806 {"name": "PH_SC6_GFX_PIPE1_TO_0_TRANSITION", "value": 743},
2807 {"name": "PH_SC6_PA0_DATA_FIFO_RD", "value": 744},
2808 {"name": "PH_SC6_PA0_DATA_FIFO_WE", "value": 745},
2809 {"name": "PH_SC6_PA0_FIFO_EMPTY", "value": 746},
2810 {"name": "PH_SC6_PA0_FIFO_FULL", "value": 747},
2811 {"name": "PH_SC6_PA0_NULL_WE", "value": 748},
2812 {"name": "PH_SC6_PA0_EVENT_WE", "value": 749},
2813 {"name": "PH_SC6_PA0_FPOV_WE", "value": 750},
2814 {"name": "PH_SC6_PA0_LPOV_WE", "value": 751},
2815 {"name": "PH_SC6_PA0_EOP_WE", "value": 752},
2816 {"name": "PH_SC6_PA0_DATA_FIFO_EOP_RD", "value": 753},
2817 {"name": "PH_SC6_PA0_EOPG_WE", "value": 754},
2818 {"name": "PH_SC6_PA0_DEALLOC_4_0_RD", "value": 755},
2819 {"name": "PH_SC6_PA1_DATA_FIFO_RD", "value": 756},
2820 {"name": "PH_SC6_PA1_DATA_FIFO_WE", "value": 757},
2821 {"name": "PH_SC6_PA1_FIFO_EMPTY", "value": 758},
2822 {"name": "PH_SC6_PA1_FIFO_FULL", "value": 759},
2823 {"name": "PH_SC6_PA1_NULL_WE", "value": 760},
2824 {"name": "PH_SC6_PA1_EVENT_WE", "value": 761},
2825 {"name": "PH_SC6_PA1_FPOV_WE", "value": 762},
2826 {"name": "PH_SC6_PA1_LPOV_WE", "value": 763},
2827 {"name": "PH_SC6_PA1_EOP_WE", "value": 764},
2828 {"name": "PH_SC6_PA1_DATA_FIFO_EOP_RD", "value": 765},
2829 {"name": "PH_SC6_PA1_EOPG_WE", "value": 766},
2830 {"name": "PH_SC6_PA1_DEALLOC_4_0_RD", "value": 767},
2831 {"name": "PH_SC6_PA2_DATA_FIFO_RD", "value": 768},
2832 {"name": "PH_SC6_PA2_DATA_FIFO_WE", "value": 769},
2833 {"name": "PH_SC6_PA2_FIFO_EMPTY", "value": 770},
2834 {"name": "PH_SC6_PA2_FIFO_FULL", "value": 771},
2835 {"name": "PH_SC6_PA2_NULL_WE", "value": 772},
2836 {"name": "PH_SC6_PA2_EVENT_WE", "value": 773},
2837 {"name": "PH_SC6_PA2_FPOV_WE", "value": 774},
2838 {"name": "PH_SC6_PA2_LPOV_WE", "value": 775},
2839 {"name": "PH_SC6_PA2_EOP_WE", "value": 776},
2840 {"name": "PH_SC6_PA2_DATA_FIFO_EOP_RD", "value": 777},
2841 {"name": "PH_SC6_PA2_EOPG_WE", "value": 778},
2842 {"name": "PH_SC6_PA2_DEALLOC_4_0_RD", "value": 779},
2843 {"name": "PH_SC6_PA3_DATA_FIFO_RD", "value": 780},
2844 {"name": "PH_SC6_PA3_DATA_FIFO_WE", "value": 781},
2845 {"name": "PH_SC6_PA3_FIFO_EMPTY", "value": 782},
2846 {"name": "PH_SC6_PA3_FIFO_FULL", "value": 783},
2847 {"name": "PH_SC6_PA3_NULL_WE", "value": 784},
2848 {"name": "PH_SC6_PA3_EVENT_WE", "value": 785},
2849 {"name": "PH_SC6_PA3_FPOV_WE", "value": 786},
2850 {"name": "PH_SC6_PA3_LPOV_WE", "value": 787},
2851 {"name": "PH_SC6_PA3_EOP_WE", "value": 788},
2852 {"name": "PH_SC6_PA3_DATA_FIFO_EOP_RD", "value": 789},
2853 {"name": "PH_SC6_PA3_EOPG_WE", "value": 790},
2854 {"name": "PH_SC6_PA3_DEALLOC_4_0_RD", "value": 791},
2855 {"name": "PH_SC6_PA4_DATA_FIFO_RD", "value": 792},
2856 {"name": "PH_SC6_PA4_DATA_FIFO_WE", "value": 793},
2857 {"name": "PH_SC6_PA4_FIFO_EMPTY", "value": 794},
2858 {"name": "PH_SC6_PA4_FIFO_FULL", "value": 795},
2859 {"name": "PH_SC6_PA4_NULL_WE", "value": 796},
2860 {"name": "PH_SC6_PA4_EVENT_WE", "value": 797},
2861 {"name": "PH_SC6_PA4_FPOV_WE", "value": 798},
2862 {"name": "PH_SC6_PA4_LPOV_WE", "value": 799},
2863 {"name": "PH_SC6_PA4_EOP_WE", "value": 800},
2864 {"name": "PH_SC6_PA4_DATA_FIFO_EOP_RD", "value": 801},
2865 {"name": "PH_SC6_PA4_EOPG_WE", "value": 802},
2866 {"name": "PH_SC6_PA4_DEALLOC_4_0_RD", "value": 803},
2867 {"name": "PH_SC6_PA5_DATA_FIFO_RD", "value": 804},
2868 {"name": "PH_SC6_PA5_DATA_FIFO_WE", "value": 805},
2869 {"name": "PH_SC6_PA5_FIFO_EMPTY", "value": 806},
2870 {"name": "PH_SC6_PA5_FIFO_FULL", "value": 807},
2871 {"name": "PH_SC6_PA5_NULL_WE", "value": 808},
2872 {"name": "PH_SC6_PA5_EVENT_WE", "value": 809},
2873 {"name": "PH_SC6_PA5_FPOV_WE", "value": 810},
2874 {"name": "PH_SC6_PA5_LPOV_WE", "value": 811},
2875 {"name": "PH_SC6_PA5_EOP_WE", "value": 812},
2876 {"name": "PH_SC6_PA5_DATA_FIFO_EOP_RD", "value": 813},
2877 {"name": "PH_SC6_PA5_EOPG_WE", "value": 814},
2878 {"name": "PH_SC6_PA5_DEALLOC_4_0_RD", "value": 815},
2879 {"name": "PH_SC6_PA6_DATA_FIFO_RD", "value": 816},
2880 {"name": "PH_SC6_PA6_DATA_FIFO_WE", "value": 817},
2881 {"name": "PH_SC6_PA6_FIFO_EMPTY", "value": 818},
2882 {"name": "PH_SC6_PA6_FIFO_FULL", "value": 819},
2883 {"name": "PH_SC6_PA6_NULL_WE", "value": 820},
2884 {"name": "PH_SC6_PA6_EVENT_WE", "value": 821},
2885 {"name": "PH_SC6_PA6_FPOV_WE", "value": 822},
2886 {"name": "PH_SC6_PA6_LPOV_WE", "value": 823},
2887 {"name": "PH_SC6_PA6_EOP_WE", "value": 824},
2888 {"name": "PH_SC6_PA6_DATA_FIFO_EOP_RD", "value": 825},
2889 {"name": "PH_SC6_PA6_EOPG_WE", "value": 826},
2890 {"name": "PH_SC6_PA6_DEALLOC_4_0_RD", "value": 827},
2891 {"name": "PH_SC6_PA7_DATA_FIFO_RD", "value": 828},
2892 {"name": "PH_SC6_PA7_DATA_FIFO_WE", "value": 829},
2893 {"name": "PH_SC6_PA7_FIFO_EMPTY", "value": 830},
2894 {"name": "PH_SC6_PA7_FIFO_FULL", "value": 831},
2895 {"name": "PH_SC6_PA7_NULL_WE", "value": 832},
2896 {"name": "PH_SC6_PA7_EVENT_WE", "value": 833},
2897 {"name": "PH_SC6_PA7_FPOV_WE", "value": 834},
2898 {"name": "PH_SC6_PA7_LPOV_WE", "value": 835},
2899 {"name": "PH_SC6_PA7_EOP_WE", "value": 836},
2900 {"name": "PH_SC6_PA7_DATA_FIFO_EOP_RD", "value": 837},
2901 {"name": "PH_SC6_PA7_EOPG_WE", "value": 838},
2902 {"name": "PH_SC6_PA7_DEALLOC_4_0_RD", "value": 839},
2903 {"name": "PH_SC7_SRPS_WINDOW_VALID", "value": 840},
2904 {"name": "PH_SC7_ARB_XFC_ALL_EVENT_OR_PRIM_CYCLES", "value": 841},
2905 {"name": "PH_SC7_ARB_XFC_ONLY_PRIM_CYCLES", "value": 842},
2906 {"name": "PH_SC7_ARB_XFC_ONLY_ONE_INC_PER_PRIM", "value": 843},
2907 {"name": "PH_SC7_ARB_STALLED_FROM_BELOW", "value": 844},
2908 {"name": "PH_SC7_ARB_STARVED_FROM_ABOVE", "value": 845},
2909 {"name": "PH_SC7_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_NOT_EMPTY", "value": 846},
2910 {"name": "PH_SC7_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_FULL", "value": 847},
2911 {"name": "PH_SC7_ARB_BUSY", "value": 848},
2912 {"name": "PH_SC7_ARB_PA_BUSY_SOP", "value": 849},
2913 {"name": "PH_SC7_ARB_EOP_POP_SYNC_POP", "value": 850},
2914 {"name": "PH_SC7_ARB_EVENT_SYNC_POP", "value": 851},
2915 {"name": "PH_SC7_PS_ENG_MULTICYCLE_BUBBLE", "value": 852},
2916 {"name": "PH_SC7_EOP_SYNC_WINDOW", "value": 853},
2917 {"name": "PH_SC7_BUSY_PROCESSING_MULTICYCLE_PRIM", "value": 854},
2918 {"name": "PH_SC7_BUSY_CNT_NOT_ZERO", "value": 855},
2919 {"name": "PH_SC7_SEND", "value": 856},
2920 {"name": "PH_SC7_CREDIT_AT_ZERO_WITH_PENDING_SEND", "value": 857},
2921 {"name": "PH_SC7_CREDIT_AT_MAX", "value": 858},
2922 {"name": "PH_SC7_CREDIT_AT_MAX_NO_PENDING_SEND", "value": 859},
2923 {"name": "PH_SC7_GFX_PIPE_EVENT_PROVOKED_TRANSITION", "value": 860},
2924 {"name": "PH_SC7_GFX_PIPE_EOP_PRIM_PROVOKED_TRANSITION", "value": 861},
2925 {"name": "PH_SC7_GFX_PIPE0_TO_1_TRANSITION", "value": 862},
2926 {"name": "PH_SC7_GFX_PIPE1_TO_0_TRANSITION", "value": 863},
2927 {"name": "PH_SC7_PA0_DATA_FIFO_RD", "value": 864},
2928 {"name": "PH_SC7_PA0_DATA_FIFO_WE", "value": 865},
2929 {"name": "PH_SC7_PA0_FIFO_EMPTY", "value": 866},
2930 {"name": "PH_SC7_PA0_FIFO_FULL", "value": 867},
2931 {"name": "PH_SC7_PA0_NULL_WE", "value": 868},
2932 {"name": "PH_SC7_PA0_EVENT_WE", "value": 869},
2933 {"name": "PH_SC7_PA0_FPOV_WE", "value": 870},
2934 {"name": "PH_SC7_PA0_LPOV_WE", "value": 871},
2935 {"name": "PH_SC7_PA0_EOP_WE", "value": 872},
2936 {"name": "PH_SC7_PA0_DATA_FIFO_EOP_RD", "value": 873},
2937 {"name": "PH_SC7_PA0_EOPG_WE", "value": 874},
2938 {"name": "PH_SC7_PA0_DEALLOC_4_0_RD", "value": 875},
2939 {"name": "PH_SC7_PA1_DATA_FIFO_RD", "value": 876},
2940 {"name": "PH_SC7_PA1_DATA_FIFO_WE", "value": 877},
2941 {"name": "PH_SC7_PA1_FIFO_EMPTY", "value": 878},
2942 {"name": "PH_SC7_PA1_FIFO_FULL", "value": 879},
2943 {"name": "PH_SC7_PA1_NULL_WE", "value": 880},
2944 {"name": "PH_SC7_PA1_EVENT_WE", "value": 881},
2945 {"name": "PH_SC7_PA1_FPOV_WE", "value": 882},
2946 {"name": "PH_SC7_PA1_LPOV_WE", "value": 883},
2947 {"name": "PH_SC7_PA1_EOP_WE", "value": 884},
2948 {"name": "PH_SC7_PA1_DATA_FIFO_EOP_RD", "value": 885},
2949 {"name": "PH_SC7_PA1_EOPG_WE", "value": 886},
2950 {"name": "PH_SC7_PA1_DEALLOC_4_0_RD", "value": 887},
2951 {"name": "PH_SC7_PA2_DATA_FIFO_RD", "value": 888},
2952 {"name": "PH_SC7_PA2_DATA_FIFO_WE", "value": 889},
2953 {"name": "PH_SC7_PA2_FIFO_EMPTY", "value": 890},
2954 {"name": "PH_SC7_PA2_FIFO_FULL", "value": 891},
2955 {"name": "PH_SC7_PA2_NULL_WE", "value": 892},
2956 {"name": "PH_SC7_PA2_EVENT_WE", "value": 893},
2957 {"name": "PH_SC7_PA2_FPOV_WE", "value": 894},
2958 {"name": "PH_SC7_PA2_LPOV_WE", "value": 895},
2959 {"name": "PH_SC7_PA2_EOP_WE", "value": 896},
2960 {"name": "PH_SC7_PA2_DATA_FIFO_EOP_RD", "value": 897},
2961 {"name": "PH_SC7_PA2_EOPG_WE", "value": 898},
2962 {"name": "PH_SC7_PA2_DEALLOC_4_0_RD", "value": 899},
2963 {"name": "PH_SC7_PA3_DATA_FIFO_RD", "value": 900},
2964 {"name": "PH_SC7_PA3_DATA_FIFO_WE", "value": 901},
2965 {"name": "PH_SC7_PA3_FIFO_EMPTY", "value": 902},
2966 {"name": "PH_SC7_PA3_FIFO_FULL", "value": 903},
2967 {"name": "PH_SC7_PA3_NULL_WE", "value": 904},
2968 {"name": "PH_SC7_PA3_EVENT_WE", "value": 905},
2969 {"name": "PH_SC7_PA3_FPOV_WE", "value": 906},
2970 {"name": "PH_SC7_PA3_LPOV_WE", "value": 907},
2971 {"name": "PH_SC7_PA3_EOP_WE", "value": 908},
2972 {"name": "PH_SC7_PA3_DATA_FIFO_EOP_RD", "value": 909},
2973 {"name": "PH_SC7_PA3_EOPG_WE", "value": 910},
2974 {"name": "PH_SC7_PA3_DEALLOC_4_0_RD", "value": 911},
2975 {"name": "PH_SC7_PA4_DATA_FIFO_RD", "value": 912},
2976 {"name": "PH_SC7_PA4_DATA_FIFO_WE", "value": 913},
2977 {"name": "PH_SC7_PA4_FIFO_EMPTY", "value": 914},
2978 {"name": "PH_SC7_PA4_FIFO_FULL", "value": 915},
2979 {"name": "PH_SC7_PA4_NULL_WE", "value": 916},
2980 {"name": "PH_SC7_PA4_EVENT_WE", "value": 917},
2981 {"name": "PH_SC7_PA4_FPOV_WE", "value": 918},
2982 {"name": "PH_SC7_PA4_LPOV_WE", "value": 919},
2983 {"name": "PH_SC7_PA4_EOP_WE", "value": 920},
2984 {"name": "PH_SC7_PA4_DATA_FIFO_EOP_RD", "value": 921},
2985 {"name": "PH_SC7_PA4_EOPG_WE", "value": 922},
2986 {"name": "PH_SC7_PA4_DEALLOC_4_0_RD", "value": 923},
2987 {"name": "PH_SC7_PA5_DATA_FIFO_RD", "value": 924},
2988 {"name": "PH_SC7_PA5_DATA_FIFO_WE", "value": 925},
2989 {"name": "PH_SC7_PA5_FIFO_EMPTY", "value": 926},
2990 {"name": "PH_SC7_PA5_FIFO_FULL", "value": 927},
2991 {"name": "PH_SC7_PA5_NULL_WE", "value": 928},
2992 {"name": "PH_SC7_PA5_EVENT_WE", "value": 929},
2993 {"name": "PH_SC7_PA5_FPOV_WE", "value": 930},
2994 {"name": "PH_SC7_PA5_LPOV_WE", "value": 931},
2995 {"name": "PH_SC7_PA5_EOP_WE", "value": 932},
2996 {"name": "PH_SC7_PA5_DATA_FIFO_EOP_RD", "value": 933},
2997 {"name": "PH_SC7_PA5_EOPG_WE", "value": 934},
2998 {"name": "PH_SC7_PA5_DEALLOC_4_0_RD", "value": 935},
2999 {"name": "PH_SC7_PA6_DATA_FIFO_RD", "value": 936},
3000 {"name": "PH_SC7_PA6_DATA_FIFO_WE", "value": 937},
3001 {"name": "PH_SC7_PA6_FIFO_EMPTY", "value": 938},
3002 {"name": "PH_SC7_PA6_FIFO_FULL", "value": 939},
3003 {"name": "PH_SC7_PA6_NULL_WE", "value": 940},
3004 {"name": "PH_SC7_PA6_EVENT_WE", "value": 941},
3005 {"name": "PH_SC7_PA6_FPOV_WE", "value": 942},
3006 {"name": "PH_SC7_PA6_LPOV_WE", "value": 943},
3007 {"name": "PH_SC7_PA6_EOP_WE", "value": 944},
3008 {"name": "PH_SC7_PA6_DATA_FIFO_EOP_RD", "value": 945},
3009 {"name": "PH_SC7_PA6_EOPG_WE", "value": 946},
3010 {"name": "PH_SC7_PA6_DEALLOC_4_0_RD", "value": 947},
3011 {"name": "PH_SC7_PA7_DATA_FIFO_RD", "value": 948},
3012 {"name": "PH_SC7_PA7_DATA_FIFO_WE", "value": 949},
3013 {"name": "PH_SC7_PA7_FIFO_EMPTY", "value": 950},
3014 {"name": "PH_SC7_PA7_FIFO_FULL", "value": 951},
3015 {"name": "PH_SC7_PA7_NULL_WE", "value": 952},
3016 {"name": "PH_SC7_PA7_EVENT_WE", "value": 953},
3017 {"name": "PH_SC7_PA7_FPOV_WE", "value": 954},
3018 {"name": "PH_SC7_PA7_LPOV_WE", "value": 955},
3019 {"name": "PH_SC7_PA7_EOP_WE", "value": 956},
3020 {"name": "PH_SC7_PA7_DATA_FIFO_EOP_RD", "value": 957},
3021 {"name": "PH_SC7_PA7_EOPG_WE", "value": 958},
3022 {"name": "PH_SC7_PA7_DEALLOC_4_0_RD", "value": 959}
3023 ]
3024 },
3025 "PerfCounter_Vals": {
3026 "entries": [
3027 {"name": "DB_PERF_SEL_SC_DB_tile_sends", "value": 0},
3028 {"name": "DB_PERF_SEL_SC_DB_tile_busy", "value": 1},
3029 {"name": "DB_PERF_SEL_SC_DB_tile_stalls", "value": 2},
3030 {"name": "DB_PERF_SEL_SC_DB_tile_events", "value": 3},
3031 {"name": "DB_PERF_SEL_SC_DB_tile_tiles", "value": 4},
3032 {"name": "DB_PERF_SEL_SC_DB_tile_covered", "value": 5},
3033 {"name": "DB_PERF_SEL_hiz_tc_read_starved", "value": 6},
3034 {"name": "DB_PERF_SEL_hiz_tc_write_stall", "value": 7},
3035 {"name": "DB_PERF_SEL_hiz_tile_culled", "value": 8},
3036 {"name": "DB_PERF_SEL_his_tile_culled", "value": 9},
3037 {"name": "DB_PERF_SEL_DB_SC_tile_sends", "value": 10},
3038 {"name": "DB_PERF_SEL_DB_SC_tile_busy", "value": 11},
3039 {"name": "DB_PERF_SEL_DB_SC_tile_stalls", "value": 12},
3040 {"name": "DB_PERF_SEL_DB_SC_tile_df_stalls", "value": 13},
3041 {"name": "DB_PERF_SEL_DB_SC_tile_tiles", "value": 14},
3042 {"name": "DB_PERF_SEL_DB_SC_tile_culled", "value": 15},
3043 {"name": "DB_PERF_SEL_DB_SC_tile_hier_kill", "value": 16},
3044 {"name": "DB_PERF_SEL_DB_SC_tile_fast_ops", "value": 17},
3045 {"name": "DB_PERF_SEL_DB_SC_tile_no_ops", "value": 18},
3046 {"name": "DB_PERF_SEL_DB_SC_tile_tile_rate", "value": 19},
3047 {"name": "DB_PERF_SEL_DB_SC_tile_ssaa_kill", "value": 20},
3048 {"name": "DB_PERF_SEL_DB_SC_tile_fast_z_ops", "value": 21},
3049 {"name": "DB_PERF_SEL_DB_SC_tile_fast_stencil_ops", "value": 22},
3050 {"name": "DB_PERF_SEL_SC_DB_quad_sends", "value": 23},
3051 {"name": "DB_PERF_SEL_SC_DB_quad_busy", "value": 24},
3052 {"name": "DB_PERF_SEL_SC_DB_quad_squads", "value": 25},
3053 {"name": "DB_PERF_SEL_SC_DB_quad_tiles", "value": 26},
3054 {"name": "DB_PERF_SEL_SC_DB_quad_pixels", "value": 27},
3055 {"name": "DB_PERF_SEL_SC_DB_quad_killed_tiles", "value": 28},
3056 {"name": "DB_PERF_SEL_DB_SC_quad_sends", "value": 29},
3057 {"name": "DB_PERF_SEL_DB_SC_quad_busy", "value": 30},
3058 {"name": "DB_PERF_SEL_DB_SC_quad_stalls", "value": 31},
3059 {"name": "DB_PERF_SEL_DB_SC_quad_tiles", "value": 32},
3060 {"name": "DB_PERF_SEL_DB_SC_quad_lit_quad", "value": 33},
3061 {"name": "DB_PERF_SEL_DB_CB_tile_sends", "value": 34},
3062 {"name": "DB_PERF_SEL_DB_CB_tile_busy", "value": 35},
3063 {"name": "DB_PERF_SEL_DB_CB_tile_stalls", "value": 36},
3064 {"name": "DB_PERF_SEL_SX_DB_quad_sends", "value": 37},
3065 {"name": "DB_PERF_SEL_SX_DB_quad_busy", "value": 38},
3066 {"name": "DB_PERF_SEL_SX_DB_quad_stalls", "value": 39},
3067 {"name": "DB_PERF_SEL_SX_DB_quad_quads", "value": 40},
3068 {"name": "DB_PERF_SEL_SX_DB_quad_pixels", "value": 41},
3069 {"name": "DB_PERF_SEL_SX_DB_quad_exports", "value": 42},
3070 {"name": "DB_PERF_SEL_SH_quads_outstanding_sum", "value": 43},
3071 {"name": "DB_PERF_SEL_DB_CB_lquad_sends", "value": 44},
3072 {"name": "DB_PERF_SEL_DB_CB_lquad_busy", "value": 45},
3073 {"name": "DB_PERF_SEL_DB_CB_lquad_stalls", "value": 46},
3074 {"name": "DB_PERF_SEL_DB_CB_lquad_quads", "value": 47},
3075 {"name": "DB_PERF_SEL_tile_rd_sends", "value": 48},
3076 {"name": "DB_PERF_SEL_mi_tile_rd_outstanding_sum", "value": 49},
3077 {"name": "DB_PERF_SEL_quad_rd_sends", "value": 50},
3078 {"name": "DB_PERF_SEL_quad_rd_busy", "value": 51},
3079 {"name": "DB_PERF_SEL_quad_rd_mi_stall", "value": 52},
3080 {"name": "DB_PERF_SEL_quad_rd_rw_collision", "value": 53},
3081 {"name": "DB_PERF_SEL_quad_rd_tag_stall", "value": 54},
3082 {"name": "DB_PERF_SEL_quad_rd_32byte_reqs", "value": 55},
3083 {"name": "DB_PERF_SEL_quad_rd_panic", "value": 56},
3084 {"name": "DB_PERF_SEL_mi_quad_rd_outstanding_sum", "value": 57},
3085 {"name": "DB_PERF_SEL_quad_rdret_sends", "value": 58},
3086 {"name": "DB_PERF_SEL_quad_rdret_busy", "value": 59},
3087 {"name": "DB_PERF_SEL_tile_wr_sends", "value": 60},
3088 {"name": "DB_PERF_SEL_tile_wr_acks", "value": 61},
3089 {"name": "DB_PERF_SEL_mi_tile_wr_outstanding_sum", "value": 62},
3090 {"name": "DB_PERF_SEL_quad_wr_sends", "value": 63},
3091 {"name": "DB_PERF_SEL_quad_wr_busy", "value": 64},
3092 {"name": "DB_PERF_SEL_quad_wr_mi_stall", "value": 65},
3093 {"name": "DB_PERF_SEL_quad_wr_coherency_stall", "value": 66},
3094 {"name": "DB_PERF_SEL_quad_wr_acks", "value": 67},
3095 {"name": "DB_PERF_SEL_mi_quad_wr_outstanding_sum", "value": 68},
3096 {"name": "DB_PERF_SEL_Tile_Cache_misses", "value": 69},
3097 {"name": "DB_PERF_SEL_Tile_Cache_hits", "value": 70},
3098 {"name": "DB_PERF_SEL_Tile_Cache_flushes", "value": 71},
3099 {"name": "DB_PERF_SEL_Tile_Cache_surface_stall", "value": 72},
3100 {"name": "DB_PERF_SEL_Tile_Cache_starves", "value": 73},
3101 {"name": "DB_PERF_SEL_Tile_Cache_mem_return_starve", "value": 74},
3102 {"name": "DB_PERF_SEL_tcp_dispatcher_reads", "value": 75},
3103 {"name": "DB_PERF_SEL_tcp_prefetcher_reads", "value": 76},
3104 {"name": "DB_PERF_SEL_tcp_preloader_reads", "value": 77},
3105 {"name": "DB_PERF_SEL_tcp_dispatcher_flushes", "value": 78},
3106 {"name": "DB_PERF_SEL_tcp_prefetcher_flushes", "value": 79},
3107 {"name": "DB_PERF_SEL_tcp_preloader_flushes", "value": 80},
3108 {"name": "DB_PERF_SEL_Depth_Tile_Cache_sends", "value": 81},
3109 {"name": "DB_PERF_SEL_Depth_Tile_Cache_busy", "value": 82},
3110 {"name": "DB_PERF_SEL_Depth_Tile_Cache_starves", "value": 83},
3111 {"name": "DB_PERF_SEL_Depth_Tile_Cache_dtile_locked", "value": 84},
3112 {"name": "DB_PERF_SEL_Depth_Tile_Cache_alloc_stall", "value": 85},
3113 {"name": "DB_PERF_SEL_Depth_Tile_Cache_misses", "value": 86},
3114 {"name": "DB_PERF_SEL_Depth_Tile_Cache_hits", "value": 87},
3115 {"name": "DB_PERF_SEL_Depth_Tile_Cache_flushes", "value": 88},
3116 {"name": "DB_PERF_SEL_Depth_Tile_Cache_noop_tile", "value": 89},
3117 {"name": "DB_PERF_SEL_Depth_Tile_Cache_detailed_noop", "value": 90},
3118 {"name": "DB_PERF_SEL_Depth_Tile_Cache_event", "value": 91},
3119 {"name": "DB_PERF_SEL_Depth_Tile_Cache_tile_frees", "value": 92},
3120 {"name": "DB_PERF_SEL_Depth_Tile_Cache_data_frees", "value": 93},
3121 {"name": "DB_PERF_SEL_Depth_Tile_Cache_mem_return_starve", "value": 94},
3122 {"name": "DB_PERF_SEL_Stencil_Cache_misses", "value": 95},
3123 {"name": "DB_PERF_SEL_Stencil_Cache_hits", "value": 96},
3124 {"name": "DB_PERF_SEL_Stencil_Cache_flushes", "value": 97},
3125 {"name": "DB_PERF_SEL_Stencil_Cache_starves", "value": 98},
3126 {"name": "DB_PERF_SEL_Stencil_Cache_frees", "value": 99},
3127 {"name": "DB_PERF_SEL_Z_Cache_separate_Z_misses", "value": 100},
3128 {"name": "DB_PERF_SEL_Z_Cache_separate_Z_hits", "value": 101},
3129 {"name": "DB_PERF_SEL_Z_Cache_separate_Z_flushes", "value": 102},
3130 {"name": "DB_PERF_SEL_Z_Cache_separate_Z_starves", "value": 103},
3131 {"name": "DB_PERF_SEL_Z_Cache_pmask_misses", "value": 104},
3132 {"name": "DB_PERF_SEL_Z_Cache_pmask_hits", "value": 105},
3133 {"name": "DB_PERF_SEL_Z_Cache_pmask_flushes", "value": 106},
3134 {"name": "DB_PERF_SEL_Z_Cache_pmask_starves", "value": 107},
3135 {"name": "DB_PERF_SEL_Z_Cache_frees", "value": 108},
3136 {"name": "DB_PERF_SEL_Plane_Cache_misses", "value": 109},
3137 {"name": "DB_PERF_SEL_Plane_Cache_hits", "value": 110},
3138 {"name": "DB_PERF_SEL_Plane_Cache_flushes", "value": 111},
3139 {"name": "DB_PERF_SEL_Plane_Cache_starves", "value": 112},
3140 {"name": "DB_PERF_SEL_Plane_Cache_frees", "value": 113},
3141 {"name": "DB_PERF_SEL_flush_expanded_stencil", "value": 114},
3142 {"name": "DB_PERF_SEL_flush_compressed_stencil", "value": 115},
3143 {"name": "DB_PERF_SEL_flush_single_stencil", "value": 116},
3144 {"name": "DB_PERF_SEL_planes_flushed", "value": 117},
3145 {"name": "DB_PERF_SEL_flush_1plane", "value": 118},
3146 {"name": "DB_PERF_SEL_flush_2plane", "value": 119},
3147 {"name": "DB_PERF_SEL_flush_3plane", "value": 120},
3148 {"name": "DB_PERF_SEL_flush_4plane", "value": 121},
3149 {"name": "DB_PERF_SEL_flush_5plane", "value": 122},
3150 {"name": "DB_PERF_SEL_flush_6plane", "value": 123},
3151 {"name": "DB_PERF_SEL_flush_7plane", "value": 124},
3152 {"name": "DB_PERF_SEL_flush_8plane", "value": 125},
3153 {"name": "DB_PERF_SEL_flush_9plane", "value": 126},
3154 {"name": "DB_PERF_SEL_flush_10plane", "value": 127},
3155 {"name": "DB_PERF_SEL_flush_11plane", "value": 128},
3156 {"name": "DB_PERF_SEL_flush_12plane", "value": 129},
3157 {"name": "DB_PERF_SEL_flush_13plane", "value": 130},
3158 {"name": "DB_PERF_SEL_flush_14plane", "value": 131},
3159 {"name": "DB_PERF_SEL_flush_15plane", "value": 132},
3160 {"name": "DB_PERF_SEL_flush_16plane", "value": 133},
3161 {"name": "DB_PERF_SEL_flush_expanded_z", "value": 134},
3162 {"name": "DB_PERF_SEL_earlyZ_waiting_for_postZ_done", "value": 135},
3163 {"name": "DB_PERF_SEL_reZ_waiting_for_postZ_done", "value": 136},
3164 {"name": "DB_PERF_SEL_dk_tile_sends", "value": 137},
3165 {"name": "DB_PERF_SEL_dk_tile_busy", "value": 138},
3166 {"name": "DB_PERF_SEL_dk_tile_quad_starves", "value": 139},
3167 {"name": "DB_PERF_SEL_dk_tile_stalls", "value": 140},
3168 {"name": "DB_PERF_SEL_dk_squad_sends", "value": 141},
3169 {"name": "DB_PERF_SEL_dk_squad_busy", "value": 142},
3170 {"name": "DB_PERF_SEL_dk_squad_stalls", "value": 143},
3171 {"name": "DB_PERF_SEL_Op_Pipe_Busy", "value": 144},
3172 {"name": "DB_PERF_SEL_Op_Pipe_MC_Read_stall", "value": 145},
3173 {"name": "DB_PERF_SEL_qc_busy", "value": 146},
3174 {"name": "DB_PERF_SEL_qc_xfc", "value": 147},
3175 {"name": "DB_PERF_SEL_qc_conflicts", "value": 148},
3176 {"name": "DB_PERF_SEL_qc_full_stall", "value": 149},
3177 {"name": "DB_PERF_SEL_qc_in_preZ_tile_stalls_postZ", "value": 150},
3178 {"name": "DB_PERF_SEL_qc_in_postZ_tile_stalls_preZ", "value": 151},
3179 {"name": "DB_PERF_SEL_tsc_insert_summarize_stall", "value": 152},
3180 {"name": "DB_PERF_SEL_tl_busy", "value": 153},
3181 {"name": "DB_PERF_SEL_tl_dtc_read_starved", "value": 154},
3182 {"name": "DB_PERF_SEL_tl_z_fetch_stall", "value": 155},
3183 {"name": "DB_PERF_SEL_tl_stencil_stall", "value": 156},
3184 {"name": "DB_PERF_SEL_tl_z_decompress_stall", "value": 157},
3185 {"name": "DB_PERF_SEL_tl_stencil_locked_stall", "value": 158},
3186 {"name": "DB_PERF_SEL_tl_events", "value": 159},
3187 {"name": "DB_PERF_SEL_tl_summarize_squads", "value": 160},
3188 {"name": "DB_PERF_SEL_tl_flush_expand_squads", "value": 161},
3189 {"name": "DB_PERF_SEL_tl_expand_squads", "value": 162},
3190 {"name": "DB_PERF_SEL_tl_preZ_squads", "value": 163},
3191 {"name": "DB_PERF_SEL_tl_postZ_squads", "value": 164},
3192 {"name": "DB_PERF_SEL_tl_preZ_noop_squads", "value": 165},
3193 {"name": "DB_PERF_SEL_tl_postZ_noop_squads", "value": 166},
3194 {"name": "DB_PERF_SEL_tl_tile_ops", "value": 167},
3195 {"name": "DB_PERF_SEL_tl_in_xfc", "value": 168},
3196 {"name": "DB_PERF_SEL_tl_in_single_stencil_expand_stall", "value": 169},
3197 {"name": "DB_PERF_SEL_tl_in_fast_z_stall", "value": 170},
3198 {"name": "DB_PERF_SEL_tl_out_xfc", "value": 171},
3199 {"name": "DB_PERF_SEL_tl_out_squads", "value": 172},
3200 {"name": "DB_PERF_SEL_zf_plane_multicycle", "value": 173},
3201 {"name": "DB_PERF_SEL_PostZ_Samples_passing_Z", "value": 174},
3202 {"name": "DB_PERF_SEL_PostZ_Samples_failing_Z", "value": 175},
3203 {"name": "DB_PERF_SEL_PostZ_Samples_failing_S", "value": 176},
3204 {"name": "DB_PERF_SEL_PreZ_Samples_passing_Z", "value": 177},
3205 {"name": "DB_PERF_SEL_PreZ_Samples_failing_Z", "value": 178},
3206 {"name": "DB_PERF_SEL_PreZ_Samples_failing_S", "value": 179},
3207 {"name": "DB_PERF_SEL_ts_tc_update_stall", "value": 180},
3208 {"name": "DB_PERF_SEL_sc_kick_start", "value": 181},
3209 {"name": "DB_PERF_SEL_sc_kick_end", "value": 182},
3210 {"name": "DB_PERF_SEL_clock_reg_active", "value": 183},
3211 {"name": "DB_PERF_SEL_clock_main_active", "value": 184},
3212 {"name": "DB_PERF_SEL_clock_mem_export_active", "value": 185},
3213 {"name": "DB_PERF_SEL_esr_ps_out_busy", "value": 186},
3214 {"name": "DB_PERF_SEL_esr_ps_lqf_busy", "value": 187},
3215 {"name": "DB_PERF_SEL_esr_ps_lqf_stall", "value": 188},
3216 {"name": "DB_PERF_SEL_etr_out_send", "value": 189},
3217 {"name": "DB_PERF_SEL_etr_out_busy", "value": 190},
3218 {"name": "DB_PERF_SEL_etr_out_ltile_probe_fifo_full_stall", "value": 191},
3219 {"name": "DB_PERF_SEL_etr_out_cb_tile_stall", "value": 192},
3220 {"name": "DB_PERF_SEL_etr_out_esr_stall", "value": 193},
3221 {"name": "DB_PERF_SEL_esr_ps_sqq_busy", "value": 194},
3222 {"name": "DB_PERF_SEL_esr_ps_sqq_stall", "value": 195},
3223 {"name": "DB_PERF_SEL_esr_eot_fwd_busy", "value": 196},
3224 {"name": "DB_PERF_SEL_esr_eot_fwd_holding_squad", "value": 197},
3225 {"name": "DB_PERF_SEL_esr_eot_fwd_forward", "value": 198},
3226 {"name": "DB_PERF_SEL_esr_sqq_zi_busy", "value": 199},
3227 {"name": "DB_PERF_SEL_esr_sqq_zi_stall", "value": 200},
3228 {"name": "DB_PERF_SEL_postzl_sq_pt_busy", "value": 201},
3229 {"name": "DB_PERF_SEL_postzl_sq_pt_stall", "value": 202},
3230 {"name": "DB_PERF_SEL_postzl_se_busy", "value": 203},
3231 {"name": "DB_PERF_SEL_postzl_se_stall", "value": 204},
3232 {"name": "DB_PERF_SEL_postzl_partial_launch", "value": 205},
3233 {"name": "DB_PERF_SEL_postzl_full_launch", "value": 206},
3234 {"name": "DB_PERF_SEL_postzl_partial_waiting", "value": 207},
3235 {"name": "DB_PERF_SEL_postzl_tile_mem_stall", "value": 208},
3236 {"name": "DB_PERF_SEL_postzl_tile_init_stall", "value": 209},
3237 {"name": "DB_PERF_SEL_prezl_tile_mem_stall", "value": 210},
3238 {"name": "DB_PERF_SEL_prezl_tile_init_stall", "value": 211},
3239 {"name": "DB_PERF_SEL_dtt_sm_clash_stall", "value": 212},
3240 {"name": "DB_PERF_SEL_dtt_sm_slot_stall", "value": 213},
3241 {"name": "DB_PERF_SEL_dtt_sm_miss_stall", "value": 214},
3242 {"name": "DB_PERF_SEL_mi_rdreq_busy", "value": 215},
3243 {"name": "DB_PERF_SEL_mi_rdreq_stall", "value": 216},
3244 {"name": "DB_PERF_SEL_mi_wrreq_busy", "value": 217},
3245 {"name": "DB_PERF_SEL_mi_wrreq_stall", "value": 218},
3246 {"name": "DB_PERF_SEL_recomp_tile_to_1zplane_no_fastop", "value": 219},
3247 {"name": "DB_PERF_SEL_dkg_tile_rate_tile", "value": 220},
3248 {"name": "DB_PERF_SEL_prezl_src_in_sends", "value": 221},
3249 {"name": "DB_PERF_SEL_prezl_src_in_stall", "value": 222},
3250 {"name": "DB_PERF_SEL_prezl_src_in_squads", "value": 223},
3251 {"name": "DB_PERF_SEL_prezl_src_in_squads_unrolled", "value": 224},
3252 {"name": "DB_PERF_SEL_prezl_src_in_tile_rate", "value": 225},
3253 {"name": "DB_PERF_SEL_prezl_src_in_tile_rate_unrolled", "value": 226},
3254 {"name": "DB_PERF_SEL_prezl_src_out_stall", "value": 227},
3255 {"name": "DB_PERF_SEL_postzl_src_in_sends", "value": 228},
3256 {"name": "DB_PERF_SEL_postzl_src_in_stall", "value": 229},
3257 {"name": "DB_PERF_SEL_postzl_src_in_squads", "value": 230},
3258 {"name": "DB_PERF_SEL_postzl_src_in_squads_unrolled", "value": 231},
3259 {"name": "DB_PERF_SEL_postzl_src_in_tile_rate", "value": 232},
3260 {"name": "DB_PERF_SEL_postzl_src_in_tile_rate_unrolled", "value": 233},
3261 {"name": "DB_PERF_SEL_postzl_src_out_stall", "value": 234},
3262 {"name": "DB_PERF_SEL_esr_ps_src_in_sends", "value": 235},
3263 {"name": "DB_PERF_SEL_esr_ps_src_in_stall", "value": 236},
3264 {"name": "DB_PERF_SEL_esr_ps_src_in_squads", "value": 237},
3265 {"name": "DB_PERF_SEL_esr_ps_src_in_squads_unrolled", "value": 238},
3266 {"name": "DB_PERF_SEL_esr_ps_src_in_tile_rate", "value": 239},
3267 {"name": "DB_PERF_SEL_esr_ps_src_in_tile_rate_unrolled", "value": 240},
3268 {"name": "DB_PERF_SEL_esr_ps_src_in_tile_rate_unrolled_to_pixel_rate", "value": 241},
3269 {"name": "DB_PERF_SEL_esr_ps_src_out_stall", "value": 242},
3270 {"name": "DB_PERF_SEL_depth_bounds_tile_culled", "value": 243},
3271 {"name": "DB_PERF_SEL_PreZ_Samples_failing_DB", "value": 244},
3272 {"name": "DB_PERF_SEL_PostZ_Samples_failing_DB", "value": 245},
3273 {"name": "DB_PERF_SEL_flush_compressed", "value": 246},
3274 {"name": "DB_PERF_SEL_flush_plane_le4", "value": 247},
3275 {"name": "DB_PERF_SEL_tiles_z_fully_summarized", "value": 248},
3276 {"name": "DB_PERF_SEL_tiles_stencil_fully_summarized", "value": 249},
3277 {"name": "DB_PERF_SEL_tiles_z_clear_on_expclear", "value": 250},
3278 {"name": "DB_PERF_SEL_tiles_s_clear_on_expclear", "value": 251},
3279 {"name": "DB_PERF_SEL_tiles_decomp_on_expclear", "value": 252},
3280 {"name": "DB_PERF_SEL_tiles_compressed_to_decompressed", "value": 253},
3281 {"name": "DB_PERF_SEL_Op_Pipe_Prez_Busy", "value": 254},
3282 {"name": "DB_PERF_SEL_Op_Pipe_Postz_Busy", "value": 255},
3283 {"name": "DB_PERF_SEL_di_dt_stall", "value": 256},
3284 {"name": "DB_PERF_SEL_DB_SC_quad_lit_quad_pre_invoke", "value": 257},
3285 {"name": "DB_PERF_SEL_DB_SC_s_tile_rate", "value": 258},
3286 {"name": "DB_PERF_SEL_DB_SC_c_tile_rate", "value": 259},
3287 {"name": "DB_PERF_SEL_DB_SC_z_tile_rate", "value": 260},
3288 {"name": "Spare_261", "value": 261},
3289 {"name": "DB_PERF_SEL_DB_CB_lquad_export_quads", "value": 262},
3290 {"name": "DB_PERF_SEL_DB_CB_lquad_double_format", "value": 263},
3291 {"name": "DB_PERF_SEL_DB_CB_lquad_fast_format", "value": 264},
3292 {"name": "DB_PERF_SEL_DB_CB_lquad_slow_format", "value": 265},
3293 {"name": "DB_PERF_SEL_CB_DB_rdreq_sends", "value": 266},
3294 {"name": "DB_PERF_SEL_CB_DB_rdreq_prt_sends", "value": 267},
3295 {"name": "DB_PERF_SEL_CB_DB_wrreq_sends", "value": 268},
3296 {"name": "DB_PERF_SEL_CB_DB_wrreq_prt_sends", "value": 269},
3297 {"name": "DB_PERF_SEL_DB_CB_rdret_ack", "value": 270},
3298 {"name": "DB_PERF_SEL_DB_CB_rdret_nack", "value": 271},
3299 {"name": "DB_PERF_SEL_DB_CB_wrret_ack", "value": 272},
3300 {"name": "DB_PERF_SEL_DB_CB_wrret_nack", "value": 273},
3301 {"name": "Spare_274", "value": 274},
3302 {"name": "DB_PERF_SEL_DFSM_Stall_opmode_change", "value": 275},
3303 {"name": "DB_PERF_SEL_DFSM_Stall_cam_fifo", "value": 276},
3304 {"name": "DB_PERF_SEL_DFSM_Stall_bypass_fifo", "value": 277},
3305 {"name": "DB_PERF_SEL_DFSM_Stall_retained_tile_fifo", "value": 278},
3306 {"name": "DB_PERF_SEL_DFSM_Stall_control_fifo", "value": 279},
3307 {"name": "DB_PERF_SEL_DFSM_Stall_overflow_counter", "value": 280},
3308 {"name": "DB_PERF_SEL_DFSM_Stall_pops_stall_overflow", "value": 281},
3309 {"name": "DB_PERF_SEL_DFSM_Stall_pops_stall_self_flush", "value": 282},
3310 {"name": "DB_PERF_SEL_DFSM_Stall_middle_output", "value": 283},
3311 {"name": "DB_PERF_SEL_DFSM_Stall_stalling_general", "value": 284},
3312 {"name": "Spare_285", "value": 285},
3313 {"name": "Spare_286", "value": 286},
3314 {"name": "DB_PERF_SEL_DFSM_prez_killed_squad", "value": 287},
3315 {"name": "DB_PERF_SEL_DFSM_squads_in", "value": 288},
3316 {"name": "DB_PERF_SEL_DFSM_full_cleared_squads_out", "value": 289},
3317 {"name": "DB_PERF_SEL_DFSM_quads_in", "value": 290},
3318 {"name": "DB_PERF_SEL_DFSM_fully_cleared_quads_out", "value": 291},
3319 {"name": "DB_PERF_SEL_DFSM_lit_pixels_in", "value": 292},
3320 {"name": "DB_PERF_SEL_DFSM_fully_cleared_pixels_out", "value": 293},
3321 {"name": "DB_PERF_SEL_DFSM_lit_samples_in", "value": 294},
3322 {"name": "DB_PERF_SEL_DFSM_lit_samples_out", "value": 295},
3323 {"name": "DB_PERF_SEL_DFSM_evicted_tiles_above_watermark", "value": 296},
3324 {"name": "DB_PERF_SEL_DFSM_cant_accept_squads_but_not_stalled_by_downstream", "value": 297},
3325 {"name": "DB_PERF_SEL_DFSM_stalled_by_downstream", "value": 298},
3326 {"name": "DB_PERF_SEL_DFSM_evicted_squads_above_watermark", "value": 299},
3327 {"name": "DB_PERF_SEL_DFSM_collisions_due_to_POPS_overflow", "value": 300},
3328 {"name": "DB_PERF_SEL_DFSM_collisions_detected_within_POPS_FIFO", "value": 301},
3329 {"name": "DB_PERF_SEL_DFSM_evicted_squads_due_to_prim_watermark", "value": 302},
3330 {"name": "DB_PERF_SEL_MI_tile_req_wrack_counter_stall", "value": 303},
3331 {"name": "DB_PERF_SEL_MI_quad_req_wrack_counter_stall", "value": 304},
3332 {"name": "DB_PERF_SEL_MI_zpc_req_wrack_counter_stall", "value": 305},
3333 {"name": "DB_PERF_SEL_MI_psd_req_wrack_counter_stall", "value": 306},
3334 {"name": "DB_PERF_SEL_unmapped_z_tile_culled", "value": 307},
3335 {"name": "DB_PERF_SEL_DB_CB_tile_is_event_FLUSH_AND_INV_DB_DATA_TS", "value": 308},
3336 {"name": "DB_PERF_SEL_DB_CB_tile_is_event_FLUSH_AND_INV_CB_PIXEL_DATA", "value": 309},
3337 {"name": "DB_PERF_SEL_DB_CB_tile_is_event_BOTTOM_OF_PIPE_TS", "value": 310},
3338 {"name": "DB_PERF_SEL_DB_CB_tile_waiting_for_perfcounter_stop_event", "value": 311},
3339 {"name": "DB_PERF_SEL_DB_CB_lquad_fmt_32bpp_8pix", "value": 312},
3340 {"name": "DB_PERF_SEL_DB_CB_lquad_fmt_16_16_unsigned_8pix", "value": 313},
3341 {"name": "DB_PERF_SEL_DB_CB_lquad_fmt_16_16_signed_8pix", "value": 314},
3342 {"name": "DB_PERF_SEL_DB_CB_lquad_fmt_16_16_float_8pix", "value": 315},
3343 {"name": "DB_PERF_SEL_DB_CB_lquad_num_pixels_need_blending", "value": 316},
3344 {"name": "DB_PERF_SEL_DB_CB_context_dones", "value": 317},
3345 {"name": "DB_PERF_SEL_DB_CB_eop_dones", "value": 318},
3346 {"name": "DB_PERF_SEL_SX_DB_quad_all_pixels_killed", "value": 319},
3347 {"name": "DB_PERF_SEL_SX_DB_quad_all_pixels_enabled", "value": 320},
3348 {"name": "DB_PERF_SEL_SX_DB_quad_need_blending_and_dst_read", "value": 321},
3349 {"name": "DB_PERF_SEL_SC_DB_tile_backface", "value": 322},
3350 {"name": "DB_PERF_SEL_SC_DB_quad_quads", "value": 323},
3351 {"name": "DB_PERF_SEL_DB_SC_quad_quads_with_1_pixel", "value": 324},
3352 {"name": "DB_PERF_SEL_DB_SC_quad_quads_with_2_pixels", "value": 325},
3353 {"name": "DB_PERF_SEL_DB_SC_quad_quads_with_3_pixels", "value": 326},
3354 {"name": "DB_PERF_SEL_DB_SC_quad_quads_with_4_pixels", "value": 327},
3355 {"name": "DB_PERF_SEL_DFSM_Flush_flushabit", "value": 328},
3356 {"name": "DB_PERF_SEL_DFSM_Flush_flushabit_camcoord_fifo", "value": 329},
3357 {"name": "DB_PERF_SEL_DFSM_Flush_flushabit_passthrough", "value": 330},
3358 {"name": "DB_PERF_SEL_DFSM_Flush_flushabit_forceflush", "value": 331},
3359 {"name": "DB_PERF_SEL_DFSM_Flush_flushabit_nearlyfull", "value": 332},
3360 {"name": "DB_PERF_SEL_DFSM_Flush_flushabit_primitivesinflightwatermark", "value": 333},
3361 {"name": "DB_PERF_SEL_DFSM_Flush_flushabit_punch_stalling", "value": 334},
3362 {"name": "DB_PERF_SEL_DFSM_Flush_flushabit_retainedtilefifo_watermark", "value": 335},
3363 {"name": "DB_PERF_SEL_DFSM_Flush_flushabit_tilesinflightwatermark", "value": 336},
3364 {"name": "DB_PERF_SEL_DFSM_Flush_flushall", "value": 337},
3365 {"name": "DB_PERF_SEL_DFSM_Flush_flushall_dfsmflush", "value": 338},
3366 {"name": "DB_PERF_SEL_DFSM_Flush_flushall_opmodechange", "value": 339},
3367 {"name": "DB_PERF_SEL_DFSM_Flush_flushall_sampleratechange", "value": 340},
3368 {"name": "DB_PERF_SEL_DFSM_Flush_flushall_watchdog", "value": 341},
3369 {"name": "DB_PERF_SEL_DB_SC_quad_double_quad", "value": 342},
3370 {"name": "DB_PERF_SEL_SX_DB_quad_export_quads", "value": 343},
3371 {"name": "DB_PERF_SEL_SX_DB_quad_double_format", "value": 344},
3372 {"name": "DB_PERF_SEL_SX_DB_quad_fast_format", "value": 345},
3373 {"name": "DB_PERF_SEL_SX_DB_quad_slow_format", "value": 346},
3374 {"name": "DB_PERF_SEL_quad_rd_sends_unc", "value": 347},
3375 {"name": "DB_PERF_SEL_quad_rd_mi_stall_unc", "value": 348},
3376 {"name": "DB_PERF_SEL_DFSM_OutputPunch", "value": 349},
3377 {"name": "DB_PERF_SEL_DFSM_OutputPops", "value": 350},
3378 {"name": "DB_PERF_SEL_DFSM_OutputFifo", "value": 351},
3379 {"name": "DB_PERF_SEL_DFSM_StallOpmodeChange", "value": 352},
3380 {"name": "DB_PERF_SEL_DFSM_StallCAMFifoFull", "value": 353},
3381 {"name": "DB_PERF_SEL_DFSM_StallBypassFifoFull", "value": 354},
3382 {"name": "DB_PERF_SEL_DFSM_StallRetainedTileFifoFull", "value": 355},
3383 {"name": "DB_PERF_SEL_DFSM_StallControlFifoFull", "value": 356},
3384 {"name": "DB_PERF_SEL_DFSM_StallControlCountFull", "value": 357},
3385 {"name": "DB_PERF_SEL_DFSM_StallOverflowMaximum", "value": 358},
3386 {"name": "DB_PERF_SEL_DFSM_StallPopsStallOverflow", "value": 359},
3387 {"name": "DB_PERF_SEL_DFSM_StallPopsStallSelfStall", "value": 360},
3388 {"name": "DB_PERF_SEL_DFSM_StallCamSlotFlush", "value": 361},
3389 {"name": "DB_PERF_SEL_DFSM_StallOutput", "value": 362},
3390 {"name": "DB_PERF_SEL_DFSM_WatchdogTrigger", "value": 363},
3391 {"name": "DB_PERF_SEL_DFSM_StallOnPOPSStall", "value": 364},
3392 {"name": "DB_PERF_SEL_SC_DB_tile_tiles_pipe0", "value": 365},
3393 {"name": "DB_PERF_SEL_SC_DB_tile_tiles_pipe1", "value": 366},
3394 {"name": "DB_PERF_SEL_SC_DB_quad_quads_pipe0", "value": 367},
3395 {"name": "DB_PERF_SEL_SC_DB_quad_quads_pipe1", "value": 368}
3396 ]
3397 },
3398 "PkrMap": {
3399 "entries": [
3400 {"name": "RASTER_CONFIG_PKR_MAP_0", "value": 0},
3401 {"name": "RASTER_CONFIG_PKR_MAP_1", "value": 1},
3402 {"name": "RASTER_CONFIG_PKR_MAP_2", "value": 2},
3403 {"name": "RASTER_CONFIG_PKR_MAP_3", "value": 3}
3404 ]
3405 },
3406 "PkrXsel": {
3407 "entries": [
3408 {"name": "RASTER_CONFIG_PKR_XSEL_0", "value": 0},
3409 {"name": "RASTER_CONFIG_PKR_XSEL_1", "value": 1},
3410 {"name": "RASTER_CONFIG_PKR_XSEL_2", "value": 2},
3411 {"name": "RASTER_CONFIG_PKR_XSEL_3", "value": 3}
3412 ]
3413 },
3414 "PkrXsel2": {
3415 "entries": [
3416 {"name": "RASTER_CONFIG_PKR_XSEL2_0", "value": 0},
3417 {"name": "RASTER_CONFIG_PKR_XSEL2_1", "value": 1},
3418 {"name": "RASTER_CONFIG_PKR_XSEL2_2", "value": 2},
3419 {"name": "RASTER_CONFIG_PKR_XSEL2_3", "value": 3}
3420 ]
3421 },
3422 "PkrYsel": {
3423 "entries": [
3424 {"name": "RASTER_CONFIG_PKR_YSEL_0", "value": 0},
3425 {"name": "RASTER_CONFIG_PKR_YSEL_1", "value": 1},
3426 {"name": "RASTER_CONFIG_PKR_YSEL_2", "value": 2},
3427 {"name": "RASTER_CONFIG_PKR_YSEL_3", "value": 3}
3428 ]
3429 },
3430 "RMIPerfSel": {
3431 "entries": [
3432 {"name": "RMI_PERF_SEL_NONE", "value": 0},
3433 {"name": "RMI_PERF_SEL_BUSY", "value": 1},
3434 {"name": "RMI_PERF_SEL_REG_CLK_VLD", "value": 2},
3435 {"name": "RMI_PERF_SEL_DYN_CLK_CMN_VLD", "value": 3},
3436 {"name": "RMI_PERF_SEL_DYN_CLK_RB_VLD", "value": 4},
3437 {"name": "RMI_PERF_SEL_DYN_CLK_PERF_VLD", "value": 5},
3438 {"name": "RMI_PERF_SEL_PERF_WINDOW", "value": 6},
3439 {"name": "RMI_PERF_SEL_EVENT_SEND", "value": 7},
3440 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID0", "value": 8},
3441 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID1", "value": 9},
3442 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID2", "value": 10},
3443 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID3", "value": 11},
3444 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID4", "value": 12},
3445 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID5", "value": 13},
3446 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID6", "value": 14},
3447 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID7", "value": 15},
3448 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID8", "value": 16},
3449 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID9", "value": 17},
3450 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID10", "value": 18},
3451 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID11", "value": 19},
3452 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID12", "value": 20},
3453 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID13", "value": 21},
3454 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID14", "value": 22},
3455 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID15", "value": 23},
3456 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID_ALL", "value": 24},
3457 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID0", "value": 25},
3458 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID1", "value": 26},
3459 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID2", "value": 27},
3460 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID3", "value": 28},
3461 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID4", "value": 29},
3462 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID5", "value": 30},
3463 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID6", "value": 31},
3464 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID7", "value": 32},
3465 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID8", "value": 33},
3466 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID9", "value": 34},
3467 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID10", "value": 35},
3468 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID11", "value": 36},
3469 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID12", "value": 37},
3470 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID13", "value": 38},
3471 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID14", "value": 39},
3472 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID15", "value": 40},
3473 {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID_ALL", "value": 41},
3474 {"name": "RMI_PERF_SEL_UTCL1_TRANSLATION_MISS", "value": 42},
3475 {"name": "RMI_PERF_SEL_UTCL1_PERMISSION_MISS", "value": 43},
3476 {"name": "RMI_PERF_SEL_UTCL1_TRANSLATION_HIT", "value": 44},
3477 {"name": "RMI_PERF_SEL_UTCL1_REQUEST", "value": 45},
3478 {"name": "RMI_PERF_SEL_UTCL1_STALL_INFLIGHT_MAX", "value": 46},
3479 {"name": "RMI_PERF_SEL_UTCL1_STALL_LRU_INFLIGHT", "value": 47},
3480 {"name": "RMI_PERF_SEL_UTCL1_LFIFO_FULL", "value": 48},
3481 {"name": "RMI_PERF_SEL_UTCL1_STALL_LFIFO_NOT_RES", "value": 49},
3482 {"name": "RMI_PERF_SEL_UTCL1_STALL_UTCL2_REQ_OUT_OF_CREDITS", "value": 50},
3483 {"name": "RMI_PERF_SEL_UTCL1_STALL_MISSFIFO_FULL", "value": 51},
3484 {"name": "RMI_PERF_SEL_UTCL1_HIT_FIFO_FULL", "value": 52},
3485 {"name": "RMI_PERF_SEL_UTCL1_STALL_MULTI_MISS", "value": 53},
3486 {"name": "RMI_PERF_SEL_RB_RMI_WRREQ_ALL_CID", "value": 54},
3487 {"name": "RMI_PERF_SEL_RB_RMI_WRREQ_TO_WRRET_BUSY", "value": 55},
3488 {"name": "RMI_PERF_SEL_RB_RMI_WRREQ_CID0", "value": 56},
3489 {"name": "RMI_PERF_SEL_RB_RMI_WRREQ_CID1", "value": 57},
3490 {"name": "RMI_PERF_SEL_RB_RMI_WRREQ_CID2", "value": 58},
3491 {"name": "RMI_PERF_SEL_RB_RMI_WRREQ_CID3", "value": 59},
3492 {"name": "RMI_PERF_SEL_RB_RMI_WRREQ_CID4", "value": 60},
3493 {"name": "RMI_PERF_SEL_RB_RMI_WRREQ_CID5", "value": 61},
3494 {"name": "RMI_PERF_SEL_RB_RMI_WRREQ_CID6", "value": 62},
3495 {"name": "RMI_PERF_SEL_RB_RMI_WRREQ_CID7", "value": 63},
3496 {"name": "RMI_PERF_SEL_RB_RMI_32BWRREQ_INFLIGHT_ALL_ORONE_CID", "value": 64},
3497 {"name": "RMI_PERF_SEL_RB_RMI_WRREQ_BURST_LENGTH_ALL_ORONE_CID", "value": 65},
3498 {"name": "RMI_PERF_SEL_RB_RMI_WRREQ_BURST_ALL_ORONE_CID", "value": 66},
3499 {"name": "RMI_PERF_SEL_RB_RMI_WRREQ_RESIDENCY", "value": 67},
3500 {"name": "RMI_PERF_SEL_RMI_RB_WRRET_VALID_ALL_CID", "value": 68},
3501 {"name": "RMI_PERF_SEL_RMI_RB_WRRET_VALID_CID0", "value": 69},
3502 {"name": "RMI_PERF_SEL_RMI_RB_WRRET_VALID_CID1", "value": 70},
3503 {"name": "RMI_PERF_SEL_RMI_RB_WRRET_VALID_CID2", "value": 71},
3504 {"name": "RMI_PERF_SEL_RMI_RB_WRRET_VALID_CID3", "value": 72},
3505 {"name": "RMI_PERF_SEL_RMI_RB_WRRET_VALID_CID4", "value": 73},
3506 {"name": "RMI_PERF_SEL_RMI_RB_WRRET_VALID_CID5", "value": 74},
3507 {"name": "RMI_PERF_SEL_RMI_RB_WRRET_VALID_CID6", "value": 75},
3508 {"name": "RMI_PERF_SEL_RMI_RB_WRRET_VALID_CID7", "value": 76},
3509 {"name": "RMI_PERF_SEL_RMI_RB_WRRET_VALID_NACK0", "value": 77},
3510 {"name": "RMI_PERF_SEL_RMI_RB_WRRET_VALID_NACK1", "value": 78},
3511 {"name": "RMI_PERF_SEL_RMI_RB_WRRET_VALID_NACK2", "value": 79},
3512 {"name": "RMI_PERF_SEL_RMI_RB_WRRET_VALID_NACK3", "value": 80},
3513 {"name": "RMI_PERF_SEL_RB_RMI_32BRDREQ_ALL_CID", "value": 81},
3514 {"name": "RMI_PERF_SEL_RB_RMI_RDREQ_ALL_CID", "value": 82},
3515 {"name": "RMI_PERF_SEL_RB_RMI_RDREQ_TO_RDRET_BUSY", "value": 83},
3516 {"name": "RMI_PERF_SEL_RB_RMI_32BRDREQ_CID0", "value": 84},
3517 {"name": "RMI_PERF_SEL_RB_RMI_32BRDREQ_CID1", "value": 85},
3518 {"name": "RMI_PERF_SEL_RB_RMI_32BRDREQ_CID2", "value": 86},
3519 {"name": "RMI_PERF_SEL_RB_RMI_32BRDREQ_CID3", "value": 87},
3520 {"name": "RMI_PERF_SEL_RB_RMI_32BRDREQ_CID4", "value": 88},
3521 {"name": "RMI_PERF_SEL_RB_RMI_32BRDREQ_CID5", "value": 89},
3522 {"name": "RMI_PERF_SEL_RB_RMI_32BRDREQ_CID6", "value": 90},
3523 {"name": "RMI_PERF_SEL_RB_RMI_32BRDREQ_CID7", "value": 91},
3524 {"name": "RMI_PERF_SEL_RB_RMI_RDREQ_CID0", "value": 92},
3525 {"name": "RMI_PERF_SEL_RB_RMI_RDREQ_CID1", "value": 93},
3526 {"name": "RMI_PERF_SEL_RB_RMI_RDREQ_CID2", "value": 94},
3527 {"name": "RMI_PERF_SEL_RB_RMI_RDREQ_CID3", "value": 95},
3528 {"name": "RMI_PERF_SEL_RB_RMI_RDREQ_CID4", "value": 96},
3529 {"name": "RMI_PERF_SEL_RB_RMI_RDREQ_CID5", "value": 97},
3530 {"name": "RMI_PERF_SEL_RB_RMI_RDREQ_CID6", "value": 98},
3531 {"name": "RMI_PERF_SEL_RB_RMI_RDREQ_CID7", "value": 99},
3532 {"name": "RMI_PERF_SEL_RB_RMI_32BRDREQ_INFLIGHT_ALL_ORONE_CID", "value": 100},
3533 {"name": "RMI_PERF_SEL_RB_RMI_RDREQ_BURST_LENGTH_ALL_ORONE_CID", "value": 101},
3534 {"name": "RMI_PERF_SEL_RB_RMI_RDREQ_BURST_ALL_ORONE_CID", "value": 102},
3535 {"name": "RMI_PERF_SEL_RB_RMI_RDREQ_RESIDENCY", "value": 103},
3536 {"name": "RMI_PERF_SEL_RMI_RB_32BRDRET_VALID_ALL_CID", "value": 104},
3537 {"name": "RMI_PERF_SEL_RMI_RB_32BRDRET_VALID_CID0", "value": 105},
3538 {"name": "RMI_PERF_SEL_RMI_RB_32BRDRET_VALID_CID1", "value": 106},
3539 {"name": "RMI_PERF_SEL_RMI_RB_32BRDRET_VALID_CID2", "value": 107},
3540 {"name": "RMI_PERF_SEL_RMI_RB_32BRDRET_VALID_CID3", "value": 108},
3541 {"name": "RMI_PERF_SEL_RMI_RB_32BRDRET_VALID_CID4", "value": 109},
3542 {"name": "RMI_PERF_SEL_RMI_RB_32BRDRET_VALID_CID5", "value": 110},
3543 {"name": "RMI_PERF_SEL_RMI_RB_32BRDRET_VALID_CID6", "value": 111},
3544 {"name": "RMI_PERF_SEL_RMI_RB_32BRDRET_VALID_CID7", "value": 112},
3545 {"name": "RMI_PERF_SEL_RMI_RB_32BRDRET_VALID_NACK0", "value": 113},
3546 {"name": "RMI_PERF_SEL_RMI_RB_32BRDRET_VALID_NACK1", "value": 114},
3547 {"name": "RMI_PERF_SEL_RMI_RB_32BRDRET_VALID_NACK2", "value": 115},
3548 {"name": "RMI_PERF_SEL_RMI_RB_32BRDRET_VALID_NACK3", "value": 116},
3549 {"name": "RMI_PERF_SEL_RB_RMI_WR_FIFO_MAX", "value": 117},
3550 {"name": "RMI_PERF_SEL_RB_RMI_WR_FIFO_EMPTY", "value": 118},
3551 {"name": "RMI_PERF_SEL_RB_RMI_WR_IDLE", "value": 119},
3552 {"name": "RMI_PERF_SEL_RB_RMI_WR_STARVE", "value": 120},
3553 {"name": "RMI_PERF_SEL_RB_RMI_WR_STALL", "value": 121},
3554 {"name": "RMI_PERF_SEL_RB_RMI_WR_BUSY", "value": 122},
3555 {"name": "RMI_PERF_SEL_RB_RMI_WR_INTF_BUSY", "value": 123},
3556 {"name": "RMI_PERF_SEL_RB_RMI_RD_FIFO_MAX", "value": 124},
3557 {"name": "RMI_PERF_SEL_RB_RMI_RD_FIFO_EMPTY", "value": 125},
3558 {"name": "RMI_PERF_SEL_RB_RMI_RD_IDLE", "value": 126},
3559 {"name": "RMI_PERF_SEL_RB_RMI_RD_STARVE", "value": 127},
3560 {"name": "RMI_PERF_SEL_RB_RMI_RD_STALL", "value": 128},
3561 {"name": "RMI_PERF_SEL_RB_RMI_RD_BUSY", "value": 129},
3562 {"name": "RMI_PERF_SEL_RB_RMI_RD_INTF_BUSY", "value": 130},
3563 {"name": "RMI_PERF_SEL_RMI_TC_64BWRREQ_ALL_ORONE_CID", "value": 131},
3564 {"name": "RMI_PERF_SEL_RMI_TC_64BRDREQ_ALL_ORONE_CID", "value": 132},
3565 {"name": "RMI_PERF_SEL_RMI_TC_WRREQ_ALL_CID", "value": 133},
3566 {"name": "RMI_PERF_SEL_RMI_TC_REQ_BUSY", "value": 134},
3567 {"name": "RMI_PERF_SEL_RMI_TC_WRREQ_CID0", "value": 135},
3568 {"name": "RMI_PERF_SEL_RMI_TC_WRREQ_CID1", "value": 136},
3569 {"name": "RMI_PERF_SEL_RMI_TC_WRREQ_CID2", "value": 137},
3570 {"name": "RMI_PERF_SEL_RMI_TC_WRREQ_CID3", "value": 138},
3571 {"name": "RMI_PERF_SEL_RMI_TC_WRREQ_CID4", "value": 139},
3572 {"name": "RMI_PERF_SEL_RMI_TC_WRREQ_CID5", "value": 140},
3573 {"name": "RMI_PERF_SEL_RMI_TC_WRREQ_CID6", "value": 141},
3574 {"name": "RMI_PERF_SEL_RMI_TC_WRREQ_CID7", "value": 142},
3575 {"name": "RMI_PERF_SEL_RMI_TC_WRREQ_INFLIGHT_ALL_CID", "value": 143},
3576 {"name": "RMI_PERF_SEL_TC_RMI_WRRET_VALID_ALL_CID", "value": 144},
3577 {"name": "RMI_PERF_SEL_RMI_TC_RDREQ_ALL_CID", "value": 145},
3578 {"name": "RMI_PERF_SEL_RMI_TC_RDREQ_CID0", "value": 146},
3579 {"name": "RMI_PERF_SEL_RMI_TC_RDREQ_CID1", "value": 147},
3580 {"name": "RMI_PERF_SEL_RMI_TC_RDREQ_CID2", "value": 148},
3581 {"name": "RMI_PERF_SEL_RMI_TC_RDREQ_CID3", "value": 149},
3582 {"name": "RMI_PERF_SEL_RMI_TC_RDREQ_CID4", "value": 150},
3583 {"name": "RMI_PERF_SEL_RMI_TC_RDREQ_CID5", "value": 151},
3584 {"name": "RMI_PERF_SEL_RMI_TC_RDREQ_CID6", "value": 152},
3585 {"name": "RMI_PERF_SEL_RMI_TC_RDREQ_CID7", "value": 153},
3586 {"name": "RMI_PERF_SEL_RMI_TC_STALL_RDREQ", "value": 154},
3587 {"name": "RMI_PERF_SEL_RMI_TC_STALL_WRREQ", "value": 155},
3588 {"name": "RMI_PERF_SEL_RMI_TC_STALL_ALLREQ", "value": 156},
3589 {"name": "RMI_PERF_SEL_RMI_TC_CREDIT_FULL_NO_PENDING_SEND", "value": 157},
3590 {"name": "RMI_PERF_SEL_RMI_TC_CREDIT_ZERO_PENDING_SEND", "value": 158},
3591 {"name": "RMI_PERF_SEL_RMI_TC_RDREQ_INFLIGHT_ALL_CID", "value": 159},
3592 {"name": "RMI_PERF_SEL_TC_RMI_RDRET_VALID_ALL_CID", "value": 160},
3593 {"name": "RMI_PERF_SEL_UTCL1_BUSY", "value": 161},
3594 {"name": "RMI_PERF_SEL_RMI_UTC_REQ", "value": 162},
3595 {"name": "RMI_PERF_SEL_RMI_UTC_BUSY", "value": 163},
3596 {"name": "RMI_PERF_SEL_UTCL1_UTCL2_REQ", "value": 164},
3597 {"name": "RMI_PERF_SEL_LEVEL_ADD_UTCL1_TO_UTCL2", "value": 165},
3598 {"name": "RMI_PERF_SEL_PROBE_UTCL1_XNACK_RETRY", "value": 166},
3599 {"name": "RMI_PERF_SEL_PROBE_UTCL1_ALL_FAULT", "value": 167},
3600 {"name": "RMI_PERF_SEL_PROBE_UTCL1_PRT_FAULT", "value": 168},
3601 {"name": "RMI_PERF_SEL_PROBE_UTCL1_VMID_BYPASS", "value": 169},
3602 {"name": "RMI_PERF_SEL_PROBE_UTCL1_XNACK_NORETRY_FAULT", "value": 170},
3603 {"name": "RMI_PERF_SEL_XNACK_FIFO_NUM_USED", "value": 171},
3604 {"name": "RMI_PERF_SEL_LAT_FIFO_NUM_USED", "value": 172},
3605 {"name": "RMI_PERF_SEL_LAT_FIFO_BLOCKING_REQ", "value": 173},
3606 {"name": "RMI_PERF_SEL_LAT_FIFO_NONBLOCKING_REQ", "value": 174},
3607 {"name": "RMI_PERF_SEL_XNACK_FIFO_FULL", "value": 175},
3608 {"name": "RMI_PERF_SEL_XNACK_FIFO_BUSY", "value": 176},
3609 {"name": "RMI_PERF_SEL_LAT_FIFO_FULL", "value": 177},
3610 {"name": "RMI_PERF_SEL_SKID_FIFO_DEPTH", "value": 178},
3611 {"name": "RMI_PERF_SEL_TCIW_INFLIGHT_COUNT", "value": 179},
3612 {"name": "RMI_PERF_SEL_PRT_FIFO_NUM_USED", "value": 180},
3613 {"name": "RMI_PERF_SEL_PRT_FIFO_REQ", "value": 181},
3614 {"name": "RMI_PERF_SEL_PRT_FIFO_BUSY", "value": 182},
3615 {"name": "RMI_PERF_SEL_TCIW_REQ", "value": 183},
3616 {"name": "RMI_PERF_SEL_TCIW_BUSY", "value": 184},
3617 {"name": "RMI_PERF_SEL_SKID_FIFO_REQ", "value": 185},
3618 {"name": "RMI_PERF_SEL_SKID_FIFO_BUSY", "value": 186},
3619 {"name": "RMI_PERF_SEL_DEMUX_TCIW_RESIDENCY_NACK0", "value": 187},
3620 {"name": "RMI_PERF_SEL_DEMUX_TCIW_RESIDENCY_NACK1", "value": 188},
3621 {"name": "RMI_PERF_SEL_DEMUX_TCIW_RESIDENCY_NACK2", "value": 189},
3622 {"name": "RMI_PERF_SEL_DEMUX_TCIW_RESIDENCY_NACK3", "value": 190},
3623 {"name": "RMI_PERF_SEL_XBAR_PROBEGEN_RTS_RTR", "value": 191},
3624 {"name": "RMI_PERF_SEL_XBAR_PROBEGEN_RTSB_RTR", "value": 192},
3625 {"name": "RMI_PERF_SEL_XBAR_PROBEGEN_RTS_RTRB", "value": 193},
3626 {"name": "RMI_PERF_SEL_XBAR_PROBEGEN_RTSB_RTRB", "value": 194},
3627 {"name": "RMI_PERF_SEL_DEMUX_TCIW_FORMATTER_RTS_RTR", "value": 195},
3628 {"name": "RMI_PERF_SEL_DEMUX_TCIW_FORMATTER_RTSB_RTR", "value": 196},
3629 {"name": "RMI_PERF_SEL_DEMUX_TCIW_FORMATTER_RTS_RTRB", "value": 197},
3630 {"name": "RMI_PERF_SEL_DEMUX_TCIW_FORMATTER_RTSB_RTRB", "value": 198},
3631 {"name": "RMI_PERF_SEL_WRREQCONSUMER_XBAR_WRREQ_RTS_RTR", "value": 199},
3632 {"name": "RMI_PERF_SEL_WRREQCONSUMER_XBAR_WRREQ_RTSB_RTR", "value": 200},
3633 {"name": "RMI_PERF_SEL_WRREQCONSUMER_XBAR_WRREQ_RTS_RTRB", "value": 201},
3634 {"name": "RMI_PERF_SEL_WRREQCONSUMER_XBAR_WRREQ_RTSB_RTRB", "value": 202},
3635 {"name": "RMI_PERF_SEL_RDREQCONSUMER_XBAR_RDREQ_RTS_RTR", "value": 203},
3636 {"name": "RMI_PERF_SEL_RDREQCONSUMER_XBAR_RDREQ_RTSB_RTR", "value": 204},
3637 {"name": "RMI_PERF_SEL_RDREQCONSUMER_XBAR_RDREQ_RTS_RTRB", "value": 205},
3638 {"name": "RMI_PERF_SEL_RDREQCONSUMER_XBAR_RDREQ_RTSB_RTRB", "value": 206},
3639 {"name": "RMI_PERF_SEL_POP_DEMUX_RTS_RTR", "value": 207},
3640 {"name": "RMI_PERF_SEL_POP_DEMUX_RTSB_RTR", "value": 208},
3641 {"name": "RMI_PERF_SEL_POP_DEMUX_RTS_RTRB", "value": 209},
3642 {"name": "RMI_PERF_SEL_POP_DEMUX_RTSB_RTRB", "value": 210},
3643 {"name": "RMI_PERF_SEL_PROBEGEN_UTC_RTS_RTR", "value": 211},
3644 {"name": "RMI_PERF_SEL_LEVEL_ADD_RMI_TO_UTC", "value": 212},
3645 {"name": "RMI_PERF_SEL_PROBEGEN_UTC_RTSB_RTR", "value": 213},
3646 {"name": "RMI_PERF_SEL_PROBEGEN_UTC_RTS_RTRB", "value": 214},
3647 {"name": "RMI_PERF_SEL_PROBEGEN_UTC_RTSB_RTRB", "value": 215},
3648 {"name": "RMI_PERF_SEL_UTC_POP_RTS_RTR", "value": 216},
3649 {"name": "RMI_PERF_SEL_UTC_POP_RTSB_RTR", "value": 217},
3650 {"name": "RMI_PERF_SEL_UTC_POP_RTS_RTRB", "value": 218},
3651 {"name": "RMI_PERF_SEL_UTC_POP_RTSB_RTRB", "value": 219},
3652 {"name": "RMI_PERF_SEL_POP_XNACK_RTS_RTR", "value": 220},
3653 {"name": "RMI_PERF_SEL_POP_XNACK_RTSB_RTR", "value": 221},
3654 {"name": "RMI_PERF_SEL_POP_XNACK_RTS_RTRB", "value": 222},
3655 {"name": "RMI_PERF_SEL_POP_XNACK_RTSB_RTRB", "value": 223},
3656 {"name": "RMI_PERF_SEL_XNACK_PROBEGEN_RTS_RTR", "value": 224},
3657 {"name": "RMI_PERF_SEL_XNACK_PROBEGEN_RTSB_RTR", "value": 225},
3658 {"name": "RMI_PERF_SEL_XNACK_PROBEGEN_RTS_RTRB", "value": 226},
3659 {"name": "RMI_PERF_SEL_XNACK_PROBEGEN_RTSB_RTRB", "value": 227},
3660 {"name": "RMI_PERF_SEL_PRTFIFO_RTNFORMATTER_RTS_RTR", "value": 228},
3661 {"name": "RMI_PERF_SEL_PRTFIFO_RTNFORMATTER_RTSB_RTR", "value": 229},
3662 {"name": "RMI_PERF_SEL_PRTFIFO_RTNFORMATTER_RTS_RTRB", "value": 230},
3663 {"name": "RMI_PERF_SEL_PRTFIFO_RTNFORMATTER_RTSB_RTRB", "value": 231},
3664 {"name": "RMI_PERF_SEL_SKID_FIFO_IN_RTS", "value": 232},
3665 {"name": "RMI_PERF_SEL_SKID_FIFO_IN_RTSB", "value": 233},
3666 {"name": "RMI_PERF_SEL_SKID_FIFO_OUT_RTS", "value": 234},
3667 {"name": "RMI_PERF_SEL_SKID_FIFO_OUT_RTSB", "value": 235},
3668 {"name": "RMI_PERF_SEL_XBAR_PROBEGEN_READ_RTS_RTR", "value": 236},
3669 {"name": "RMI_PERF_SEL_XBAR_PROBEGEN_WRITE_RTS_RTR", "value": 237},
3670 {"name": "RMI_PERF_SEL_XBAR_PROBEGEN_IN0_RTS_RTR", "value": 238},
3671 {"name": "RMI_PERF_SEL_XBAR_PROBEGEN_IN1_RTS_RTR", "value": 239},
3672 {"name": "RMI_PERF_SEL_XBAR_PROBEGEN_CB_RTS_RTR", "value": 240},
3673 {"name": "RMI_PERF_SEL_XBAR_PROBEGEN_DB_RTS_RTR", "value": 241},
3674 {"name": "RMI_PERF_SEL_REORDER_FIFO_REQ", "value": 242},
3675 {"name": "RMI_PERF_SEL_REORDER_FIFO_BUSY", "value": 243},
3676 {"name": "RMI_PERF_SEL_RMI_RB_EARLY_WRACK_ALL_CID", "value": 244},
3677 {"name": "RMI_PERF_SEL_RMI_RB_EARLY_WRACK_CID0", "value": 245},
3678 {"name": "RMI_PERF_SEL_RMI_RB_EARLY_WRACK_CID1", "value": 246},
3679 {"name": "RMI_PERF_SEL_RMI_RB_EARLY_WRACK_CID2", "value": 247},
3680 {"name": "RMI_PERF_SEL_RMI_RB_EARLY_WRACK_CID3", "value": 248},
3681 {"name": "RMI_PERF_SEL_RMI_RB_EARLY_WRACK_CID4", "value": 249},
3682 {"name": "RMI_PERF_SEL_RMI_RB_EARLY_WRACK_CID5", "value": 250},
3683 {"name": "RMI_PERF_SEL_RMI_RB_EARLY_WRACK_CID6", "value": 251},
3684 {"name": "RMI_PERF_SEL_RMI_RB_EARLY_WRACK_CID7", "value": 252},
3685 {"name": "RMI_PERF_SEL_RMI_RB_EARLY_WRACK_NACK0", "value": 253},
3686 {"name": "RMI_PERF_SEL_RMI_RB_EARLY_WRACK_NACK1", "value": 254},
3687 {"name": "RMI_PERF_SEL_RMI_RB_EARLY_WRACK_NACK2", "value": 255},
3688 {"name": "RMI_PERF_SEL_RMI_RB_EARLY_WRACK_NACK3", "value": 256},
3689 {"name": "RMI_PERF_SEL_UTCL0_UTCL1_PERM_FAULT", "value": 257}
3690 ]
3691 },
3692 "RbMap": {
3693 "entries": [
3694 {"name": "RASTER_CONFIG_RB_MAP_0", "value": 0},
3695 {"name": "RASTER_CONFIG_RB_MAP_1", "value": 1},
3696 {"name": "RASTER_CONFIG_RB_MAP_2", "value": 2},
3697 {"name": "RASTER_CONFIG_RB_MAP_3", "value": 3}
3698 ]
3699 },
3700 "RbXsel": {
3701 "entries": [
3702 {"name": "RASTER_CONFIG_RB_XSEL_0", "value": 0},
3703 {"name": "RASTER_CONFIG_RB_XSEL_1", "value": 1}
3704 ]
3705 },
3706 "RbXsel2": {
3707 "entries": [
3708 {"name": "RASTER_CONFIG_RB_XSEL2_0", "value": 0},
3709 {"name": "RASTER_CONFIG_RB_XSEL2_1", "value": 1},
3710 {"name": "RASTER_CONFIG_RB_XSEL2_2", "value": 2},
3711 {"name": "RASTER_CONFIG_RB_XSEL2_3", "value": 3}
3712 ]
3713 },
3714 "RbYsel": {
3715 "entries": [
3716 {"name": "RASTER_CONFIG_RB_YSEL_0", "value": 0},
3717 {"name": "RASTER_CONFIG_RB_YSEL_1", "value": 1}
3718 ]
3719 },
3720 "SC_PERFCNT_SEL": {
3721 "entries": [
3722 {"name": "SC_SRPS_WINDOW_VALID", "value": 0},
3723 {"name": "SC_PSSW_WINDOW_VALID", "value": 1},
3724 {"name": "SC_TPQZ_WINDOW_VALID", "value": 2},
3725 {"name": "SC_QZQP_WINDOW_VALID", "value": 3},
3726 {"name": "SC_TRPK_WINDOW_VALID", "value": 4},
3727 {"name": "SC_SRPS_WINDOW_VALID_BUSY", "value": 5},
3728 {"name": "SC_PSSW_WINDOW_VALID_BUSY", "value": 6},
3729 {"name": "SC_TPQZ_WINDOW_VALID_BUSY", "value": 7},
3730 {"name": "SC_QZQP_WINDOW_VALID_BUSY", "value": 8},
3731 {"name": "SC_TRPK_WINDOW_VALID_BUSY", "value": 9},
3732 {"name": "SC_STARVED_BY_PA", "value": 10},
3733 {"name": "SC_STALLED_BY_PRIMFIFO", "value": 11},
3734 {"name": "SC_STALLED_BY_DB_TILE", "value": 12},
3735 {"name": "SC_STARVED_BY_DB_TILE", "value": 13},
3736 {"name": "SC_STALLED_BY_TILEORDERFIFO", "value": 14},
3737 {"name": "SC_STALLED_BY_TILEFIFO", "value": 15},
3738 {"name": "SC_STALLED_BY_DB_QUAD", "value": 16},
3739 {"name": "SC_STARVED_BY_DB_QUAD", "value": 17},
3740 {"name": "SC_STALLED_BY_QUADFIFO", "value": 18},
3741 {"name": "SC_STALLED_BY_BCI", "value": 19},
3742 {"name": "SC_STALLED_BY_SPI", "value": 20},
3743 {"name": "SC_SCISSOR_DISCARD", "value": 21},
3744 {"name": "SC_BB_DISCARD", "value": 22},
3745 {"name": "SC_SUPERTILE_COUNT", "value": 23},
3746 {"name": "SC_SUPERTILE_PER_PRIM_H0", "value": 24},
3747 {"name": "SC_SUPERTILE_PER_PRIM_H1", "value": 25},
3748 {"name": "SC_SUPERTILE_PER_PRIM_H2", "value": 26},
3749 {"name": "SC_SUPERTILE_PER_PRIM_H3", "value": 27},
3750 {"name": "SC_SUPERTILE_PER_PRIM_H4", "value": 28},
3751 {"name": "SC_SUPERTILE_PER_PRIM_H5", "value": 29},
3752 {"name": "SC_SUPERTILE_PER_PRIM_H6", "value": 30},
3753 {"name": "SC_SUPERTILE_PER_PRIM_H7", "value": 31},
3754 {"name": "SC_SUPERTILE_PER_PRIM_H8", "value": 32},
3755 {"name": "SC_SUPERTILE_PER_PRIM_H9", "value": 33},
3756 {"name": "SC_SUPERTILE_PER_PRIM_H10", "value": 34},
3757 {"name": "SC_SUPERTILE_PER_PRIM_H11", "value": 35},
3758 {"name": "SC_SUPERTILE_PER_PRIM_H12", "value": 36},
3759 {"name": "SC_SUPERTILE_PER_PRIM_H13", "value": 37},
3760 {"name": "SC_SUPERTILE_PER_PRIM_H14", "value": 38},
3761 {"name": "SC_SUPERTILE_PER_PRIM_H15", "value": 39},
3762 {"name": "SC_SUPERTILE_PER_PRIM_H16", "value": 40},
3763 {"name": "SC_TILE_PER_PRIM_H0", "value": 41},
3764 {"name": "SC_TILE_PER_PRIM_H1", "value": 42},
3765 {"name": "SC_TILE_PER_PRIM_H2", "value": 43},
3766 {"name": "SC_TILE_PER_PRIM_H3", "value": 44},
3767 {"name": "SC_TILE_PER_PRIM_H4", "value": 45},
3768 {"name": "SC_TILE_PER_PRIM_H5", "value": 46},
3769 {"name": "SC_TILE_PER_PRIM_H6", "value": 47},
3770 {"name": "SC_TILE_PER_PRIM_H7", "value": 48},
3771 {"name": "SC_TILE_PER_PRIM_H8", "value": 49},
3772 {"name": "SC_TILE_PER_PRIM_H9", "value": 50},
3773 {"name": "SC_TILE_PER_PRIM_H10", "value": 51},
3774 {"name": "SC_TILE_PER_PRIM_H11", "value": 52},
3775 {"name": "SC_TILE_PER_PRIM_H12", "value": 53},
3776 {"name": "SC_TILE_PER_PRIM_H13", "value": 54},
3777 {"name": "SC_TILE_PER_PRIM_H14", "value": 55},
3778 {"name": "SC_TILE_PER_PRIM_H15", "value": 56},
3779 {"name": "SC_TILE_PER_PRIM_H16", "value": 57},
3780 {"name": "SC_TILE_PER_SUPERTILE_H0", "value": 58},
3781 {"name": "SC_TILE_PER_SUPERTILE_H1", "value": 59},
3782 {"name": "SC_TILE_PER_SUPERTILE_H2", "value": 60},
3783 {"name": "SC_TILE_PER_SUPERTILE_H3", "value": 61},
3784 {"name": "SC_TILE_PER_SUPERTILE_H4", "value": 62},
3785 {"name": "SC_TILE_PER_SUPERTILE_H5", "value": 63},
3786 {"name": "SC_TILE_PER_SUPERTILE_H6", "value": 64},
3787 {"name": "SC_TILE_PER_SUPERTILE_H7", "value": 65},
3788 {"name": "SC_TILE_PER_SUPERTILE_H8", "value": 66},
3789 {"name": "SC_TILE_PER_SUPERTILE_H9", "value": 67},
3790 {"name": "SC_TILE_PER_SUPERTILE_H10", "value": 68},
3791 {"name": "SC_TILE_PER_SUPERTILE_H11", "value": 69},
3792 {"name": "SC_TILE_PER_SUPERTILE_H12", "value": 70},
3793 {"name": "SC_TILE_PER_SUPERTILE_H13", "value": 71},
3794 {"name": "SC_TILE_PER_SUPERTILE_H14", "value": 72},
3795 {"name": "SC_TILE_PER_SUPERTILE_H15", "value": 73},
3796 {"name": "SC_TILE_PER_SUPERTILE_H16", "value": 74},
3797 {"name": "SC_TILE_PICKED_H1", "value": 75},
3798 {"name": "SC_TILE_PICKED_H2", "value": 76},
3799 {"name": "SC_TILE_PICKED_H3", "value": 77},
3800 {"name": "SC_TILE_PICKED_H4", "value": 78},
3801 {"name": "SC_QZ0_TILE_COUNT", "value": 79},
3802 {"name": "SC_QZ1_TILE_COUNT", "value": 80},
3803 {"name": "SC_QZ2_TILE_COUNT", "value": 81},
3804 {"name": "SC_QZ3_TILE_COUNT", "value": 82},
3805 {"name": "SC_QZ0_TILE_COVERED_COUNT", "value": 83},
3806 {"name": "SC_QZ1_TILE_COVERED_COUNT", "value": 84},
3807 {"name": "SC_QZ2_TILE_COVERED_COUNT", "value": 85},
3808 {"name": "SC_QZ3_TILE_COVERED_COUNT", "value": 86},
3809 {"name": "SC_QZ0_TILE_NOT_COVERED_COUNT", "value": 87},
3810 {"name": "SC_QZ1_TILE_NOT_COVERED_COUNT", "value": 88},
3811 {"name": "SC_QZ2_TILE_NOT_COVERED_COUNT", "value": 89},
3812 {"name": "SC_QZ3_TILE_NOT_COVERED_COUNT", "value": 90},
3813 {"name": "SC_QZ0_QUAD_PER_TILE_H0", "value": 91},
3814 {"name": "SC_QZ0_QUAD_PER_TILE_H1", "value": 92},
3815 {"name": "SC_QZ0_QUAD_PER_TILE_H2", "value": 93},
3816 {"name": "SC_QZ0_QUAD_PER_TILE_H3", "value": 94},
3817 {"name": "SC_QZ0_QUAD_PER_TILE_H4", "value": 95},
3818 {"name": "SC_QZ0_QUAD_PER_TILE_H5", "value": 96},
3819 {"name": "SC_QZ0_QUAD_PER_TILE_H6", "value": 97},
3820 {"name": "SC_QZ0_QUAD_PER_TILE_H7", "value": 98},
3821 {"name": "SC_QZ0_QUAD_PER_TILE_H8", "value": 99},
3822 {"name": "SC_QZ0_QUAD_PER_TILE_H9", "value": 100},
3823 {"name": "SC_QZ0_QUAD_PER_TILE_H10", "value": 101},
3824 {"name": "SC_QZ0_QUAD_PER_TILE_H11", "value": 102},
3825 {"name": "SC_QZ0_QUAD_PER_TILE_H12", "value": 103},
3826 {"name": "SC_QZ0_QUAD_PER_TILE_H13", "value": 104},
3827 {"name": "SC_QZ0_QUAD_PER_TILE_H14", "value": 105},
3828 {"name": "SC_QZ0_QUAD_PER_TILE_H15", "value": 106},
3829 {"name": "SC_QZ0_QUAD_PER_TILE_H16", "value": 107},
3830 {"name": "SC_QZ1_QUAD_PER_TILE_H0", "value": 108},
3831 {"name": "SC_QZ1_QUAD_PER_TILE_H1", "value": 109},
3832 {"name": "SC_QZ1_QUAD_PER_TILE_H2", "value": 110},
3833 {"name": "SC_QZ1_QUAD_PER_TILE_H3", "value": 111},
3834 {"name": "SC_QZ1_QUAD_PER_TILE_H4", "value": 112},
3835 {"name": "SC_QZ1_QUAD_PER_TILE_H5", "value": 113},
3836 {"name": "SC_QZ1_QUAD_PER_TILE_H6", "value": 114},
3837 {"name": "SC_QZ1_QUAD_PER_TILE_H7", "value": 115},
3838 {"name": "SC_QZ1_QUAD_PER_TILE_H8", "value": 116},
3839 {"name": "SC_QZ1_QUAD_PER_TILE_H9", "value": 117},
3840 {"name": "SC_QZ1_QUAD_PER_TILE_H10", "value": 118},
3841 {"name": "SC_QZ1_QUAD_PER_TILE_H11", "value": 119},
3842 {"name": "SC_QZ1_QUAD_PER_TILE_H12", "value": 120},
3843 {"name": "SC_QZ1_QUAD_PER_TILE_H13", "value": 121},
3844 {"name": "SC_QZ1_QUAD_PER_TILE_H14", "value": 122},
3845 {"name": "SC_QZ1_QUAD_PER_TILE_H15", "value": 123},
3846 {"name": "SC_QZ1_QUAD_PER_TILE_H16", "value": 124},
3847 {"name": "SC_QZ2_QUAD_PER_TILE_H0", "value": 125},
3848 {"name": "SC_QZ2_QUAD_PER_TILE_H1", "value": 126},
3849 {"name": "SC_QZ2_QUAD_PER_TILE_H2", "value": 127},
3850 {"name": "SC_QZ2_QUAD_PER_TILE_H3", "value": 128},
3851 {"name": "SC_QZ2_QUAD_PER_TILE_H4", "value": 129},
3852 {"name": "SC_QZ2_QUAD_PER_TILE_H5", "value": 130},
3853 {"name": "SC_QZ2_QUAD_PER_TILE_H6", "value": 131},
3854 {"name": "SC_QZ2_QUAD_PER_TILE_H7", "value": 132},
3855 {"name": "SC_QZ2_QUAD_PER_TILE_H8", "value": 133},
3856 {"name": "SC_QZ2_QUAD_PER_TILE_H9", "value": 134},
3857 {"name": "SC_QZ2_QUAD_PER_TILE_H10", "value": 135},
3858 {"name": "SC_QZ2_QUAD_PER_TILE_H11", "value": 136},
3859 {"name": "SC_QZ2_QUAD_PER_TILE_H12", "value": 137},
3860 {"name": "SC_QZ2_QUAD_PER_TILE_H13", "value": 138},
3861 {"name": "SC_QZ2_QUAD_PER_TILE_H14", "value": 139},
3862 {"name": "SC_QZ2_QUAD_PER_TILE_H15", "value": 140},
3863 {"name": "SC_QZ2_QUAD_PER_TILE_H16", "value": 141},
3864 {"name": "SC_QZ3_QUAD_PER_TILE_H0", "value": 142},
3865 {"name": "SC_QZ3_QUAD_PER_TILE_H1", "value": 143},
3866 {"name": "SC_QZ3_QUAD_PER_TILE_H2", "value": 144},
3867 {"name": "SC_QZ3_QUAD_PER_TILE_H3", "value": 145},
3868 {"name": "SC_QZ3_QUAD_PER_TILE_H4", "value": 146},
3869 {"name": "SC_QZ3_QUAD_PER_TILE_H5", "value": 147},
3870 {"name": "SC_QZ3_QUAD_PER_TILE_H6", "value": 148},
3871 {"name": "SC_QZ3_QUAD_PER_TILE_H7", "value": 149},
3872 {"name": "SC_QZ3_QUAD_PER_TILE_H8", "value": 150},
3873 {"name": "SC_QZ3_QUAD_PER_TILE_H9", "value": 151},
3874 {"name": "SC_QZ3_QUAD_PER_TILE_H10", "value": 152},
3875 {"name": "SC_QZ3_QUAD_PER_TILE_H11", "value": 153},
3876 {"name": "SC_QZ3_QUAD_PER_TILE_H12", "value": 154},
3877 {"name": "SC_QZ3_QUAD_PER_TILE_H13", "value": 155},
3878 {"name": "SC_QZ3_QUAD_PER_TILE_H14", "value": 156},
3879 {"name": "SC_QZ3_QUAD_PER_TILE_H15", "value": 157},
3880 {"name": "SC_QZ3_QUAD_PER_TILE_H16", "value": 158},
3881 {"name": "SC_QZ0_QUAD_COUNT", "value": 159},
3882 {"name": "SC_QZ1_QUAD_COUNT", "value": 160},
3883 {"name": "SC_QZ2_QUAD_COUNT", "value": 161},
3884 {"name": "SC_QZ3_QUAD_COUNT", "value": 162},
3885 {"name": "SC_P0_HIZ_TILE_COUNT", "value": 163},
3886 {"name": "SC_P1_HIZ_TILE_COUNT", "value": 164},
3887 {"name": "SC_P2_HIZ_TILE_COUNT", "value": 165},
3888 {"name": "SC_P3_HIZ_TILE_COUNT", "value": 166},
3889 {"name": "SC_P0_HIZ_QUAD_PER_TILE_H0", "value": 167},
3890 {"name": "SC_P0_HIZ_QUAD_PER_TILE_H1", "value": 168},
3891 {"name": "SC_P0_HIZ_QUAD_PER_TILE_H2", "value": 169},
3892 {"name": "SC_P0_HIZ_QUAD_PER_TILE_H3", "value": 170},
3893 {"name": "SC_P0_HIZ_QUAD_PER_TILE_H4", "value": 171},
3894 {"name": "SC_P0_HIZ_QUAD_PER_TILE_H5", "value": 172},
3895 {"name": "SC_P0_HIZ_QUAD_PER_TILE_H6", "value": 173},
3896 {"name": "SC_P0_HIZ_QUAD_PER_TILE_H7", "value": 174},
3897 {"name": "SC_P0_HIZ_QUAD_PER_TILE_H8", "value": 175},
3898 {"name": "SC_P0_HIZ_QUAD_PER_TILE_H9", "value": 176},
3899 {"name": "SC_P0_HIZ_QUAD_PER_TILE_H10", "value": 177},
3900 {"name": "SC_P0_HIZ_QUAD_PER_TILE_H11", "value": 178},
3901 {"name": "SC_P0_HIZ_QUAD_PER_TILE_H12", "value": 179},
3902 {"name": "SC_P0_HIZ_QUAD_PER_TILE_H13", "value": 180},
3903 {"name": "SC_P0_HIZ_QUAD_PER_TILE_H14", "value": 181},
3904 {"name": "SC_P0_HIZ_QUAD_PER_TILE_H15", "value": 182},
3905 {"name": "SC_P0_HIZ_QUAD_PER_TILE_H16", "value": 183},
3906 {"name": "SC_P1_HIZ_QUAD_PER_TILE_H0", "value": 184},
3907 {"name": "SC_P1_HIZ_QUAD_PER_TILE_H1", "value": 185},
3908 {"name": "SC_P1_HIZ_QUAD_PER_TILE_H2", "value": 186},
3909 {"name": "SC_P1_HIZ_QUAD_PER_TILE_H3", "value": 187},
3910 {"name": "SC_P1_HIZ_QUAD_PER_TILE_H4", "value": 188},
3911 {"name": "SC_P1_HIZ_QUAD_PER_TILE_H5", "value": 189},
3912 {"name": "SC_P1_HIZ_QUAD_PER_TILE_H6", "value": 190},
3913 {"name": "SC_P1_HIZ_QUAD_PER_TILE_H7", "value": 191},
3914 {"name": "SC_P1_HIZ_QUAD_PER_TILE_H8", "value": 192},
3915 {"name": "SC_P1_HIZ_QUAD_PER_TILE_H9", "value": 193},
3916 {"name": "SC_P1_HIZ_QUAD_PER_TILE_H10", "value": 194},
3917 {"name": "SC_P1_HIZ_QUAD_PER_TILE_H11", "value": 195},
3918 {"name": "SC_P1_HIZ_QUAD_PER_TILE_H12", "value": 196},
3919 {"name": "SC_P1_HIZ_QUAD_PER_TILE_H13", "value": 197},
3920 {"name": "SC_P1_HIZ_QUAD_PER_TILE_H14", "value": 198},
3921 {"name": "SC_P1_HIZ_QUAD_PER_TILE_H15", "value": 199},
3922 {"name": "SC_P1_HIZ_QUAD_PER_TILE_H16", "value": 200},
3923 {"name": "SC_P2_HIZ_QUAD_PER_TILE_H0", "value": 201},
3924 {"name": "SC_P2_HIZ_QUAD_PER_TILE_H1", "value": 202},
3925 {"name": "SC_P2_HIZ_QUAD_PER_TILE_H2", "value": 203},
3926 {"name": "SC_P2_HIZ_QUAD_PER_TILE_H3", "value": 204},
3927 {"name": "SC_P2_HIZ_QUAD_PER_TILE_H4", "value": 205},
3928 {"name": "SC_P2_HIZ_QUAD_PER_TILE_H5", "value": 206},
3929 {"name": "SC_P2_HIZ_QUAD_PER_TILE_H6", "value": 207},
3930 {"name": "SC_P2_HIZ_QUAD_PER_TILE_H7", "value": 208},
3931 {"name": "SC_P2_HIZ_QUAD_PER_TILE_H8", "value": 209},
3932 {"name": "SC_P2_HIZ_QUAD_PER_TILE_H9", "value": 210},
3933 {"name": "SC_P2_HIZ_QUAD_PER_TILE_H10", "value": 211},
3934 {"name": "SC_P2_HIZ_QUAD_PER_TILE_H11", "value": 212},
3935 {"name": "SC_P2_HIZ_QUAD_PER_TILE_H12", "value": 213},
3936 {"name": "SC_P2_HIZ_QUAD_PER_TILE_H13", "value": 214},
3937 {"name": "SC_P2_HIZ_QUAD_PER_TILE_H14", "value": 215},
3938 {"name": "SC_P2_HIZ_QUAD_PER_TILE_H15", "value": 216},
3939 {"name": "SC_P2_HIZ_QUAD_PER_TILE_H16", "value": 217},
3940 {"name": "SC_P3_HIZ_QUAD_PER_TILE_H0", "value": 218},
3941 {"name": "SC_P3_HIZ_QUAD_PER_TILE_H1", "value": 219},
3942 {"name": "SC_P3_HIZ_QUAD_PER_TILE_H2", "value": 220},
3943 {"name": "SC_P3_HIZ_QUAD_PER_TILE_H3", "value": 221},
3944 {"name": "SC_P3_HIZ_QUAD_PER_TILE_H4", "value": 222},
3945 {"name": "SC_P3_HIZ_QUAD_PER_TILE_H5", "value": 223},
3946 {"name": "SC_P3_HIZ_QUAD_PER_TILE_H6", "value": 224},
3947 {"name": "SC_P3_HIZ_QUAD_PER_TILE_H7", "value": 225},
3948 {"name": "SC_P3_HIZ_QUAD_PER_TILE_H8", "value": 226},
3949 {"name": "SC_P3_HIZ_QUAD_PER_TILE_H9", "value": 227},
3950 {"name": "SC_P3_HIZ_QUAD_PER_TILE_H10", "value": 228},
3951 {"name": "SC_P3_HIZ_QUAD_PER_TILE_H11", "value": 229},
3952 {"name": "SC_P3_HIZ_QUAD_PER_TILE_H12", "value": 230},
3953 {"name": "SC_P3_HIZ_QUAD_PER_TILE_H13", "value": 231},
3954 {"name": "SC_P3_HIZ_QUAD_PER_TILE_H14", "value": 232},
3955 {"name": "SC_P3_HIZ_QUAD_PER_TILE_H15", "value": 233},
3956 {"name": "SC_P3_HIZ_QUAD_PER_TILE_H16", "value": 234},
3957 {"name": "SC_P0_HIZ_QUAD_COUNT", "value": 235},
3958 {"name": "SC_P1_HIZ_QUAD_COUNT", "value": 236},
3959 {"name": "SC_P2_HIZ_QUAD_COUNT", "value": 237},
3960 {"name": "SC_P3_HIZ_QUAD_COUNT", "value": 238},
3961 {"name": "SC_P0_DETAIL_QUAD_COUNT", "value": 239},
3962 {"name": "SC_P1_DETAIL_QUAD_COUNT", "value": 240},
3963 {"name": "SC_P2_DETAIL_QUAD_COUNT", "value": 241},
3964 {"name": "SC_P3_DETAIL_QUAD_COUNT", "value": 242},
3965 {"name": "SC_P0_DETAIL_QUAD_WITH_1_PIX", "value": 243},
3966 {"name": "SC_P0_DETAIL_QUAD_WITH_2_PIX", "value": 244},
3967 {"name": "SC_P0_DETAIL_QUAD_WITH_3_PIX", "value": 245},
3968 {"name": "SC_P0_DETAIL_QUAD_WITH_4_PIX", "value": 246},
3969 {"name": "SC_P1_DETAIL_QUAD_WITH_1_PIX", "value": 247},
3970 {"name": "SC_P1_DETAIL_QUAD_WITH_2_PIX", "value": 248},
3971 {"name": "SC_P1_DETAIL_QUAD_WITH_3_PIX", "value": 249},
3972 {"name": "SC_P1_DETAIL_QUAD_WITH_4_PIX", "value": 250},
3973 {"name": "SC_P2_DETAIL_QUAD_WITH_1_PIX", "value": 251},
3974 {"name": "SC_P2_DETAIL_QUAD_WITH_2_PIX", "value": 252},
3975 {"name": "SC_P2_DETAIL_QUAD_WITH_3_PIX", "value": 253},
3976 {"name": "SC_P2_DETAIL_QUAD_WITH_4_PIX", "value": 254},
3977 {"name": "SC_P3_DETAIL_QUAD_WITH_1_PIX", "value": 255},
3978 {"name": "SC_P3_DETAIL_QUAD_WITH_2_PIX", "value": 256},
3979 {"name": "SC_P3_DETAIL_QUAD_WITH_3_PIX", "value": 257},
3980 {"name": "SC_P3_DETAIL_QUAD_WITH_4_PIX", "value": 258},
3981 {"name": "SC_EARLYZ_QUAD_COUNT", "value": 259},
3982 {"name": "SC_EARLYZ_QUAD_WITH_1_PIX", "value": 260},
3983 {"name": "SC_EARLYZ_QUAD_WITH_2_PIX", "value": 261},
3984 {"name": "SC_EARLYZ_QUAD_WITH_3_PIX", "value": 262},
3985 {"name": "SC_EARLYZ_QUAD_WITH_4_PIX", "value": 263},
3986 {"name": "SC_PKR_QUAD_PER_ROW_H1", "value": 264},
3987 {"name": "SC_PKR_QUAD_PER_ROW_H2", "value": 265},
3988 {"name": "SC_PKR_4X2_QUAD_SPLIT", "value": 266},
3989 {"name": "SC_PKR_4X2_FILL_QUAD", "value": 267},
3990 {"name": "SC_PKR_END_OF_VECTOR", "value": 268},
3991 {"name": "SC_PKR_CONTROL_XFER", "value": 269},
3992 {"name": "SC_PKR_DBHANG_FORCE_EOV", "value": 270},
3993 {"name": "SC_REG_SCLK_BUSY", "value": 271},
3994 {"name": "SC_GRP0_DYN_SCLK_BUSY", "value": 272},
3995 {"name": "SC_GRP1_DYN_SCLK_BUSY", "value": 273},
3996 {"name": "SC_GRP2_DYN_SCLK_BUSY", "value": 274},
3997 {"name": "SC_GRP3_DYN_SCLK_BUSY", "value": 275},
3998 {"name": "SC_GRP4_DYN_SCLK_BUSY", "value": 276},
3999 {"name": "SC_PA0_SC_DATA_FIFO_RD", "value": 277},
4000 {"name": "SC_PA0_SC_DATA_FIFO_WE", "value": 278},
4001 {"name": "SC_PA1_SC_DATA_FIFO_RD", "value": 279},
4002 {"name": "SC_PA1_SC_DATA_FIFO_WE", "value": 280},
4003 {"name": "SC_PS_ARB_XFC_ALL_EVENT_OR_PRIM_CYCLES", "value": 281},
4004 {"name": "SC_PS_ARB_XFC_ONLY_PRIM_CYCLES", "value": 282},
4005 {"name": "SC_PS_ARB_XFC_ONLY_ONE_INC_PER_PRIM", "value": 283},
4006 {"name": "SC_PS_ARB_STALLED_FROM_BELOW", "value": 284},
4007 {"name": "SC_PS_ARB_STARVED_FROM_ABOVE", "value": 285},
4008 {"name": "SC_PS_ARB_SC_BUSY", "value": 286},
4009 {"name": "SC_PS_ARB_PA_SC_BUSY", "value": 287},
4010 {"name": "SC_PA2_SC_DATA_FIFO_RD", "value": 288},
4011 {"name": "SC_PA2_SC_DATA_FIFO_WE", "value": 289},
4012 {"name": "SC_PA3_SC_DATA_FIFO_RD", "value": 290},
4013 {"name": "SC_PA3_SC_DATA_FIFO_WE", "value": 291},
4014 {"name": "SC_PA_SC_DEALLOC_0_0_WE", "value": 292},
4015 {"name": "SC_PA_SC_DEALLOC_0_1_WE", "value": 293},
4016 {"name": "SC_PA_SC_DEALLOC_1_0_WE", "value": 294},
4017 {"name": "SC_PA_SC_DEALLOC_1_1_WE", "value": 295},
4018 {"name": "SC_PA_SC_DEALLOC_2_0_WE", "value": 296},
4019 {"name": "SC_PA_SC_DEALLOC_2_1_WE", "value": 297},
4020 {"name": "SC_PA_SC_DEALLOC_3_0_WE", "value": 298},
4021 {"name": "SC_PA_SC_DEALLOC_3_1_WE", "value": 299},
4022 {"name": "SC_PA0_SC_EOP_WE", "value": 300},
4023 {"name": "SC_PA0_SC_EOPG_WE", "value": 301},
4024 {"name": "SC_PA0_SC_EVENT_WE", "value": 302},
4025 {"name": "SC_PA1_SC_EOP_WE", "value": 303},
4026 {"name": "SC_PA1_SC_EOPG_WE", "value": 304},
4027 {"name": "SC_PA1_SC_EVENT_WE", "value": 305},
4028 {"name": "SC_PA2_SC_EOP_WE", "value": 306},
4029 {"name": "SC_PA2_SC_EOPG_WE", "value": 307},
4030 {"name": "SC_PA2_SC_EVENT_WE", "value": 308},
4031 {"name": "SC_PA3_SC_EOP_WE", "value": 309},
4032 {"name": "SC_PA3_SC_EOPG_WE", "value": 310},
4033 {"name": "SC_PA3_SC_EVENT_WE", "value": 311},
4034 {"name": "SC_PS_ARB_OOO_THRESHOLD_SWITCH_TO_DESIRED_FIFO", "value": 312},
4035 {"name": "SC_PS_ARB_OOO_FIFO_EMPTY_SWITCH", "value": 313},
4036 {"name": "SC_PS_ARB_NULL_PRIM_BUBBLE_POP", "value": 314},
4037 {"name": "SC_PS_ARB_EOP_POP_SYNC_POP", "value": 315},
4038 {"name": "SC_PS_ARB_EVENT_SYNC_POP", "value": 316},
4039 {"name": "SC_SC_PS_ENG_MULTICYCLE_BUBBLE", "value": 317},
4040 {"name": "SC_PA0_SC_FPOV_WE", "value": 318},
4041 {"name": "SC_PA1_SC_FPOV_WE", "value": 319},
4042 {"name": "SC_PA2_SC_FPOV_WE", "value": 320},
4043 {"name": "SC_PA3_SC_FPOV_WE", "value": 321},
4044 {"name": "SC_PA0_SC_LPOV_WE", "value": 322},
4045 {"name": "SC_PA1_SC_LPOV_WE", "value": 323},
4046 {"name": "SC_PA2_SC_LPOV_WE", "value": 324},
4047 {"name": "SC_PA3_SC_LPOV_WE", "value": 325},
4048 {"name": "SC_SC_SPI_DEALLOC_0_0", "value": 326},
4049 {"name": "SC_SC_SPI_DEALLOC_0_1", "value": 327},
4050 {"name": "SC_SC_SPI_DEALLOC_0_2", "value": 328},
4051 {"name": "SC_SC_SPI_DEALLOC_1_0", "value": 329},
4052 {"name": "SC_SC_SPI_DEALLOC_1_1", "value": 330},
4053 {"name": "SC_SC_SPI_DEALLOC_1_2", "value": 331},
4054 {"name": "SC_SC_SPI_DEALLOC_2_0", "value": 332},
4055 {"name": "SC_SC_SPI_DEALLOC_2_1", "value": 333},
4056 {"name": "SC_SC_SPI_DEALLOC_2_2", "value": 334},
4057 {"name": "SC_SC_SPI_DEALLOC_3_0", "value": 335},
4058 {"name": "SC_SC_SPI_DEALLOC_3_1", "value": 336},
4059 {"name": "SC_SC_SPI_DEALLOC_3_2", "value": 337},
4060 {"name": "SC_SC_SPI_FPOV_0", "value": 338},
4061 {"name": "SC_SC_SPI_FPOV_1", "value": 339},
4062 {"name": "SC_SC_SPI_FPOV_2", "value": 340},
4063 {"name": "SC_SC_SPI_FPOV_3", "value": 341},
4064 {"name": "SC_SC_SPI_EVENT", "value": 342},
4065 {"name": "SC_PS_TS_EVENT_FIFO_PUSH", "value": 343},
4066 {"name": "SC_PS_TS_EVENT_FIFO_POP", "value": 344},
4067 {"name": "SC_PS_CTX_DONE_FIFO_PUSH", "value": 345},
4068 {"name": "SC_PS_CTX_DONE_FIFO_POP", "value": 346},
4069 {"name": "SC_MULTICYCLE_BUBBLE_FREEZE", "value": 347},
4070 {"name": "SC_EOP_SYNC_WINDOW", "value": 348},
4071 {"name": "SC_PA0_SC_NULL_WE", "value": 349},
4072 {"name": "SC_PA0_SC_NULL_DEALLOC_WE", "value": 350},
4073 {"name": "SC_PA0_SC_DATA_FIFO_EOPG_RD", "value": 351},
4074 {"name": "SC_PA0_SC_DATA_FIFO_EOP_RD", "value": 352},
4075 {"name": "SC_PA0_SC_DEALLOC_0_RD", "value": 353},
4076 {"name": "SC_PA0_SC_DEALLOC_1_RD", "value": 354},
4077 {"name": "SC_PA1_SC_DATA_FIFO_EOPG_RD", "value": 355},
4078 {"name": "SC_PA1_SC_DATA_FIFO_EOP_RD", "value": 356},
4079 {"name": "SC_PA1_SC_DEALLOC_0_RD", "value": 357},
4080 {"name": "SC_PA1_SC_DEALLOC_1_RD", "value": 358},
4081 {"name": "SC_PA1_SC_NULL_WE", "value": 359},
4082 {"name": "SC_PA1_SC_NULL_DEALLOC_WE", "value": 360},
4083 {"name": "SC_PA2_SC_DATA_FIFO_EOPG_RD", "value": 361},
4084 {"name": "SC_PA2_SC_DATA_FIFO_EOP_RD", "value": 362},
4085 {"name": "SC_PA2_SC_DEALLOC_0_RD", "value": 363},
4086 {"name": "SC_PA2_SC_DEALLOC_1_RD", "value": 364},
4087 {"name": "SC_PA2_SC_NULL_WE", "value": 365},
4088 {"name": "SC_PA2_SC_NULL_DEALLOC_WE", "value": 366},
4089 {"name": "SC_PA3_SC_DATA_FIFO_EOPG_RD", "value": 367},
4090 {"name": "SC_PA3_SC_DATA_FIFO_EOP_RD", "value": 368},
4091 {"name": "SC_PA3_SC_DEALLOC_0_RD", "value": 369},
4092 {"name": "SC_PA3_SC_DEALLOC_1_RD", "value": 370},
4093 {"name": "SC_PA3_SC_NULL_WE", "value": 371},
4094 {"name": "SC_PA3_SC_NULL_DEALLOC_WE", "value": 372},
4095 {"name": "SC_PS_PA0_SC_FIFO_EMPTY", "value": 373},
4096 {"name": "SC_PS_PA0_SC_FIFO_FULL", "value": 374},
4097 {"name": "SC_RESERVED_0", "value": 375},
4098 {"name": "SC_PS_PA1_SC_FIFO_EMPTY", "value": 376},
4099 {"name": "SC_PS_PA1_SC_FIFO_FULL", "value": 377},
4100 {"name": "SC_RESERVED_1", "value": 378},
4101 {"name": "SC_PS_PA2_SC_FIFO_EMPTY", "value": 379},
4102 {"name": "SC_PS_PA2_SC_FIFO_FULL", "value": 380},
4103 {"name": "SC_RESERVED_2", "value": 381},
4104 {"name": "SC_PS_PA3_SC_FIFO_EMPTY", "value": 382},
4105 {"name": "SC_PS_PA3_SC_FIFO_FULL", "value": 383},
4106 {"name": "SC_RESERVED_3", "value": 384},
4107 {"name": "SC_BUSY_PROCESSING_MULTICYCLE_PRIM", "value": 385},
4108 {"name": "SC_BUSY_CNT_NOT_ZERO", "value": 386},
4109 {"name": "SC_BM_BUSY", "value": 387},
4110 {"name": "SC_BACKEND_BUSY", "value": 388},
4111 {"name": "SC_SCF_SCB_INTERFACE_BUSY", "value": 389},
4112 {"name": "SC_SCB_BUSY", "value": 390},
4113 {"name": "SC_STARVED_BY_PA_WITH_UNSELECTED_PA_NOT_EMPTY", "value": 391},
4114 {"name": "SC_STARVED_BY_PA_WITH_UNSELECTED_PA_FULL", "value": 392},
4115 {"name": "SC_PBB_BIN_HIST_NUM_PRIMS", "value": 393},
4116 {"name": "SC_PBB_BATCH_HIST_NUM_PRIMS", "value": 394},
4117 {"name": "SC_PBB_BIN_HIST_NUM_CONTEXTS", "value": 395},
4118 {"name": "SC_PBB_BATCH_HIST_NUM_CONTEXTS", "value": 396},
4119 {"name": "SC_PBB_BIN_HIST_NUM_PERSISTENT_STATES", "value": 397},
4120 {"name": "SC_PBB_BATCH_HIST_NUM_PERSISTENT_STATES", "value": 398},
4121 {"name": "SC_PBB_BATCH_HIST_NUM_PS_WAVE_BREAKS", "value": 399},
4122 {"name": "SC_PBB_BATCH_HIST_NUM_TRIV_REJECTED_PRIMS", "value": 400},
4123 {"name": "SC_PBB_BATCH_HIST_NUM_ROWS_PER_PRIM", "value": 401},
4124 {"name": "SC_PBB_BATCH_HIST_NUM_COLUMNS_PER_ROW", "value": 402},
4125 {"name": "SC_PBB_BUSY", "value": 403},
4126 {"name": "SC_PBB_BUSY_AND_NO_SENDS", "value": 404},
4127 {"name": "SC_PBB_STALLS_PA_DUE_TO_NO_TILES", "value": 405},
4128 {"name": "SC_PBB_NUM_BINS", "value": 406},
4129 {"name": "SC_PBB_END_OF_BIN", "value": 407},
4130 {"name": "SC_PBB_END_OF_BATCH", "value": 408},
4131 {"name": "SC_PBB_PRIMBIN_PROCESSED", "value": 409},
4132 {"name": "SC_PBB_PRIM_ADDED_TO_BATCH", "value": 410},
4133 {"name": "SC_PBB_NONBINNED_PRIM", "value": 411},
4134 {"name": "SC_PBB_TOTAL_REAL_PRIMS_OUT_OF_PBB", "value": 412},
4135 {"name": "SC_PBB_TOTAL_NULL_PRIMS_OUT_OF_PBB", "value": 413},
4136 {"name": "SC_PBB_IDLE_CLK_DUE_TO_ROW_TO_COLUMN_TRANSITION", "value": 414},
4137 {"name": "SC_PBB_IDLE_CLK_DUE_TO_FALSE_POSITIVE_ON_ROW", "value": 415},
4138 {"name": "SC_PBB_IDLE_CLK_DUE_TO_FALSE_POSITIVE_ON_COLUMN", "value": 416},
4139 {"name": "SC_PBB_BATCH_BREAK_DUE_TO_PERSISTENT_STATE", "value": 417},
4140 {"name": "SC_PBB_BATCH_BREAK_DUE_TO_CONTEXT_STATE", "value": 418},
4141 {"name": "SC_PBB_BATCH_BREAK_DUE_TO_PRIM", "value": 419},
4142 {"name": "SC_PBB_BATCH_BREAK_DUE_TO_PC_STORAGE", "value": 420},
4143 {"name": "SC_PBB_BATCH_BREAK_DUE_TO_EVENT", "value": 421},
4144 {"name": "SC_PBB_BATCH_BREAK_DUE_TO_FPOV_LIMIT", "value": 422},
4145 {"name": "SC_POPS_INTRA_WAVE_OVERLAPS", "value": 423},
4146 {"name": "SC_POPS_FORCE_EOV", "value": 424},
4147 {"name": "SC_PKR_QUAD_OVLP_NOT_FOUND_IN_WAVE_TABLE_AND_WAVES_SINCE_OVLP_SET_TO_MAX", "value": 425},
4148 {"name": "SC_PKR_QUAD_OVLP_NOT_FOUND_IN_WAVE_TABLE_AND_NO_CHANGE_TO_WAVES_SINCE_OVLP", "value": 426},
4149 {"name": "SC_PKR_QUAD_OVLP_FOUND_IN_WAVE_TABLE", "value": 427},
4150 {"name": "SC_FULL_FULL_QUAD", "value": 428},
4151 {"name": "SC_FULL_HALF_QUAD", "value": 429},
4152 {"name": "SC_FULL_QTR_QUAD", "value": 430},
4153 {"name": "SC_HALF_FULL_QUAD", "value": 431},
4154 {"name": "SC_HALF_HALF_QUAD", "value": 432},
4155 {"name": "SC_HALF_QTR_QUAD", "value": 433},
4156 {"name": "SC_QTR_FULL_QUAD", "value": 434},
4157 {"name": "SC_QTR_HALF_QUAD", "value": 435},
4158 {"name": "SC_QTR_QTR_QUAD", "value": 436},
4159 {"name": "SC_GRP5_DYN_SCLK_BUSY", "value": 437},
4160 {"name": "SC_GRP6_DYN_SCLK_BUSY", "value": 438},
4161 {"name": "SC_GRP7_DYN_SCLK_BUSY", "value": 439},
4162 {"name": "SC_GRP8_DYN_SCLK_BUSY", "value": 440},
4163 {"name": "SC_GRP9_DYN_SCLK_BUSY", "value": 441},
4164 {"name": "SC_PS_TO_BE_SCLK_GATE_STALL", "value": 442},
4165 {"name": "SC_PA_TO_PBB_SCLK_GATE_STALL_STALL", "value": 443},
4166 {"name": "SC_PK_BUSY", "value": 444},
4167 {"name": "SC_PK_MAX_DEALLOC_FORCE_EOV", "value": 445},
4168 {"name": "SC_PK_DEALLOC_WAVE_BREAK", "value": 446},
4169 {"name": "SC_SPI_SEND", "value": 447},
4170 {"name": "SC_SPI_CREDIT_AT_ZERO_WITH_PENDING_SEND", "value": 448},
4171 {"name": "SC_SPI_CREDIT_AT_MAX", "value": 449},
4172 {"name": "SC_SPI_CREDIT_AT_MAX_NO_PENDING_SEND", "value": 450},
4173 {"name": "SC_BCI_SEND", "value": 451},
4174 {"name": "SC_BCI_CREDIT_AT_ZERO_WITH_PENDING_SEND", "value": 452},
4175 {"name": "SC_BCI_CREDIT_AT_MAX", "value": 453},
4176 {"name": "SC_BCI_CREDIT_AT_MAX_NO_PENDING_SEND", "value": 454},
4177 {"name": "SC_SPIBC_FULL_FREEZE", "value": 455},
4178 {"name": "SC_PW_BM_PASS_EMPTY_PRIM", "value": 456},
4179 {"name": "SC_SUPERTILE_COUNT_EXCLUDE_PASS_EMPTY_PRIM", "value": 457},
4180 {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H0", "value": 458},
4181 {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H1", "value": 459},
4182 {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H2", "value": 460},
4183 {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H3", "value": 461},
4184 {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H4", "value": 462},
4185 {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H5", "value": 463},
4186 {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H6", "value": 464},
4187 {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H7", "value": 465},
4188 {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H8", "value": 466},
4189 {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H9", "value": 467},
4190 {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H10", "value": 468},
4191 {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H11", "value": 469},
4192 {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H12", "value": 470},
4193 {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H13", "value": 471},
4194 {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H14", "value": 472},
4195 {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H15", "value": 473},
4196 {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H16", "value": 474},
4197 {"name": "SC_DB0_TILE_INTERFACE_BUSY", "value": 475},
4198 {"name": "SC_DB0_TILE_INTERFACE_SEND", "value": 476},
4199 {"name": "SC_DB0_TILE_INTERFACE_SEND_EVENT", "value": 477},
4200 {"name": "SC_DB0_TILE_INTERFACE_SEND_SOP_ONLY_EVENT", "value": 478},
4201 {"name": "SC_DB0_TILE_INTERFACE_SEND_SOP", "value": 479},
4202 {"name": "SC_DB0_TILE_INTERFACE_CREDIT_AT_ZERO_WITH_PENDING_SEND", "value": 480},
4203 {"name": "SC_DB0_TILE_INTERFACE_CREDIT_AT_MAX", "value": 481},
4204 {"name": "SC_DB0_TILE_INTERFACE_CREDIT_AT_MAX_WITH_NO_PENDING_SEND", "value": 482},
4205 {"name": "SC_DB1_TILE_INTERFACE_BUSY", "value": 483},
4206 {"name": "SC_DB1_TILE_INTERFACE_SEND", "value": 484},
4207 {"name": "SC_DB1_TILE_INTERFACE_SEND_EVENT", "value": 485},
4208 {"name": "SC_DB1_TILE_INTERFACE_SEND_SOP_ONLY_EVENT", "value": 486},
4209 {"name": "SC_DB1_TILE_INTERFACE_SEND_SOP", "value": 487},
4210 {"name": "SC_DB1_TILE_INTERFACE_CREDIT_AT_ZERO_WITH_PENDING_SEND", "value": 488},
4211 {"name": "SC_DB1_TILE_INTERFACE_CREDIT_AT_MAX", "value": 489},
4212 {"name": "SC_DB1_TILE_INTERFACE_CREDIT_AT_MAX_WITH_NO_PENDING_SEND", "value": 490},
4213 {"name": "SC_BACKEND_PRIM_FIFO_FULL", "value": 491},
4214 {"name": "SC_PBB_BATCH_BREAK_DUE_TO_TIMEOUT_COUNTER", "value": 492},
4215 {"name": "SC_PBB_BATCH_BREAK_DUE_TO_NONBINNED_BATCH", "value": 493},
4216 {"name": "SC_PBB_BATCH_BREAK_DUE_TO_DEBUG_DATA_PER_DRAW_DISPATCH", "value": 494},
4217 {"name": "SC_PBB_BATCH_BREAK_DUE_TO_OVERRIDE_REGISTER_PERSISTENT", "value": 495},
4218 {"name": "SC_PBB_BATCH_BREAK_DUE_TO_OVERRIDE_REGISTER_CONTEXT", "value": 496},
4219 {"name": "SC_PBB_BATCH_BREAK_DUE_TO_OVERRIDE_REGISTER_FPOV", "value": 497},
4220 {"name": "SC_PBB_BATCH_BREAK_DUE_TO_NEW_SC_MODE", "value": 498},
4221 {"name": "SC_PBB_BATCH_BREAK_DUE_TO_BINNING_MODE_CHANGE", "value": 499},
4222 {"name": "SC_PBB_BATCH_BREAK_DUE_TO_PIPELINE_EVENT_COUNT", "value": 500},
4223 {"name": "SC_PBB_BATCH_BREAK_DUE_TO_PIPE_RESET", "value": 501},
4224 {"name": "SC_PBB_BATCH_BREAK_DUE_TO_GFX_PIPE_CHANGE", "value": 502},
4225 {"name": "SC_STALLED_BY_DB0_TILEFIFO", "value": 503},
4226 {"name": "SC_DB0_QUAD_INTF_SEND", "value": 504},
4227 {"name": "SC_DB0_QUAD_INTF_BUSY", "value": 505},
4228 {"name": "SC_DB0_QUAD_INTF_STALLED_BY_DB", "value": 506},
4229 {"name": "SC_DB0_QUAD_INTF_CREDIT_AT_MAX", "value": 507},
4230 {"name": "SC_DB0_QUAD_INTF_IDLE", "value": 508},
4231 {"name": "SC_DB1_QUAD_INTF_SEND", "value": 509},
4232 {"name": "SC_STALLED_BY_DB1_TILEFIFO", "value": 510},
4233 {"name": "SC_DB1_QUAD_INTF_BUSY", "value": 511},
4234 {"name": "SC_DB1_QUAD_INTF_STALLED_BY_DB", "value": 512},
4235 {"name": "SC_DB1_QUAD_INTF_CREDIT_AT_MAX", "value": 513},
4236 {"name": "SC_DB1_QUAD_INTF_IDLE", "value": 514},
4237 {"name": "SC_PKR_WAVE_BREAK_OUTSIDE_REGION", "value": 515},
4238 {"name": "SC_PKR_WAVE_BREAK_FULL_TILE", "value": 516}
4239 ]
4240 },
4241 "SPI_LB_WAVES_SELECT": {
4242 "entries": [
4243 {"name": "HS_GS", "value": 0},
4244 {"name": "VS_PS", "value": 1},
4245 {"name": "CS_NA", "value": 2},
4246 {"name": "SPI_LB_WAVES_RSVD", "value": 3}
4247 ]
4248 },
4249 "SPI_PERFCNT_SEL": {
4250 "entries": [
4251 {"name": "SPI_PERF_VS_WINDOW_VALID", "value": 0},
4252 {"name": "SPI_PERF_VS_BUSY", "value": 1},
4253 {"name": "SPI_PERF_VS_FIRST_WAVE", "value": 2},
4254 {"name": "SPI_PERF_VS_LAST_WAVE", "value": 3},
4255 {"name": "SPI_PERF_VS_LSHS_DEALLOC", "value": 4},
4256 {"name": "SPI_PERF_VS_POS0_STALL", "value": 5},
4257 {"name": "SPI_PERF_VS_POS1_STALL", "value": 6},
4258 {"name": "SPI_PERF_VS_CRAWLER_STALL", "value": 7},
4259 {"name": "SPI_PERF_VS_EVENT_WAVE", "value": 8},
4260 {"name": "SPI_PERF_VS_WAVE", "value": 9},
4261 {"name": "SPI_PERF_VS_PERS_UPD_FULL0", "value": 10},
4262 {"name": "SPI_PERF_VS_PERS_UPD_FULL1", "value": 11},
4263 {"name": "SPI_PERF_VS_LATE_ALLOC_FULL", "value": 12},
4264 {"name": "SPI_PERF_VS_FIRST_SUBGRP", "value": 13},
4265 {"name": "SPI_PERF_VS_LAST_SUBGRP", "value": 14},
4266 {"name": "SPI_PERF_VS_ALLOC_CNT", "value": 15},
4267 {"name": "SPI_PERF_VS_LATE_ALLOC_ACCUM", "value": 16},
4268 {"name": "SPI_PERF_GS_WINDOW_VALID", "value": 17},
4269 {"name": "SPI_PERF_GS_BUSY", "value": 18},
4270 {"name": "SPI_PERF_GS_CRAWLER_STALL", "value": 19},
4271 {"name": "SPI_PERF_GS_EVENT_WAVE", "value": 20},
4272 {"name": "SPI_PERF_GS_WAVE", "value": 21},
4273 {"name": "SPI_PERF_GS_PERS_UPD_FULL0", "value": 22},
4274 {"name": "SPI_PERF_GS_PERS_UPD_FULL1", "value": 23},
4275 {"name": "SPI_PERF_GS_FIRST_SUBGRP", "value": 24},
4276 {"name": "SPI_PERF_GS_LAST_SUBGRP", "value": 25},
4277 {"name": "SPI_PERF_GS_HS_DEALLOC", "value": 26},
4278 {"name": "SPI_PERF_GS_NGG_SE_LATE_ALLOC_LIMIT", "value": 27},
4279 {"name": "SPI_PERF_GS_GRP_FIFO_FULL", "value": 28},
4280 {"name": "SPI_PERF_GS_POS0_STALL", "value": 29},
4281 {"name": "SPI_PERF_GS_POS1_STALL", "value": 30},
4282 {"name": "SPI_PERF_GS_INDX0_STALL", "value": 31},
4283 {"name": "SPI_PERF_GS_INDX1_STALL", "value": 32},
4284 {"name": "SPI_PERF_HS_WINDOW_VALID", "value": 33},
4285 {"name": "SPI_PERF_HS_BUSY", "value": 34},
4286 {"name": "SPI_PERF_HS_CRAWLER_STALL", "value": 35},
4287 {"name": "SPI_PERF_HS_FIRST_WAVE", "value": 36},
4288 {"name": "SPI_PERF_HS_LAST_WAVE", "value": 37},
4289 {"name": "SPI_PERF_HS_LSHS_DEALLOC", "value": 38},
4290 {"name": "SPI_PERF_HS_OFFCHIP_LDS_STALL", "value": 39},
4291 {"name": "SPI_PERF_HS_EVENT_WAVE", "value": 40},
4292 {"name": "SPI_PERF_HS_WAVE", "value": 41},
4293 {"name": "SPI_PERF_HS_PERS_UPD_FULL0", "value": 42},
4294 {"name": "SPI_PERF_HS_PERS_UPD_FULL1", "value": 43},
4295 {"name": "SPI_PERF_CSG_WINDOW_VALID", "value": 44},
4296 {"name": "SPI_PERF_CSG_BUSY", "value": 45},
4297 {"name": "SPI_PERF_CSG_NUM_THREADGROUPS", "value": 46},
4298 {"name": "SPI_PERF_CSG_CRAWLER_STALL", "value": 47},
4299 {"name": "SPI_PERF_CSG_EVENT_WAVE", "value": 48},
4300 {"name": "SPI_PERF_CSG_WAVE", "value": 49},
4301 {"name": "SPI_PERF_CSN_WINDOW_VALID", "value": 50},
4302 {"name": "SPI_PERF_CSN_BUSY", "value": 51},
4303 {"name": "SPI_PERF_CSN_NUM_THREADGROUPS", "value": 52},
4304 {"name": "SPI_PERF_CSN_CRAWLER_STALL", "value": 53},
4305 {"name": "SPI_PERF_CSN_EVENT_WAVE", "value": 54},
4306 {"name": "SPI_PERF_CSN_WAVE", "value": 55},
4307 {"name": "SPI_PERF_PS0_WINDOW_VALID", "value": 56},
4308 {"name": "SPI_PERF_PS1_WINDOW_VALID", "value": 57},
4309 {"name": "SPI_PERF_PS2_WINDOW_VALID", "value": 58},
4310 {"name": "SPI_PERF_PS3_WINDOW_VALID", "value": 59},
4311 {"name": "SPI_PERF_PS0_BUSY", "value": 60},
4312 {"name": "SPI_PERF_PS1_BUSY", "value": 61},
4313 {"name": "SPI_PERF_PS2_BUSY", "value": 62},
4314 {"name": "SPI_PERF_PS3_BUSY", "value": 63},
4315 {"name": "SPI_PERF_PS0_ACTIVE", "value": 64},
4316 {"name": "SPI_PERF_PS1_ACTIVE", "value": 65},
4317 {"name": "SPI_PERF_PS2_ACTIVE", "value": 66},
4318 {"name": "SPI_PERF_PS3_ACTIVE", "value": 67},
4319 {"name": "SPI_PERF_PS0_DEALLOC", "value": 68},
4320 {"name": "SPI_PERF_PS1_DEALLOC", "value": 69},
4321 {"name": "SPI_PERF_PS2_DEALLOC", "value": 70},
4322 {"name": "SPI_PERF_PS3_DEALLOC", "value": 71},
4323 {"name": "SPI_PERF_PS0_FPOS_STALL", "value": 72},
4324 {"name": "SPI_PERF_PS1_FPOS_STALL", "value": 73},
4325 {"name": "SPI_PERF_PS2_FPOS_STALL", "value": 74},
4326 {"name": "SPI_PERF_PS3_FPOS_STALL", "value": 75},
4327 {"name": "SPI_PERF_PS0_EVENT_WAVE", "value": 76},
4328 {"name": "SPI_PERF_PS1_EVENT_WAVE", "value": 77},
4329 {"name": "SPI_PERF_PS2_EVENT_WAVE", "value": 78},
4330 {"name": "SPI_PERF_PS3_EVENT_WAVE", "value": 79},
4331 {"name": "SPI_PERF_PS0_WAVE", "value": 80},
4332 {"name": "SPI_PERF_PS1_WAVE", "value": 81},
4333 {"name": "SPI_PERF_PS2_WAVE", "value": 82},
4334 {"name": "SPI_PERF_PS3_WAVE", "value": 83},
4335 {"name": "SPI_PERF_PS0_OPT_WAVE", "value": 84},
4336 {"name": "SPI_PERF_PS1_OPT_WAVE", "value": 85},
4337 {"name": "SPI_PERF_PS2_OPT_WAVE", "value": 86},
4338 {"name": "SPI_PERF_PS3_OPT_WAVE", "value": 87},
4339 {"name": "SPI_PERF_PS0_PASS_BIN0", "value": 88},
4340 {"name": "SPI_PERF_PS1_PASS_BIN0", "value": 89},
4341 {"name": "SPI_PERF_PS2_PASS_BIN0", "value": 90},
4342 {"name": "SPI_PERF_PS3_PASS_BIN0", "value": 91},
4343 {"name": "SPI_PERF_PS0_PASS_BIN1", "value": 92},
4344 {"name": "SPI_PERF_PS1_PASS_BIN1", "value": 93},
4345 {"name": "SPI_PERF_PS2_PASS_BIN1", "value": 94},
4346 {"name": "SPI_PERF_PS3_PASS_BIN1", "value": 95},
4347 {"name": "SPI_PERF_PS0_FPOS", "value": 96},
4348 {"name": "SPI_PERF_PS1_FPOS", "value": 97},
4349 {"name": "SPI_PERF_PS2_FPOS", "value": 98},
4350 {"name": "SPI_PERF_PS3_FPOS", "value": 99},
4351 {"name": "SPI_PERF_PS0_PRIM_BIN0", "value": 100},
4352 {"name": "SPI_PERF_PS1_PRIM_BIN0", "value": 101},
4353 {"name": "SPI_PERF_PS2_PRIM_BIN0", "value": 102},
4354 {"name": "SPI_PERF_PS3_PRIM_BIN0", "value": 103},
4355 {"name": "SPI_PERF_PS0_PRIM_BIN1", "value": 104},
4356 {"name": "SPI_PERF_PS1_PRIM_BIN1", "value": 105},
4357 {"name": "SPI_PERF_PS2_PRIM_BIN1", "value": 106},
4358 {"name": "SPI_PERF_PS3_PRIM_BIN1", "value": 107},
4359 {"name": "SPI_PERF_PS0_CNF_BIN2", "value": 108},
4360 {"name": "SPI_PERF_PS1_CNF_BIN2", "value": 109},
4361 {"name": "SPI_PERF_PS2_CNF_BIN2", "value": 110},
4362 {"name": "SPI_PERF_PS3_CNF_BIN2", "value": 111},
4363 {"name": "SPI_PERF_PS0_CNF_BIN3", "value": 112},
4364 {"name": "SPI_PERF_PS1_CNF_BIN3", "value": 113},
4365 {"name": "SPI_PERF_PS2_CNF_BIN3", "value": 114},
4366 {"name": "SPI_PERF_PS3_CNF_BIN3", "value": 115},
4367 {"name": "SPI_PERF_PS0_CRAWLER_STALL", "value": 116},
4368 {"name": "SPI_PERF_PS1_CRAWLER_STALL", "value": 117},
4369 {"name": "SPI_PERF_PS2_CRAWLER_STALL", "value": 118},
4370 {"name": "SPI_PERF_PS3_CRAWLER_STALL", "value": 119},
4371 {"name": "SPI_PERF_PS0_LDS_RES_FULL", "value": 120},
4372 {"name": "SPI_PERF_PS1_LDS_RES_FULL", "value": 121},
4373 {"name": "SPI_PERF_PS2_LDS_RES_FULL", "value": 122},
4374 {"name": "SPI_PERF_PS3_LDS_RES_FULL", "value": 123},
4375 {"name": "SPI_PERF_PS0_POPS_WAVE_SENT", "value": 124},
4376 {"name": "SPI_PERF_PS1_POPS_WAVE_SENT", "value": 125},
4377 {"name": "SPI_PERF_PS2_POPS_WAVE_SENT", "value": 126},
4378 {"name": "SPI_PERF_PS3_POPS_WAVE_SENT", "value": 127},
4379 {"name": "SPI_PERF_PS0_POPS_WAVE_EXIT", "value": 128},
4380 {"name": "SPI_PERF_PS1_POPS_WAVE_EXIT", "value": 129},
4381 {"name": "SPI_PERF_PS2_POPS_WAVE_EXIT", "value": 130},
4382 {"name": "SPI_PERF_PS3_POPS_WAVE_EXIT", "value": 131},
4383 {"name": "SPI_PERF_PS_PERS_UPD_FULL0", "value": 132},
4384 {"name": "SPI_PERF_PS_PERS_UPD_FULL1", "value": 133},
4385 {"name": "SPI_PERF_LDS0_PC_VALID", "value": 134},
4386 {"name": "SPI_PERF_LDS1_PC_VALID", "value": 135},
4387 {"name": "SPI_PERF_RA_PIPE_REQ_BIN2", "value": 136},
4388 {"name": "SPI_PERF_RA_TASK_REQ_BIN3", "value": 137},
4389 {"name": "SPI_PERF_RA_WR_CTL_FULL", "value": 138},
4390 {"name": "SPI_PERF_RA_REQ_NO_ALLOC", "value": 139},
4391 {"name": "SPI_PERF_RA_REQ_NO_ALLOC_PS", "value": 140},
4392 {"name": "SPI_PERF_RA_REQ_NO_ALLOC_VS", "value": 141},
4393 {"name": "SPI_PERF_RA_REQ_NO_ALLOC_ES", "value": 142},
4394 {"name": "SPI_PERF_RA_REQ_NO_ALLOC_GS", "value": 143},
4395 {"name": "SPI_PERF_RA_REQ_NO_ALLOC_LS", "value": 144},
4396 {"name": "SPI_PERF_RA_REQ_NO_ALLOC_HS", "value": 145},
4397 {"name": "SPI_PERF_RA_REQ_NO_ALLOC_CSG", "value": 146},
4398 {"name": "SPI_PERF_RA_REQ_NO_ALLOC_CSN", "value": 147},
4399 {"name": "SPI_PERF_RA_RES_STALL_PS", "value": 148},
4400 {"name": "SPI_PERF_RA_RES_STALL_VS", "value": 149},
4401 {"name": "SPI_PERF_RA_RES_STALL_ES", "value": 150},
4402 {"name": "SPI_PERF_RA_RES_STALL_GS", "value": 151},
4403 {"name": "SPI_PERF_RA_RES_STALL_LS", "value": 152},
4404 {"name": "SPI_PERF_RA_RES_STALL_HS", "value": 153},
4405 {"name": "SPI_PERF_RA_RES_STALL_CSG", "value": 154},
4406 {"name": "SPI_PERF_RA_RES_STALL_CSN", "value": 155},
4407 {"name": "SPI_PERF_RA_TMP_STALL_PS", "value": 156},
4408 {"name": "SPI_PERF_RA_TMP_STALL_VS", "value": 157},
4409 {"name": "SPI_PERF_RA_TMP_STALL_ES", "value": 158},
4410 {"name": "SPI_PERF_RA_TMP_STALL_GS", "value": 159},
4411 {"name": "SPI_PERF_RA_TMP_STALL_LS", "value": 160},
4412 {"name": "SPI_PERF_RA_TMP_STALL_HS", "value": 161},
4413 {"name": "SPI_PERF_RA_TMP_STALL_CSG", "value": 162},
4414 {"name": "SPI_PERF_RA_TMP_STALL_CSN", "value": 163},
4415 {"name": "SPI_PERF_RA_WAVE_SIMD_FULL_PS", "value": 164},
4416 {"name": "SPI_PERF_RA_WAVE_SIMD_FULL_VS", "value": 165},
4417 {"name": "SPI_PERF_RA_WAVE_SIMD_FULL_ES", "value": 166},
4418 {"name": "SPI_PERF_RA_WAVE_SIMD_FULL_GS", "value": 167},
4419 {"name": "SPI_PERF_RA_WAVE_SIMD_FULL_LS", "value": 168},
4420 {"name": "SPI_PERF_RA_WAVE_SIMD_FULL_HS", "value": 169},
4421 {"name": "SPI_PERF_RA_WAVE_SIMD_FULL_CSG", "value": 170},
4422 {"name": "SPI_PERF_RA_WAVE_SIMD_FULL_CSN", "value": 171},
4423 {"name": "SPI_PERF_RA_VGPR_SIMD_FULL_PS", "value": 172},
4424 {"name": "SPI_PERF_RA_VGPR_SIMD_FULL_VS", "value": 173},
4425 {"name": "SPI_PERF_RA_VGPR_SIMD_FULL_ES", "value": 174},
4426 {"name": "SPI_PERF_RA_VGPR_SIMD_FULL_GS", "value": 175},
4427 {"name": "SPI_PERF_RA_VGPR_SIMD_FULL_HS", "value": 176},
4428 {"name": "SPI_PERF_RA_VGPR_SIMD_FULL_LS", "value": 177},
4429 {"name": "SPI_PERF_RA_VGPR_SIMD_FULL_CSG", "value": 178},
4430 {"name": "SPI_PERF_RA_VGPR_SIMD_FULL_CSN", "value": 179},
4431 {"name": "SPI_PERF_RA_SGPR_SIMD_FULL_PS", "value": 180},
4432 {"name": "SPI_PERF_RA_SGPR_SIMD_FULL_VS", "value": 181},
4433 {"name": "SPI_PERF_RA_SGPR_SIMD_FULL_ES", "value": 182},
4434 {"name": "SPI_PERF_RA_SGPR_SIMD_FULL_GS", "value": 183},
4435 {"name": "SPI_PERF_RA_SGPR_SIMD_FULL_LS", "value": 184},
4436 {"name": "SPI_PERF_RA_SGPR_SIMD_FULL_HS", "value": 185},
4437 {"name": "SPI_PERF_RA_SGPR_SIMD_FULL_CSG", "value": 186},
4438 {"name": "SPI_PERF_RA_SGPR_SIMD_FULL_CSN", "value": 187},
4439 {"name": "SPI_PERF_RA_LDS_CU_FULL_PS", "value": 188},
4440 {"name": "SPI_PERF_RA_LDS_CU_FULL_LS", "value": 189},
4441 {"name": "SPI_PERF_RA_LDS_CU_FULL_HS", "value": 190},
4442 {"name": "SPI_PERF_RA_LDS_CU_FULL_ES", "value": 191},
4443 {"name": "SPI_PERF_RA_LDS_CU_FULL_GS", "value": 192},
4444 {"name": "SPI_PERF_RA_LDS_CU_FULL_CSG", "value": 193},
4445 {"name": "SPI_PERF_RA_LDS_CU_FULL_CSN", "value": 194},
4446 {"name": "SPI_PERF_RA_BAR_CU_FULL_HS", "value": 195},
4447 {"name": "SPI_PERF_RA_BAR_CU_FULL_CSG", "value": 196},
4448 {"name": "SPI_PERF_RA_BAR_CU_FULL_CSN", "value": 197},
4449 {"name": "SPI_PERF_RA_BULKY_CU_FULL_CSG", "value": 198},
4450 {"name": "SPI_PERF_RA_BULKY_CU_FULL_CSN", "value": 199},
4451 {"name": "SPI_PERF_RA_TGLIM_CU_FULL_CSG", "value": 200},
4452 {"name": "SPI_PERF_RA_TGLIM_CU_FULL_CSN", "value": 201},
4453 {"name": "SPI_PERF_RA_WVLIM_STALL_PS", "value": 202},
4454 {"name": "SPI_PERF_RA_WVLIM_STALL_VS", "value": 203},
4455 {"name": "SPI_PERF_RA_WVLIM_STALL_ES", "value": 204},
4456 {"name": "SPI_PERF_RA_WVLIM_STALL_GS", "value": 205},
4457 {"name": "SPI_PERF_RA_WVLIM_STALL_LS", "value": 206},
4458 {"name": "SPI_PERF_RA_WVLIM_STALL_HS", "value": 207},
4459 {"name": "SPI_PERF_RA_WVLIM_STALL_CSG", "value": 208},
4460 {"name": "SPI_PERF_RA_WVLIM_STALL_CSN", "value": 209},
4461 {"name": "SPI_PERF_RA_VS_LOCK", "value": 210},
4462 {"name": "SPI_PERF_RA_PS_LOCK", "value": 211},
4463 {"name": "SPI_PERF_RA_ES_LOCK", "value": 212},
4464 {"name": "SPI_PERF_RA_GS_LOCK", "value": 213},
4465 {"name": "SPI_PERF_RA_LS_LOCK", "value": 214},
4466 {"name": "SPI_PERF_RA_HS_LOCK", "value": 215},
4467 {"name": "SPI_PERF_RA_CSG_LOCK", "value": 216},
4468 {"name": "SPI_PERF_RA_CSN_LOCK", "value": 217},
4469 {"name": "SPI_PERF_RA_RSV_UPD", "value": 218},
4470 {"name": "SPI_PERF_RA_PRE_ALLOC_STALL", "value": 219},
4471 {"name": "SPI_PERF_RA_GFX_UNDER_TUNNEL", "value": 220},
4472 {"name": "SPI_PERF_RA_CSC_UNDER_TUNNEL", "value": 221},
4473 {"name": "SPI_PERF_RA_WVALLOC_STALL", "value": 222},
4474 {"name": "SPI_PERF_EXP_ARB_COL_CNT", "value": 223},
4475 {"name": "SPI_PERF_EXP_ARB_PAR_CNT", "value": 224},
4476 {"name": "SPI_PERF_EXP_ARB_POS_CNT", "value": 225},
4477 {"name": "SPI_PERF_EXP_ARB_GDS_CNT", "value": 226},
4478 {"name": "SPI_PERF_NUM_PS_COL_SA0SQ0_EXPORTS", "value": 227},
4479 {"name": "SPI_PERF_NUM_PS_COL_SA0SQ1_EXPORTS", "value": 228},
4480 {"name": "SPI_PERF_NUM_PS_COL_SA1SQ0_EXPORTS", "value": 229},
4481 {"name": "SPI_PERF_NUM_PS_COL_SA1SQ1_EXPORTS", "value": 230},
4482 {"name": "SPI_PERF_NUM_VS_POS_SA0SQ0_EXPORTS", "value": 231},
4483 {"name": "SPI_PERF_NUM_VS_POS_SA0SQ1_EXPORTS", "value": 232},
4484 {"name": "SPI_PERF_NUM_VS_POS_SA1SQ0_EXPORTS", "value": 233},
4485 {"name": "SPI_PERF_NUM_VS_POS_SA1SQ1_EXPORTS", "value": 234},
4486 {"name": "SPI_PERF_NUM_VS_PARAM_SA0SQ0_EXPORTS", "value": 235},
4487 {"name": "SPI_PERF_NUM_VS_PARAM_SA0SQ1_EXPORTS", "value": 236},
4488 {"name": "SPI_PERF_NUM_VS_PARAM_SA1SQ0_EXPORTS", "value": 237},
4489 {"name": "SPI_PERF_NUM_VS_PARAM_SA1SQ1_EXPORTS", "value": 238},
4490 {"name": "SPI_PERF_NUM_VS_GDS_SA0SQ0_EXPORTS", "value": 239},
4491 {"name": "SPI_PERF_NUM_VS_GDS_SA0SQ1_EXPORTS", "value": 240},
4492 {"name": "SPI_PERF_NUM_VS_GDS_SA1SQ0_EXPORTS", "value": 241},
4493 {"name": "SPI_PERF_NUM_VS_GDS_SA1SQ1_EXPORTS", "value": 242},
4494 {"name": "SPI_PERF_NUM_EXPGRANT_EXPORTS", "value": 243},
4495 {"name": "SPI_PERF_CLKGATE_BUSY_STALL", "value": 244},
4496 {"name": "SPI_PERF_CLKGATE_ACTIVE_STALL", "value": 245},
4497 {"name": "SPI_PERF_CLKGATE_ALL_CLOCKS_ON", "value": 246},
4498 {"name": "SPI_PERF_CLKGATE_CGTT_DYN_ON", "value": 247},
4499 {"name": "SPI_PERF_CLKGATE_CGTT_REG_ON", "value": 248},
4500 {"name": "SPI_PERF_PIX_ALLOC_PEND_CNT", "value": 249},
4501 {"name": "SPI_PERF_PIX_ALLOC_SCB0_STALL", "value": 250},
4502 {"name": "SPI_PERF_PIX_ALLOC_SCB1_STALL", "value": 251},
4503 {"name": "SPI_PERF_PIX_ALLOC_SCB2_STALL", "value": 252},
4504 {"name": "SPI_PERF_PIX_ALLOC_SCB3_STALL", "value": 253},
4505 {"name": "SPI_PERF_PIX_ALLOC_DB0_STALL", "value": 254},
4506 {"name": "SPI_PERF_PIX_ALLOC_DB1_STALL", "value": 255},
4507 {"name": "SPI_PERF_PIX_ALLOC_DB2_STALL", "value": 256},
4508 {"name": "SPI_PERF_PIX_ALLOC_DB3_STALL", "value": 257},
4509 {"name": "SPI_PERF_PIX_ALLOC_DB4_STALL", "value": 258},
4510 {"name": "SPI_PERF_PIX_ALLOC_DB5_STALL", "value": 259},
4511 {"name": "SPI_PERF_PIX_ALLOC_DB6_STALL", "value": 260},
4512 {"name": "SPI_PERF_PIX_ALLOC_DB7_STALL", "value": 261},
4513 {"name": "SPI_PERF_GS_NGG_SE_SEND_GS_ALLOC", "value": 262},
4514 {"name": "SPI_PERF_SWC_PS_WR", "value": 263},
4515 {"name": "SPI_PERF_SWC_VS_WR", "value": 264},
4516 {"name": "SPI_PERF_SWC_ES_WR", "value": 265},
4517 {"name": "SPI_PERF_SWC_GS_WR", "value": 266},
4518 {"name": "SPI_PERF_SWC_LS_WR", "value": 267},
4519 {"name": "SPI_PERF_SWC_HS_WR", "value": 268},
4520 {"name": "SPI_PERF_SWC_CSG_WR", "value": 269},
4521 {"name": "SPI_PERF_SWC_CSN_WR", "value": 270},
4522 {"name": "SPI_PERF_VWC_PS_WR", "value": 271},
4523 {"name": "SPI_PERF_VWC_VS_WR", "value": 272},
4524 {"name": "SPI_PERF_VWC_ES_WR", "value": 273},
4525 {"name": "SPI_PERF_VWC_GS_WR", "value": 274},
4526 {"name": "SPI_PERF_VWC_LS_WR", "value": 275},
4527 {"name": "SPI_PERF_VWC_HS_WR", "value": 276},
4528 {"name": "SPI_PERF_VWC_CSG_WR", "value": 277},
4529 {"name": "SPI_PERF_VWC_CSN_WR", "value": 278},
4530 {"name": "SPI_PERF_ES_WINDOW_VALID", "value": 279},
4531 {"name": "SPI_PERF_ES_BUSY", "value": 280},
4532 {"name": "SPI_PERF_ES_CRAWLER_STALL", "value": 281},
4533 {"name": "SPI_PERF_ES_FIRST_WAVE", "value": 282},
4534 {"name": "SPI_PERF_ES_LAST_WAVE", "value": 283},
4535 {"name": "SPI_PERF_ES_LSHS_DEALLOC", "value": 284},
4536 {"name": "SPI_PERF_ES_EVENT_WAVE", "value": 285},
4537 {"name": "SPI_PERF_ES_WAVE", "value": 286},
4538 {"name": "SPI_PERF_ES_PERS_UPD_FULL0", "value": 287},
4539 {"name": "SPI_PERF_ES_PERS_UPD_FULL1", "value": 288},
4540 {"name": "SPI_PERF_ES_FIRST_SUBGRP", "value": 289},
4541 {"name": "SPI_PERF_ES_LAST_SUBGRP", "value": 290},
4542 {"name": "SPI_PERF_LS_WINDOW_VALID", "value": 291},
4543 {"name": "SPI_PERF_LS_BUSY", "value": 292},
4544 {"name": "SPI_PERF_LS_CRAWLER_STALL", "value": 293},
4545 {"name": "SPI_PERF_LS_FIRST_WAVE", "value": 294},
4546 {"name": "SPI_PERF_LS_LAST_WAVE", "value": 295},
4547 {"name": "SPI_PERF_LS_OFFCHIP_LDS_STALL", "value": 296},
4548 {"name": "SPI_PERF_LS_EVENT_WAVE", "value": 297},
4549 {"name": "SPI_PERF_LS_WAVE", "value": 298},
4550 {"name": "SPI_PERF_LS_PERS_UPD_FULL0", "value": 299},
4551 {"name": "SPI_PERF_LS_PERS_UPD_FULL1", "value": 300}
4552 ]
4553 },
4554 "SPI_PNT_SPRITE_OVERRIDE": {
4555 "entries": [
4556 {"name": "SPI_PNT_SPRITE_SEL_0", "value": 0},
4557 {"name": "SPI_PNT_SPRITE_SEL_1", "value": 1},
4558 {"name": "SPI_PNT_SPRITE_SEL_S", "value": 2},
4559 {"name": "SPI_PNT_SPRITE_SEL_T", "value": 3},
4560 {"name": "SPI_PNT_SPRITE_SEL_NONE", "value": 4}
4561 ]
4562 },
4563 "SPI_SHADER_EX_FORMAT": {
4564 "entries": [
4565 {"name": "SPI_SHADER_ZERO", "value": 0},
4566 {"name": "SPI_SHADER_32_R", "value": 1},
4567 {"name": "SPI_SHADER_32_GR", "value": 2},
4568 {"name": "SPI_SHADER_32_AR", "value": 3},
4569 {"name": "SPI_SHADER_FP16_ABGR", "value": 4},
4570 {"name": "SPI_SHADER_UNORM16_ABGR", "value": 5},
4571 {"name": "SPI_SHADER_SNORM16_ABGR", "value": 6},
4572 {"name": "SPI_SHADER_UINT16_ABGR", "value": 7},
4573 {"name": "SPI_SHADER_SINT16_ABGR", "value": 8},
4574 {"name": "SPI_SHADER_32_ABGR", "value": 9}
4575 ]
4576 },
4577 "SPI_SHADER_FORMAT": {
4578 "entries": [
4579 {"name": "SPI_SHADER_NONE", "value": 0},
4580 {"name": "SPI_SHADER_1COMP", "value": 1},
4581 {"name": "SPI_SHADER_2COMP", "value": 2},
4582 {"name": "SPI_SHADER_4COMPRESS", "value": 3},
4583 {"name": "SPI_SHADER_4COMP", "value": 4}
4584 ]
4585 },
4586 "SPM_PERFMON_STATE": {
4587 "entries": [
4588 {"name": "STRM_PERFMON_STATE_DISABLE_AND_RESET", "value": 0},
4589 {"name": "STRM_PERFMON_STATE_START_COUNTING", "value": 1},
4590 {"name": "STRM_PERFMON_STATE_STOP_COUNTING", "value": 2},
4591 {"name": "STRM_PERFMON_STATE_RESERVED_3", "value": 3},
4592 {"name": "STRM_PERFMON_STATE_DISABLE_AND_RESET_PHANTOM", "value": 4},
4593 {"name": "STRM_PERFMON_STATE_COUNT_AND_DUMP_PHANTOM", "value": 5}
4594 ]
4595 },
4596 "SQ_PERF_SEL": {
4597 "entries": [
4598 {"name": "SQ_PERF_SEL_NONE", "value": 0},
4599 {"name": "SQ_PERF_SEL_ACCUM_PREV", "value": 1},
4600 {"name": "SQ_PERF_SEL_CYCLES", "value": 2},
4601 {"name": "SQ_PERF_SEL_BUSY_CYCLES", "value": 3},
4602 {"name": "SQ_PERF_SEL_WAVES", "value": 4},
4603 {"name": "SQ_PERF_SEL_WAVES_32", "value": 5},
4604 {"name": "SQ_PERF_SEL_WAVES_64", "value": 6},
4605 {"name": "SQ_PERF_SEL_LEVEL_WAVES", "value": 7},
4606 {"name": "SQ_PERF_SEL_ITEMS", "value": 8},
4607 {"name": "SQ_PERF_SEL_WAVE32_ITEMS", "value": 9},
4608 {"name": "SQ_PERF_SEL_WAVE64_ITEMS", "value": 10},
4609 {"name": "SQ_PERF_SEL_QUADS", "value": 11},
4610 {"name": "SQ_PERF_SEL_EVENTS", "value": 12},
4611 {"name": "SQ_PERF_SEL_WAVES_EQ_64", "value": 13},
4612 {"name": "SQ_PERF_SEL_WAVES_LT_64", "value": 14},
4613 {"name": "SQ_PERF_SEL_WAVES_LT_48", "value": 15},
4614 {"name": "SQ_PERF_SEL_WAVES_LT_32", "value": 16},
4615 {"name": "SQ_PERF_SEL_WAVES_LT_16", "value": 17},
4616 {"name": "SQ_PERF_SEL_WAVES_RESTORED", "value": 18},
4617 {"name": "SQ_PERF_SEL_WAVES_SAVED", "value": 19},
4618 {"name": "SQ_PERF_SEL_MSG", "value": 20},
4619 {"name": "SQ_PERF_SEL_MSG_GSCNT", "value": 21},
4620 {"name": "SQ_PERF_SEL_MSG_INTERRUPT", "value": 22},
4621 {"name": "SQ_PERF_SEL_Reserved_1", "value": 23},
4622 {"name": "SQ_PERF_SEL_Reserved_2", "value": 24},
4623 {"name": "SQ_PERF_SEL_Reserved_3", "value": 25},
4624 {"name": "SQ_PERF_SEL_WAVE_CYCLES", "value": 26},
4625 {"name": "SQ_PERF_SEL_WAVE_READY", "value": 27},
4626 {"name": "SQ_PERF_SEL_WAIT_INST_ANY", "value": 28},
4627 {"name": "SQ_PERF_SEL_WAIT_INST_VALU", "value": 29},
4628 {"name": "SQ_PERF_SEL_WAIT_INST_SCA", "value": 30},
4629 {"name": "SQ_PERF_SEL_WAIT_INST_LDS", "value": 31},
4630 {"name": "SQ_PERF_SEL_WAIT_INST_TEX", "value": 32},
4631 {"name": "SQ_PERF_SEL_WAIT_INST_FLAT", "value": 33},
4632 {"name": "SQ_PERF_SEL_WAIT_INST_VMEM", "value": 34},
4633 {"name": "SQ_PERF_SEL_WAIT_INST_EXP_GDS", "value": 35},
4634 {"name": "SQ_PERF_SEL_WAIT_INST_BR_MSG", "value": 36},
4635 {"name": "SQ_PERF_SEL_WAIT_ANY", "value": 37},
4636 {"name": "SQ_PERF_SEL_WAIT_CNT_ANY", "value": 38},
4637 {"name": "SQ_PERF_SEL_WAIT_CNT_VMVS", "value": 39},
4638 {"name": "SQ_PERF_SEL_WAIT_CNT_LGKM", "value": 40},
4639 {"name": "SQ_PERF_SEL_WAIT_CNT_EXP", "value": 41},
4640 {"name": "SQ_PERF_SEL_WAIT_TTRACE", "value": 42},
4641 {"name": "SQ_PERF_SEL_WAIT_IFETCH", "value": 43},
4642 {"name": "SQ_PERF_SEL_WAIT_BARRIER", "value": 44},
4643 {"name": "SQ_PERF_SEL_WAIT_EXP_ALLOC", "value": 45},
4644 {"name": "SQ_PERF_SEL_WAIT_SLEEP", "value": 46},
4645 {"name": "SQ_PERF_SEL_WAIT_SLEEP_XNACK", "value": 47},
4646 {"name": "SQ_PERF_SEL_WAIT_OTHER", "value": 48},
4647 {"name": "SQ_PERF_SEL_INSTS_ALL", "value": 49},
4648 {"name": "SQ_PERF_SEL_INSTS_BRANCH", "value": 50},
4649 {"name": "SQ_PERF_SEL_INSTS_CBRANCH_NOT_TAKEN", "value": 51},
4650 {"name": "SQ_PERF_SEL_INSTS_CBRANCH_TAKEN", "value": 52},
4651 {"name": "SQ_PERF_SEL_INSTS_CBRANCH_TAKEN_HIT_IS", "value": 53},
4652 {"name": "SQ_PERF_SEL_INSTS_EXP_GDS", "value": 54},
4653 {"name": "SQ_PERF_SEL_INSTS_GDS", "value": 55},
4654 {"name": "SQ_PERF_SEL_INSTS_EXP", "value": 56},
4655 {"name": "SQ_PERF_SEL_INSTS_FLAT", "value": 57},
4656 {"name": "SQ_PERF_SEL_Reserved_4", "value": 58},
4657 {"name": "SQ_PERF_SEL_INSTS_LDS", "value": 59},
4658 {"name": "SQ_PERF_SEL_INSTS_SALU", "value": 60},
4659 {"name": "SQ_PERF_SEL_INSTS_SMEM", "value": 61},
4660 {"name": "SQ_PERF_SEL_INSTS_SMEM_NORM", "value": 62},
4661 {"name": "SQ_PERF_SEL_INSTS_SENDMSG", "value": 63},
4662 {"name": "SQ_PERF_SEL_INSTS_VALU", "value": 64},
4663 {"name": "SQ_PERF_SEL_Reserved_17", "value": 65},
4664 {"name": "SQ_PERF_SEL_INSTS_VALU_TRANS32", "value": 66},
4665 {"name": "SQ_PERF_SEL_INSTS_VALU_NO_COEXEC", "value": 67},
4666 {"name": "SQ_PERF_SEL_INSTS_TEX", "value": 68},
4667 {"name": "SQ_PERF_SEL_INSTS_TEX_LOAD", "value": 69},
4668 {"name": "SQ_PERF_SEL_INSTS_TEX_STORE", "value": 70},
4669 {"name": "SQ_PERF_SEL_INSTS_WAVE32", "value": 71},
4670 {"name": "SQ_PERF_SEL_INSTS_WAVE32_FLAT", "value": 72},
4671 {"name": "SQ_PERF_SEL_Reserved_5", "value": 73},
4672 {"name": "SQ_PERF_SEL_INSTS_WAVE32_LDS", "value": 74},
4673 {"name": "SQ_PERF_SEL_INSTS_WAVE32_VALU", "value": 75},
4674 {"name": "SQ_PERF_SEL_INSTS_WAVE32_EXP_GDS", "value": 76},
4675 {"name": "SQ_PERF_SEL_INSTS_WAVE32_VALU_TRANS32", "value": 77},
4676 {"name": "SQ_PERF_SEL_INSTS_WAVE32_VALU_NO_COEXEC", "value": 78},
4677 {"name": "SQ_PERF_SEL_INSTS_WAVE32_TEX", "value": 79},
4678 {"name": "SQ_PERF_SEL_INSTS_WAVE32_TEX_LOAD", "value": 80},
4679 {"name": "SQ_PERF_SEL_INSTS_WAVE32_TEX_STORE", "value": 81},
4680 {"name": "SQ_PERF_SEL_ITEM_CYCLES_VALU", "value": 82},
4681 {"name": "SQ_PERF_SEL_VALU_READWRITELANE_CYCLES", "value": 83},
4682 {"name": "SQ_PERF_SEL_WAVE32_INSTS", "value": 84},
4683 {"name": "SQ_PERF_SEL_WAVE64_INSTS", "value": 85},
4684 {"name": "SQ_PERF_SEL_Reserved_18", "value": 86},
4685 {"name": "SQ_PERF_SEL_INSTS_VALU_EXEC_SKIPPED", "value": 87},
4686 {"name": "SQ_PERF_SEL_WAVE64_HALF_SKIP", "value": 88},
4687 {"name": "SQ_PERF_SEL_INSTS_TEX_REPLAY", "value": 89},
4688 {"name": "SQ_PERF_SEL_INSTS_SMEM_REPLAY", "value": 90},
4689 {"name": "SQ_PERF_SEL_Reserved_20", "value": 91},
4690 {"name": "SQ_PERF_SEL_INSTS_FLAT_REPLAY", "value": 92},
4691 {"name": "SQ_PERF_SEL_TA_XNACK_ALL", "value": 93},
4692 {"name": "SQ_PERF_SEL_TA_XNACK_FIRST", "value": 94},
4693 {"name": "SQ_PERF_SEL_INSTS_VALU_LDS_DIRECT_RD", "value": 95},
4694 {"name": "SQ_PERF_SEL_INSTS_VALU_VINTRP_OP", "value": 96},
4695 {"name": "SQ_PERF_SEL_INST_LEVEL_EXP", "value": 97},
4696 {"name": "SQ_PERF_SEL_INST_LEVEL_GDS", "value": 98},
4697 {"name": "SQ_PERF_SEL_INST_LEVEL_LDS", "value": 99},
4698 {"name": "SQ_PERF_SEL_INST_LEVEL_SMEM", "value": 100},
4699 {"name": "SQ_PERF_SEL_INST_LEVEL_TEX_LOAD", "value": 101},
4700 {"name": "SQ_PERF_SEL_INST_LEVEL_TEX_STORE", "value": 102},
4701 {"name": "SQ_PERF_SEL_IFETCH_REQS", "value": 103},
4702 {"name": "SQ_PERF_SEL_IFETCH_LEVEL", "value": 104},
4703 {"name": "SQ_PERF_SEL_IFETCH_XNACK", "value": 105},
4704 {"name": "SQ_PERF_SEL_Reserved_6", "value": 106},
4705 {"name": "SQ_PERF_SEL_Reserved_7", "value": 107},
4706 {"name": "SQ_PERF_SEL_LDS_DIRECT_CMD_FIFO_FULL_STALL", "value": 108},
4707 {"name": "SQ_PERF_SEL_VALU_SGATHER_STALL", "value": 109},
4708 {"name": "SQ_PERF_SEL_VALU_FWD_BUFFER_FULL_STALL", "value": 110},
4709 {"name": "SQ_PERF_SEL_VALU_SGPR_RD_FIFO_FULL_STALL", "value": 111},
4710 {"name": "SQ_PERF_SEL_VALU_SGATHER_FULL_STALL", "value": 112},
4711 {"name": "SQ_PERF_SEL_SALU_SGATHER_STALL", "value": 113},
4712 {"name": "SQ_PERF_SEL_SALU_SGPR_RD_FIFO_FULL_STALL", "value": 114},
4713 {"name": "SQ_PERF_SEL_SALU_GATHER_FULL_STALL", "value": 115},
4714 {"name": "SQ_PERF_SEL_SMEM_DCACHE_FIFO_FULL_STALL", "value": 116},
4715 {"name": "SQ_PERF_SEL_INST_CYCLES_VALU", "value": 117},
4716 {"name": "SQ_PERF_SEL_INST_CYCLES_VALU_TRANS32", "value": 118},
4717 {"name": "SQ_PERF_SEL_INST_CYCLES_VALU_NO_COEXEC", "value": 119},
4718 {"name": "SQ_PERF_SEL_INST_CYCLES_VMEM", "value": 120},
4719 {"name": "SQ_PERF_SEL_INST_CYCLES_VMEM_LOAD", "value": 121},
4720 {"name": "SQ_PERF_SEL_INST_CYCLES_VMEM_STORE", "value": 122},
4721 {"name": "SQ_PERF_SEL_INST_CYCLES_LDS", "value": 123},
4722 {"name": "SQ_PERF_SEL_INST_CYCLES_TEX", "value": 124},
4723 {"name": "SQ_PERF_SEL_INST_CYCLES_FLAT", "value": 125},
4724 {"name": "SQ_PERF_SEL_INST_CYCLES_EXP_GDS", "value": 126},
4725 {"name": "SQ_PERF_SEL_INST_CYCLES_EXP", "value": 127},
4726 {"name": "SQ_PERF_SEL_INST_CYCLES_GDS", "value": 128},
4727 {"name": "SQ_PERF_SEL_VALU_STARVE", "value": 129},
4728 {"name": "SQ_PERF_SEL_VMEM_ARB_FIFO_FULL", "value": 130},
4729 {"name": "SQ_PERF_SEL_MSG_FIFO_FULL_STALL", "value": 131},
4730 {"name": "SQ_PERF_SEL_EXP_REQ_FIFO_FULL", "value": 132},
4731 {"name": "SQ_PERF_SEL_Reserved_11", "value": 133},
4732 {"name": "SQ_PERF_SEL_Reserved_12", "value": 134},
4733 {"name": "SQ_PERF_SEL_Reserved_13", "value": 135},
4734 {"name": "SQ_PERF_SEL_Reserved_14", "value": 136},
4735 {"name": "SQ_PERF_SEL_VMEM_BUS_ACTIVE", "value": 137},
4736 {"name": "SQ_PERF_SEL_VMEM_BUS_STALL", "value": 138},
4737 {"name": "SQ_PERF_SEL_VMEM_BUS_STALL_TA_ADDR_FIFO_FULL", "value": 139},
4738 {"name": "SQ_PERF_SEL_VMEM_BUS_STALL_TA_CMD_FIFO_FULL", "value": 140},
4739 {"name": "SQ_PERF_SEL_VMEM_BUS_STALL_LDS_ADDR_FIFO_FULL", "value": 141},
4740 {"name": "SQ_PERF_SEL_VMEM_BUS_STALL_LDS_CMD_FIFO_FULL", "value": 142},
4741 {"name": "SQ_PERF_SEL_VMEM_STARVE_TA_ADDR_EMPTY", "value": 143},
4742 {"name": "SQ_PERF_SEL_VMEM_STARVE_LDS_ADDR_EMPTY", "value": 144},
4743 {"name": "SQ_PERF_SEL_Reserved_15", "value": 145},
4744 {"name": "SQ_PERF_SEL_SALU_PIPE_STALL", "value": 146},
4745 {"name": "SQ_PERF_SEL_SMEM_DCACHE_RETURN_CYCLES", "value": 147},
4746 {"name": "SQ_PERF_SEL_Reserved_21", "value": 148},
4747 {"name": "SQ_PERF_SEL_MSG_BUS_BUSY", "value": 149},
4748 {"name": "SQ_PERF_SEL_EXP_REQ_BUS_STALL", "value": 150},
4749 {"name": "SQ_PERF_SEL_EXP_REQ0_BUS_BUSY", "value": 151},
4750 {"name": "SQ_PERF_SEL_EXP_REQ1_BUS_BUSY", "value": 152},
4751 {"name": "SQ_PERF_SEL_EXP_BUS0_BUSY", "value": 153},
4752 {"name": "SQ_PERF_SEL_EXP_BUS1_BUSY", "value": 154},
4753 {"name": "SQ_PERF_SEL_Reserved_19", "value": 155},
4754 {"name": "SQ_PERF_SEL_INST_CACHE_REQ_STALL", "value": 156},
4755 {"name": "SQ_PERF_SEL_MIXED_SUBSEQUENT_ISSUES_VALU", "value": 157},
4756 {"name": "SQ_PERF_SEL_MIXED_SUBSEQUENT_ISSUES_SALU", "value": 158},
4757 {"name": "SQ_PERF_SEL_MIXED_SUBSEQUENT_ISSUES_VMEM", "value": 159},
4758 {"name": "SQ_PERF_SEL_USER0", "value": 160},
4759 {"name": "SQ_PERF_SEL_USER1", "value": 161},
4760 {"name": "SQ_PERF_SEL_USER2", "value": 162},
4761 {"name": "SQ_PERF_SEL_USER3", "value": 163},
4762 {"name": "SQ_PERF_SEL_USER4", "value": 164},
4763 {"name": "SQ_PERF_SEL_USER5", "value": 165},
4764 {"name": "SQ_PERF_SEL_USER6", "value": 166},
4765 {"name": "SQ_PERF_SEL_USER7", "value": 167},
4766 {"name": "SQ_PERF_SEL_USER8", "value": 168},
4767 {"name": "SQ_PERF_SEL_USER9", "value": 169},
4768 {"name": "SQ_PERF_SEL_USER10", "value": 170},
4769 {"name": "SQ_PERF_SEL_USER11", "value": 171},
4770 {"name": "SQ_PERF_SEL_USER12", "value": 172},
4771 {"name": "SQ_PERF_SEL_USER13", "value": 173},
4772 {"name": "SQ_PERF_SEL_USER14", "value": 174},
4773 {"name": "SQ_PERF_SEL_USER15", "value": 175},
4774 {"name": "SQ_PERF_SEL_USER_LEVEL0", "value": 176},
4775 {"name": "SQ_PERF_SEL_USER_LEVEL1", "value": 177},
4776 {"name": "SQ_PERF_SEL_USER_LEVEL2", "value": 178},
4777 {"name": "SQ_PERF_SEL_USER_LEVEL3", "value": 179},
4778 {"name": "SQ_PERF_SEL_USER_LEVEL4", "value": 180},
4779 {"name": "SQ_PERF_SEL_USER_LEVEL5", "value": 181},
4780 {"name": "SQ_PERF_SEL_USER_LEVEL6", "value": 182},
4781 {"name": "SQ_PERF_SEL_USER_LEVEL7", "value": 183},
4782 {"name": "SQ_PERF_SEL_USER_LEVEL8", "value": 184},
4783 {"name": "SQ_PERF_SEL_USER_LEVEL9", "value": 185},
4784 {"name": "SQ_PERF_SEL_USER_LEVEL10", "value": 186},
4785 {"name": "SQ_PERF_SEL_USER_LEVEL11", "value": 187},
4786 {"name": "SQ_PERF_SEL_USER_LEVEL12", "value": 188},
4787 {"name": "SQ_PERF_SEL_USER_LEVEL13", "value": 189},
4788 {"name": "SQ_PERF_SEL_USER_LEVEL14", "value": 190},
4789 {"name": "SQ_PERF_SEL_USER_LEVEL15", "value": 191},
4790 {"name": "SQ_PERF_SEL_VALU_RETURN_SDST", "value": 192},
4791 {"name": "SQ_PERF_SEL_VMEM_SECOND_TRY_USED", "value": 193},
4792 {"name": "SQ_PERF_SEL_VMEM_SECOND_TRY_STALL", "value": 194},
4793 {"name": "SQ_PERF_SEL_DUMMY_END", "value": 195},
4794 {"name": "SQ_PERF_SEL_DUMMY_LAST", "value": 196},
4795 {"name": "SQG_PERF_SEL_UTCL0_TRANSLATION_MISS", "value": 197},
4796 {"name": "SQG_PERF_SEL_UTCL0_PERMISSION_MISS", "value": 198},
4797 {"name": "SQG_PERF_SEL_UTCL0_TRANSLATION_HIT", "value": 199},
4798 {"name": "SQG_PERF_SEL_UTCL0_REQUEST", "value": 200},
4799 {"name": "SQG_PERF_SEL_UTCL0_STALL_MISSFIFO_FULL", "value": 201},
4800 {"name": "SQG_PERF_SEL_UTCL0_STALL_INFLIGHT_MAX", "value": 202},
4801 {"name": "SQG_PERF_SEL_UTCL0_STALL_LRU_INFLIGHT", "value": 203},
4802 {"name": "SQG_PERF_SEL_UTCL0_LFIFO_FULL", "value": 204},
4803 {"name": "SQG_PERF_SEL_UTCL0_STALL_LFIFO_NOT_RES", "value": 205},
4804 {"name": "SQG_PERF_SEL_UTCL0_STALL_UTCL1_REQ_OUT_OF_CREDITS", "value": 206},
4805 {"name": "SQG_PERF_SEL_UTCL0_HIT_FIFO_FULL", "value": 207},
4806 {"name": "SQG_PERF_SEL_UTCL0_UTCL1_REQ", "value": 208},
4807 {"name": "SQG_PERF_SEL_TLB_SHOOTDOWN", "value": 209},
4808 {"name": "SQG_PERF_SEL_TLB_SHOOTDOWN_CYCLES", "value": 210},
4809 {"name": "SQG_PERF_SEL_TTRACE_REQS", "value": 211},
4810 {"name": "SQG_PERF_SEL_TTRACE_INFLIGHT_REQS", "value": 212},
4811 {"name": "SQG_PERF_SEL_TTRACE_STALL", "value": 213},
4812 {"name": "SQG_PERF_SEL_TTRACE_LOST_PACKETS", "value": 214},
4813 {"name": "SQG_PERF_SEL_DUMMY_LAST", "value": 215},
4814 {"name": "SQC_PERF_SEL_POWER_VALU", "value": 216},
4815 {"name": "SQC_PERF_SEL_POWER_VALU0", "value": 217},
4816 {"name": "SQC_PERF_SEL_POWER_VALU1", "value": 218},
4817 {"name": "SQC_PERF_SEL_POWER_VALU2", "value": 219},
4818 {"name": "SQC_PERF_SEL_POWER_GPR_RD", "value": 220},
4819 {"name": "SQC_PERF_SEL_POWER_GPR_WR", "value": 221},
4820 {"name": "SQC_PERF_SEL_POWER_LDS_BUSY", "value": 222},
4821 {"name": "SQC_PERF_SEL_POWER_ALU_BUSY", "value": 223},
4822 {"name": "SQC_PERF_SEL_POWER_TEX_BUSY", "value": 224},
4823 {"name": "SQC_PERF_SEL_PT_POWER_STALL", "value": 225},
4824 {"name": "SQC_PERF_SEL_LDS_BANK_CONFLICT", "value": 226},
4825 {"name": "SQC_PERF_SEL_LDS_ADDR_CONFLICT", "value": 227},
4826 {"name": "SQC_PERF_SEL_LDS_UNALIGNED_STALL", "value": 228},
4827 {"name": "SQC_PERF_SEL_LDS_MEM_VIOLATIONS", "value": 229},
4828 {"name": "SQC_PERF_SEL_LDS_ATOMIC_RETURN", "value": 230},
4829 {"name": "SQC_PERF_SEL_LDS_IDX_ACTIVE", "value": 231},
4830 {"name": "SQC_PERF_SEL_LDS_ADDR_STALL", "value": 232},
4831 {"name": "SQC_PERF_SEL_LDS_ADDR_ACTIVE", "value": 233},
4832 {"name": "SQC_PERF_SEL_LDS_DIRECT_FIFO_FULL_STALL", "value": 234},
4833 {"name": "SQC_PERF_SEL_LDS_PC_LDS_WRITE_STALL_TD", "value": 235},
4834 {"name": "SQC_PERF_SEL_LDS_SPI_VGPR_WRITE_STALL_TD", "value": 236},
4835 {"name": "SQC_PERF_SEL_LDS_LDS_VGPR_WRITE_STALL", "value": 237},
4836 {"name": "SQC_PERF_SEL_LDS_FP_ADD_CYCLES", "value": 238},
4837 {"name": "SQC_PERF_SEL_ICACHE_BUSY_CYCLES", "value": 239},
4838 {"name": "SQC_PERF_SEL_ICACHE_REQ", "value": 240},
4839 {"name": "SQC_PERF_SEL_ICACHE_HITS", "value": 241},
4840 {"name": "SQC_PERF_SEL_ICACHE_MISSES", "value": 242},
4841 {"name": "SQC_PERF_SEL_ICACHE_MISSES_DUPLICATE", "value": 243},
4842 {"name": "SQC_PERF_SEL_ICACHE_INVAL_INST", "value": 244},
4843 {"name": "SQC_PERF_SEL_ICACHE_INVAL_ASYNC", "value": 245},
4844 {"name": "SQC_PERF_SEL_ICACHE_INFLIGHT_LEVEL", "value": 246},
4845 {"name": "SQC_PERF_SEL_DCACHE_INFLIGHT_LEVEL", "value": 247},
4846 {"name": "SQC_PERF_SEL_TC_INFLIGHT_LEVEL", "value": 248},
4847 {"name": "SQC_PERF_SEL_ICACHE_TC_INFLIGHT_LEVEL", "value": 249},
4848 {"name": "SQC_PERF_SEL_DCACHE_TC_INFLIGHT_LEVEL", "value": 250},
4849 {"name": "SQC_PERF_SEL_ICACHE_INPUT_VALID_READY", "value": 251},
4850 {"name": "SQC_PERF_SEL_ICACHE_INPUT_VALID_READYB", "value": 252},
4851 {"name": "SQC_PERF_SEL_ICACHE_INPUT_VALIDB", "value": 253},
4852 {"name": "SQC_PERF_SEL_DCACHE_INPUT_VALID_READY", "value": 254},
4853 {"name": "SQC_PERF_SEL_DCACHE_INPUT_VALID_READYB", "value": 255},
4854 {"name": "SQC_PERF_SEL_DCACHE_INPUT_VALIDB", "value": 256},
4855 {"name": "SQC_PERF_SEL_TC_REQ", "value": 257},
4856 {"name": "SQC_PERF_SEL_TC_INST_REQ", "value": 258},
4857 {"name": "SQC_PERF_SEL_TC_DATA_READ_REQ", "value": 259},
4858 {"name": "SQC_PERF_SEL_TC_DATA_WRITE_REQ", "value": 260},
4859 {"name": "SQC_PERF_SEL_TC_DATA_ATOMIC_REQ", "value": 261},
4860 {"name": "SQC_PERF_SEL_TC_STALL", "value": 262},
4861 {"name": "SQC_PERF_SEL_TC_STARVE", "value": 263},
4862 {"name": "SQC_PERF_SEL_ICACHE_INPUT_STALL_ARB_NO_GRANT", "value": 264},
4863 {"name": "SQC_PERF_SEL_ICACHE_INPUT_STALL_BANK_READYB", "value": 265},
4864 {"name": "SQC_PERF_SEL_ICACHE_CACHE_STALLED", "value": 266},
4865 {"name": "SQC_PERF_SEL_ICACHE_CACHE_STALL_INFLIGHT_NONZERO", "value": 267},
4866 {"name": "SQC_PERF_SEL_ICACHE_CACHE_STALL_INFLIGHT_MAX", "value": 268},
4867 {"name": "SQC_PERF_SEL_ICACHE_CACHE_STALL_OUTPUT", "value": 269},
4868 {"name": "SQC_PERF_SEL_ICACHE_CACHE_STALL_OUTPUT_MISS_FIFO", "value": 270},
4869 {"name": "SQC_PERF_SEL_ICACHE_CACHE_STALL_OUTPUT_HIT_FIFO", "value": 271},
4870 {"name": "SQC_PERF_SEL_ICACHE_CACHE_STALL_OUTPUT_TC_IF", "value": 272},
4871 {"name": "SQC_PERF_SEL_ICACHE_STALL_OUTXBAR_ARB_NO_GRANT", "value": 273},
4872 {"name": "SQC_PERF_SEL_DCACHE_BUSY_CYCLES", "value": 274},
4873 {"name": "SQC_PERF_SEL_DCACHE_REQ", "value": 275},
4874 {"name": "SQC_PERF_SEL_DCACHE_HITS", "value": 276},
4875 {"name": "SQC_PERF_SEL_DCACHE_MISSES", "value": 277},
4876 {"name": "SQC_PERF_SEL_DCACHE_MISSES_DUPLICATE", "value": 278},
4877 {"name": "SQC_PERF_SEL_DCACHE_INVAL_INST", "value": 279},
4878 {"name": "SQC_PERF_SEL_DCACHE_INVAL_ASYNC", "value": 280},
4879 {"name": "SQC_PERF_SEL_DCACHE_HIT_LRU_READ", "value": 281},
4880 {"name": "SQC_PERF_SEL_DCACHE_WC_LRU_WRITE", "value": 282},
4881 {"name": "SQC_PERF_SEL_DCACHE_WT_EVICT_WRITE", "value": 283},
4882 {"name": "SQC_PERF_SEL_DCACHE_ATOMIC", "value": 284},
4883 {"name": "SQC_PERF_SEL_DCACHE_WB_INST", "value": 285},
4884 {"name": "SQC_PERF_SEL_DCACHE_WB_ASYNC", "value": 286},
4885 {"name": "SQC_PERF_SEL_DCACHE_INPUT_STALL_ARB_NO_GRANT", "value": 287},
4886 {"name": "SQC_PERF_SEL_DCACHE_INPUT_STALL_BANK_READYB", "value": 288},
4887 {"name": "SQC_PERF_SEL_DCACHE_CACHE_STALLED", "value": 289},
4888 {"name": "SQC_PERF_SEL_DCACHE_CACHE_STALL_INFLIGHT_MAX", "value": 290},
4889 {"name": "SQC_PERF_SEL_DCACHE_CACHE_STALL_OUTPUT", "value": 291},
4890 {"name": "SQC_PERF_SEL_DCACHE_CACHE_STALL_EVICT", "value": 292},
4891 {"name": "SQC_PERF_SEL_DCACHE_CACHE_STALL_UNORDERED", "value": 293},
4892 {"name": "SQC_PERF_SEL_DCACHE_CACHE_STALL_ALLOC_UNAVAILABLE", "value": 294},
4893 {"name": "SQC_PERF_SEL_DCACHE_CACHE_STALL_FORCE_EVICT", "value": 295},
4894 {"name": "SQC_PERF_SEL_DCACHE_CACHE_STALL_MULTI_FLUSH", "value": 296},
4895 {"name": "SQC_PERF_SEL_DCACHE_CACHE_STALL_FLUSH_DONE", "value": 297},
4896 {"name": "SQC_PERF_SEL_DCACHE_CACHE_STALL_OUTPUT_MISS_FIFO", "value": 298},
4897 {"name": "SQC_PERF_SEL_DCACHE_CACHE_STALL_OUTPUT_HIT_FIFO", "value": 299},
4898 {"name": "SQC_PERF_SEL_DCACHE_CACHE_STALL_OUTPUT_TC_IF", "value": 300},
4899 {"name": "SQC_PERF_SEL_DCACHE_STALL_OUTXBAR_ARB_NO_GRANT", "value": 301},
4900 {"name": "SQC_PERF_SEL_DCACHE_REQ_READ_1", "value": 302},
4901 {"name": "SQC_PERF_SEL_DCACHE_REQ_READ_2", "value": 303},
4902 {"name": "SQC_PERF_SEL_DCACHE_REQ_READ_4", "value": 304},
4903 {"name": "SQC_PERF_SEL_DCACHE_REQ_READ_8", "value": 305},
4904 {"name": "SQC_PERF_SEL_DCACHE_REQ_READ_16", "value": 306},
4905 {"name": "SQC_PERF_SEL_DCACHE_REQ_TIME", "value": 307},
4906 {"name": "SQC_PERF_SEL_DCACHE_REQ_WRITE_1", "value": 308},
4907 {"name": "SQC_PERF_SEL_DCACHE_REQ_WRITE_2", "value": 309},
4908 {"name": "SQC_PERF_SEL_DCACHE_REQ_WRITE_4", "value": 310},
4909 {"name": "SQC_PERF_SEL_DCACHE_REQ_ATC_PROBE", "value": 311},
4910 {"name": "SQC_PERF_SEL_SQ_DCACHE_REQS", "value": 312},
4911 {"name": "SQC_PERF_SEL_DCACHE_FLAT_REQ", "value": 313},
4912 {"name": "SQC_PERF_SEL_DCACHE_NONFLAT_REQ", "value": 314},
4913 {"name": "SQC_PERF_SEL_ICACHE_UTCL0_TRANSLATION_MISS", "value": 315},
4914 {"name": "SQC_PERF_SEL_ICACHE_UTCL0_PERMISSION_MISS", "value": 316},
4915 {"name": "SQC_PERF_SEL_ICACHE_UTCL0_TRANSLATION_HIT", "value": 317},
4916 {"name": "SQC_PERF_SEL_ICACHE_UTCL0_REQUEST", "value": 318},
4917 {"name": "SQC_PERF_SEL_ICACHE_UTCL0_XNACK", "value": 319},
4918 {"name": "SQC_PERF_SEL_ICACHE_UTCL0_STALL_INFLIGHT_MAX", "value": 320},
4919 {"name": "SQC_PERF_SEL_ICACHE_UTCL0_STALL_LRU_INFLIGHT", "value": 321},
4920 {"name": "SQC_PERF_SEL_ICACHE_UTCL0_LFIFO_FULL", "value": 322},
4921 {"name": "SQC_PERF_SEL_ICACHE_UTCL0_STALL_LFIFO_NOT_RES", "value": 323},
4922 {"name": "SQC_PERF_SEL_ICACHE_UTCL0_STALL_UTCL1_REQ_OUT_OF_CREDITS", "value": 324},
4923 {"name": "SQC_PERF_SEL_ICACHE_UTCL0_UTCL1_INFLIGHT", "value": 325},
4924 {"name": "SQC_PERF_SEL_ICACHE_UTCL0_STALL_MISSFIFO_FULL", "value": 326},
4925 {"name": "SQC_PERF_SEL_DCACHE_UTCL0_TRANSLATION_MISS", "value": 327},
4926 {"name": "SQC_PERF_SEL_DCACHE_UTCL0_PERMISSION_MISS", "value": 328},
4927 {"name": "SQC_PERF_SEL_DCACHE_UTCL0_TRANSLATION_HIT", "value": 329},
4928 {"name": "SQC_PERF_SEL_DCACHE_UTCL0_REQUEST", "value": 330},
4929 {"name": "SQC_PERF_SEL_DCACHE_UTCL0_XNACK", "value": 331},
4930 {"name": "SQC_PERF_SEL_DCACHE_UTCL0_STALL_INFLIGHT_MAX", "value": 332},
4931 {"name": "SQC_PERF_SEL_DCACHE_UTCL0_STALL_LRU_INFLIGHT", "value": 333},
4932 {"name": "SQC_PERF_SEL_DCACHE_UTCL0_LFIFO_FULL", "value": 334},
4933 {"name": "SQC_PERF_SEL_DCACHE_UTCL0_STALL_LFIFO_NOT_RES", "value": 335},
4934 {"name": "SQC_PERF_SEL_DCACHE_UTCL0_STALL_UTCL1_REQ_OUT_OF_CREDITS", "value": 336},
4935 {"name": "SQC_PERF_SEL_DCACHE_UTCL0_UTCL1_INFLIGHT", "value": 337},
4936 {"name": "SQC_PERF_SEL_DCACHE_UTCL0_STALL_MISSFIFO_FULL", "value": 338},
4937 {"name": "SQC_PERF_SEL_DCACHE_UTCL0_STALL_MULTI_MISS", "value": 339},
4938 {"name": "SQC_PERF_SEL_DCACHE_UTCL0_HIT_FIFO_FULL", "value": 340},
4939 {"name": "SQC_PERF_SEL_ICACHE_UTCL0_INFLIGHT_LEVEL", "value": 341},
4940 {"name": "SQC_PERF_SEL_ICACHE_UTCL0_ALL_REQ", "value": 342},
4941 {"name": "SQC_PERF_SEL_ICACHE_UTCL1_INFLIGHT_LEVEL", "value": 343},
4942 {"name": "SQC_PERF_SEL_ICACHE_UTCL1_ALL_REQ", "value": 344},
4943 {"name": "SQC_PERF_SEL_ICACHE_UTCL0_UTCL1_PERM_FAULT", "value": 345},
4944 {"name": "SQC_PERF_SEL_DCACHE_UTCL0_INFLIGHT_LEVEL", "value": 346},
4945 {"name": "SQC_PERF_SEL_DCACHE_UTCL0_ALL_REQ", "value": 347},
4946 {"name": "SQC_PERF_SEL_DCACHE_UTCL1_INFLIGHT_LEVEL", "value": 348},
4947 {"name": "SQC_PERF_SEL_DCACHE_UTCL1_ALL_REQ", "value": 349},
4948 {"name": "SQC_PERF_SEL_DCACHE_UTCL0_UTCL1_PERM_FAULT", "value": 350},
4949 {"name": "SQC_PERF_SEL_ICACHE_GCR", "value": 351},
4950 {"name": "SQC_PERF_SEL_ICACHE_GCR_HITS", "value": 352},
4951 {"name": "SQC_PERF_SEL_DCACHE_GCR", "value": 353},
4952 {"name": "SQC_PERF_SEL_DCACHE_GCR_HITS", "value": 354},
4953 {"name": "SQC_PERF_SEL_ICACHE_GCR_INVALIDATE", "value": 355},
4954 {"name": "SQC_PERF_SEL_DCACHE_GCR_INVALIDATE", "value": 356},
4955 {"name": "SQC_PERF_SEL_DCACHE_GCR_WRITEBACK", "value": 357},
4956 {"name": "SQC_PERF_SEL_DCACHE_SPI_RETURN_STALL", "value": 358},
4957 {"name": "SQC_PERF_SEL_DUMMY_LAST", "value": 359},
4958 {"name": "SP_PERF_SEL_DCACHE_WB_CNT", "value": 360},
4959 {"name": "SP_PERF_SEL_DCACHE_STALL", "value": 361},
4960 {"name": "SP_PERF_SEL_DCACHE_HIT", "value": 362},
4961 {"name": "SP_PERF_SEL_DCACHE_HIT_DIRTY", "value": 363},
4962 {"name": "SP_PERF_SEL_DCACHE_WB_CONF_W_LDS", "value": 364},
4963 {"name": "SP_PERF_SEL_DCACHE_WB_CONF_W_TD", "value": 365},
4964 {"name": "SP_PERF_SEL_DCACHE_WB_CONF_W_SPI", "value": 366},
4965 {"name": "SP_PERF_SEL_DCACHE_WB_CONF_W_EXP_VMEM", "value": 367},
4966 {"name": "SP_PERF_SEL_DCACHE_EVEN_DIRTY_CNT", "value": 368},
4967 {"name": "SP_PERF_SEL_DCACHE_ODD_DIRTY_CNT", "value": 369},
4968 {"name": "SP_PERF_SEL_DCACHE_INVALIDATE_BY_VEC", "value": 370},
4969 {"name": "SP_PERF_SEL_GATHER_SRCA_FWD", "value": 371},
4970 {"name": "SP_PERF_SEL_GATHER_SRCB_FWD", "value": 372},
4971 {"name": "SP_PERF_SEL_GATHER_SRCC_FWD", "value": 373},
4972 {"name": "SP_PERF_SEL_GATHER_SRCA_REDUNDANT", "value": 374},
4973 {"name": "SP_PERF_SEL_GATHER_SRCB_REDUNDANT", "value": 375},
4974 {"name": "SP_PERF_SEL_GATHER_SRCC_REDUNDANT", "value": 376},
4975 {"name": "SP_PERF_SEL_GATHER_SRCA_DIRECT", "value": 377},
4976 {"name": "SP_PERF_SEL_GATHER_SRCB_DIRECT", "value": 378},
4977 {"name": "SP_PERF_SEL_GATHER_SRCC_DIRECT", "value": 379},
4978 {"name": "SP_PERF_SEL_VGPR_VALU_BANK0_RD", "value": 380},
4979 {"name": "SP_PERF_SEL_VGPR_VALU_BANK1_RD", "value": 381},
4980 {"name": "SP_PERF_SEL_VGPR_VALU_BANK2_RD", "value": 382},
4981 {"name": "SP_PERF_SEL_VGPR_VALU_BANK3_RD", "value": 383},
4982 {"name": "SP_PERF_SEL_VGPR_VMEM_BANK_RD", "value": 384},
4983 {"name": "SP_PERF_SEL_VGPR_EXP_BANK_RD", "value": 385},
4984 {"name": "SP_PERF_SEL_VGPR_TDDATA_WR", "value": 386},
4985 {"name": "SP_PERF_SEL_VGPR_LDSDATA_WR", "value": 387},
4986 {"name": "SP_PERF_SEL_PB_STALL", "value": 388},
4987 {"name": "SP_PERF_SEL_PB_SEND", "value": 389},
4988 {"name": "SP_PERF_SEL_LDS_DIRECT_FIFO_FULL", "value": 390},
4989 {"name": "SP_PERF_SEL_LDS_DIRECT_FIFO_SEND", "value": 391},
4990 {"name": "SP_PERF_SEL_SQ_SP_CONST_FIFO_FULL", "value": 392},
4991 {"name": "SP_PERF_SEL_SQ_SP_CONST_FIFO_SEND", "value": 393},
4992 {"name": "SP_PERF_SEL_SGPR_FULL", "value": 394},
4993 {"name": "SP_PERF_SEL_VALU_CONFLICT_WITH_EXP", "value": 395},
4994 {"name": "SP_PERF_SEL_VALU_CONFLICT_WITH_VMEM_LDS", "value": 396},
4995 {"name": "SP_PERF_SEL_VALU_CONFLICT_WITH_VMEM_NON_LDS", "value": 397},
4996 {"name": "SP_PERF_SEL_VALU_STALL_DUE_CONST_NOT_READY", "value": 398},
4997 {"name": "SP_PERF_SEL_VALU_STALL_DUE_VDST_FWD", "value": 399},
4998 {"name": "SP_PERF_SEL_VALU_STALL_DUE_SDST_FWD", "value": 400},
4999 {"name": "SP_PERF_SEL_VALU_STALL_DUE_LDS_DIR_NOT_READY", "value": 401},
5000 {"name": "SP_PERF_SEL_VALU_STALL_DUE_VGPR_NOT_READY", "value": 402},
5001 {"name": "SP_PERF_SEL_VALU_STALL_DUE_DST_STALL", "value": 403},
5002 {"name": "SP_PERF_SEL_VALU_STALL_DUE_DST_CACHE_WRITE_CONF", "value": 404},
5003 {"name": "SP_PERF_SEL_VALU_STALL", "value": 405},
5004 {"name": "SP_PERF_SEL_PERF_MEM_RD_CNT", "value": 406},
5005 {"name": "SP_PERF_SEL_PERF_MEM_WR_CNT", "value": 407},
5006 {"name": "SP_PERF_SEL_PERF_THREAD_REDUNDANT", "value": 408},
5007 {"name": "SP_PERF_SEL_PERF_OP_W_1_PASS", "value": 409},
5008 {"name": "SP_PERF_SEL_PERF_OP_W_2_PASS", "value": 410},
5009 {"name": "SP_PERF_SEL_PERF_OP_W_4_PASS", "value": 411},
5010 {"name": "SP_PERF_SEL_PERF_OP_W_16_PASS", "value": 412},
5011 {"name": "SP_PERF_SEL_PERF_COEXEC", "value": 413},
5012 {"name": "SP_PERF_SEL_PERF_ACTIVE_THREAD", "value": 414},
5013 {"name": "SP_PERF_SEL_PERF_ALL_ACTIVE", "value": 415},
5014 {"name": "SP_PERF_SEL_PERF_ZERO_P_ZERO", "value": 416},
5015 {"name": "SP_PERF_SEL_PERF_TRANS_OP", "value": 417},
5016 {"name": "SP_PERF_SEL_PERF_OP_W_MAD", "value": 418},
5017 {"name": "SP_PERF_SEL_PERF_OP_W_MUL", "value": 419},
5018 {"name": "SP_PERF_SEL_PERF_OP_W_ADD", "value": 420},
5019 {"name": "SP_PERF_SEL_DUMMY_LAST", "value": 421}
5020 ]
5021 },
5022 "SU_PERFCNT_SEL": {
5023 "entries": [
5024 {"name": "PERF_PAPC_PASX_REQ", "value": 0},
5025 {"name": "PERF_PAPC_PASX_DISABLE_PIPE", "value": 1},
5026 {"name": "PERF_PAPC_PASX_FIRST_VECTOR", "value": 2},
5027 {"name": "PERF_PAPC_PASX_SECOND_VECTOR", "value": 3},
5028 {"name": "PERF_PAPC_PASX_FIRST_DEAD", "value": 4},
5029 {"name": "PERF_PAPC_PASX_SECOND_DEAD", "value": 5},
5030 {"name": "PERF_PAPC_PASX_VTX_KILL_DISCARD", "value": 6},
5031 {"name": "PERF_PAPC_PASX_VTX_NAN_DISCARD", "value": 7},
5032 {"name": "PERF_PAPC_PA_INPUT_PRIM", "value": 8},
5033 {"name": "PERF_PAPC_PA_INPUT_NULL_PRIM", "value": 9},
5034 {"name": "PERF_PAPC_PA_INPUT_EVENT_FLAG", "value": 10},
5035 {"name": "PERF_PAPC_PA_INPUT_FIRST_PRIM_SLOT", "value": 11},
5036 {"name": "PERF_PAPC_PA_INPUT_END_OF_PACKET", "value": 12},
5037 {"name": "PERF_PAPC_PA_INPUT_EXTENDED_EVENT", "value": 13},
5038 {"name": "PERF_PAPC_CLPR_CULL_PRIM", "value": 14},
5039 {"name": "PERF_PAPC_CLPR_VVUCP_CULL_PRIM", "value": 15},
5040 {"name": "PERF_PAPC_CLPR_VV_CULL_PRIM", "value": 16},
5041 {"name": "PERF_PAPC_CLPR_UCP_CULL_PRIM", "value": 17},
5042 {"name": "PERF_PAPC_CLPR_VTX_KILL_CULL_PRIM", "value": 18},
5043 {"name": "PERF_PAPC_CLPR_VTX_NAN_CULL_PRIM", "value": 19},
5044 {"name": "PERF_PAPC_CLPR_CULL_TO_NULL_PRIM", "value": 20},
5045 {"name": "PERF_PAPC_CLPR_VVUCP_CLIP_PRIM", "value": 21},
5046 {"name": "PERF_PAPC_CLPR_VV_CLIP_PRIM", "value": 22},
5047 {"name": "PERF_PAPC_CLPR_UCP_CLIP_PRIM", "value": 23},
5048 {"name": "PERF_PAPC_CLPR_POINT_CLIP_CANDIDATE", "value": 24},
5049 {"name": "PERF_PAPC_CLPR_CLIP_PLANE_CNT_1", "value": 25},
5050 {"name": "PERF_PAPC_CLPR_CLIP_PLANE_CNT_2", "value": 26},
5051 {"name": "PERF_PAPC_CLPR_CLIP_PLANE_CNT_3", "value": 27},
5052 {"name": "PERF_PAPC_CLPR_CLIP_PLANE_CNT_4", "value": 28},
5053 {"name": "PERF_PAPC_CLPR_CLIP_PLANE_CNT_5_8", "value": 29},
5054 {"name": "PERF_PAPC_CLPR_CLIP_PLANE_CNT_9_12", "value": 30},
5055 {"name": "PERF_PAPC_CLPR_CLIP_PLANE_NEAR", "value": 31},
5056 {"name": "PERF_PAPC_CLPR_CLIP_PLANE_FAR", "value": 32},
5057 {"name": "PERF_PAPC_CLPR_CLIP_PLANE_LEFT", "value": 33},
5058 {"name": "PERF_PAPC_CLPR_CLIP_PLANE_RIGHT", "value": 34},
5059 {"name": "PERF_PAPC_CLPR_CLIP_PLANE_TOP", "value": 35},
5060 {"name": "PERF_PAPC_CLPR_CLIP_PLANE_BOTTOM", "value": 36},
5061 {"name": "PERF_PAPC_CLPR_GSC_KILL_CULL_PRIM", "value": 37},
5062 {"name": "PERF_PAPC_CLPR_RASTER_KILL_CULL_PRIM", "value": 38},
5063 {"name": "PERF_PAPC_CLSM_NULL_PRIM", "value": 39},
5064 {"name": "PERF_PAPC_CLSM_TOTALLY_VISIBLE_PRIM", "value": 40},
5065 {"name": "PERF_PAPC_CLSM_CULL_TO_NULL_PRIM", "value": 41},
5066 {"name": "PERF_PAPC_CLSM_OUT_PRIM_CNT_1", "value": 42},
5067 {"name": "PERF_PAPC_CLSM_OUT_PRIM_CNT_2", "value": 43},
5068 {"name": "PERF_PAPC_CLSM_OUT_PRIM_CNT_3", "value": 44},
5069 {"name": "PERF_PAPC_CLSM_OUT_PRIM_CNT_4", "value": 45},
5070 {"name": "PERF_PAPC_CLSM_OUT_PRIM_CNT_5_8", "value": 46},
5071 {"name": "PERF_PAPC_CLSM_OUT_PRIM_CNT_9_13", "value": 47},
5072 {"name": "PERF_PAPC_CLIPGA_VTE_KILL_PRIM", "value": 48},
5073 {"name": "PERF_PAPC_SU_INPUT_PRIM", "value": 49},
5074 {"name": "PERF_PAPC_SU_INPUT_CLIP_PRIM", "value": 50},
5075 {"name": "PERF_PAPC_SU_INPUT_NULL_PRIM", "value": 51},
5076 {"name": "PERF_PAPC_SU_INPUT_PRIM_DUAL", "value": 52},
5077 {"name": "PERF_PAPC_SU_INPUT_CLIP_PRIM_DUAL", "value": 53},
5078 {"name": "PERF_PAPC_SU_ZERO_AREA_CULL_PRIM", "value": 54},
5079 {"name": "PERF_PAPC_SU_BACK_FACE_CULL_PRIM", "value": 55},
5080 {"name": "PERF_PAPC_SU_FRONT_FACE_CULL_PRIM", "value": 56},
5081 {"name": "PERF_PAPC_SU_POLYMODE_FACE_CULL", "value": 57},
5082 {"name": "PERF_PAPC_SU_POLYMODE_BACK_CULL", "value": 58},
5083 {"name": "PERF_PAPC_SU_POLYMODE_FRONT_CULL", "value": 59},
5084 {"name": "PERF_PAPC_SU_POLYMODE_INVALID_FILL", "value": 60},
5085 {"name": "PERF_PAPC_SU_OUTPUT_PRIM", "value": 61},
5086 {"name": "PERF_PAPC_SU_OUTPUT_CLIP_PRIM", "value": 62},
5087 {"name": "PERF_PAPC_SU_OUTPUT_NULL_PRIM", "value": 63},
5088 {"name": "PERF_PAPC_SU_OUTPUT_EVENT_FLAG", "value": 64},
5089 {"name": "PERF_PAPC_SU_OUTPUT_FIRST_PRIM_SLOT", "value": 65},
5090 {"name": "PERF_PAPC_SU_OUTPUT_END_OF_PACKET", "value": 66},
5091 {"name": "PERF_PAPC_SU_OUTPUT_POLYMODE_FACE", "value": 67},
5092 {"name": "PERF_PAPC_SU_OUTPUT_POLYMODE_BACK", "value": 68},
5093 {"name": "PERF_PAPC_SU_OUTPUT_POLYMODE_FRONT", "value": 69},
5094 {"name": "PERF_PAPC_SU_OUT_CLIP_POLYMODE_FACE", "value": 70},
5095 {"name": "PERF_PAPC_SU_OUT_CLIP_POLYMODE_BACK", "value": 71},
5096 {"name": "PERF_PAPC_SU_OUT_CLIP_POLYMODE_FRONT", "value": 72},
5097 {"name": "PERF_PAPC_SU_OUTPUT_PRIM_DUAL", "value": 73},
5098 {"name": "PERF_PAPC_SU_OUTPUT_CLIP_PRIM_DUAL", "value": 74},
5099 {"name": "PERF_PAPC_SU_OUTPUT_POLYMODE_DUAL", "value": 75},
5100 {"name": "PERF_PAPC_SU_OUTPUT_CLIP_POLYMODE_DUAL", "value": 76},
5101 {"name": "PERF_PAPC_PASX_REQ_IDLE", "value": 77},
5102 {"name": "PERF_PAPC_PASX_REQ_BUSY", "value": 78},
5103 {"name": "PERF_PAPC_PASX_REQ_STALLED", "value": 79},
5104 {"name": "PERF_PAPC_PASX_REC_IDLE", "value": 80},
5105 {"name": "PERF_PAPC_PASX_REC_BUSY", "value": 81},
5106 {"name": "PERF_PAPC_PASX_REC_STARVED_SX", "value": 82},
5107 {"name": "PERF_PAPC_PASX_REC_STALLED", "value": 83},
5108 {"name": "PERF_PAPC_PASX_REC_STALLED_POS_MEM", "value": 84},
5109 {"name": "PERF_PAPC_PASX_REC_STALLED_CCGSM_IN", "value": 85},
5110 {"name": "PERF_PAPC_CCGSM_IDLE", "value": 86},
5111 {"name": "PERF_PAPC_CCGSM_BUSY", "value": 87},
5112 {"name": "PERF_PAPC_CCGSM_STALLED", "value": 88},
5113 {"name": "PERF_PAPC_CLPRIM_IDLE", "value": 89},
5114 {"name": "PERF_PAPC_CLPRIM_BUSY", "value": 90},
5115 {"name": "PERF_PAPC_CLPRIM_STALLED", "value": 91},
5116 {"name": "PERF_PAPC_CLPRIM_STARVED_CCGSM", "value": 92},
5117 {"name": "PERF_PAPC_CLIPSM_IDLE", "value": 93},
5118 {"name": "PERF_PAPC_CLIPSM_BUSY", "value": 94},
5119 {"name": "PERF_PAPC_CLIPSM_WAIT_CLIP_VERT_ENGH", "value": 95},
5120 {"name": "PERF_PAPC_CLIPSM_WAIT_HIGH_PRI_SEQ", "value": 96},
5121 {"name": "PERF_PAPC_CLIPSM_WAIT_CLIPGA", "value": 97},
5122 {"name": "PERF_PAPC_CLIPSM_WAIT_AVAIL_VTE_CLIP", "value": 98},
5123 {"name": "PERF_PAPC_CLIPSM_WAIT_CLIP_OUTSM", "value": 99},
5124 {"name": "PERF_PAPC_CLIPGA_IDLE", "value": 100},
5125 {"name": "PERF_PAPC_CLIPGA_BUSY", "value": 101},
5126 {"name": "PERF_PAPC_CLIPGA_STARVED_VTE_CLIP", "value": 102},
5127 {"name": "PERF_PAPC_CLIPGA_STALLED", "value": 103},
5128 {"name": "PERF_PAPC_CLIP_IDLE", "value": 104},
5129 {"name": "PERF_PAPC_CLIP_BUSY", "value": 105},
5130 {"name": "PERF_PAPC_SU_IDLE", "value": 106},
5131 {"name": "PERF_PAPC_SU_BUSY", "value": 107},
5132 {"name": "PERF_PAPC_SU_STARVED_CLIP", "value": 108},
5133 {"name": "PERF_PAPC_SU_STALLED_SC", "value": 109},
5134 {"name": "PERF_PAPC_CL_DYN_SCLK_VLD", "value": 110},
5135 {"name": "PERF_PAPC_SU_DYN_SCLK_VLD", "value": 111},
5136 {"name": "PERF_PAPC_PA_REG_SCLK_VLD", "value": 112},
5137 {"name": "PERF_PAPC_SU_MULTI_GPU_PRIM_FILTER_CULL", "value": 113},
5138 {"name": "PERF_PAPC_PASX_SE0_REQ", "value": 114},
5139 {"name": "PERF_PAPC_PASX_SE1_REQ", "value": 115},
5140 {"name": "PERF_PAPC_PASX_SE0_FIRST_VECTOR", "value": 116},
5141 {"name": "PERF_PAPC_PASX_SE0_SECOND_VECTOR", "value": 117},
5142 {"name": "PERF_PAPC_PASX_SE1_FIRST_VECTOR", "value": 118},
5143 {"name": "PERF_PAPC_PASX_SE1_SECOND_VECTOR", "value": 119},
5144 {"name": "PERF_PAPC_SU_SE0_PRIM_FILTER_CULL", "value": 120},
5145 {"name": "PERF_PAPC_SU_SE1_PRIM_FILTER_CULL", "value": 121},
5146 {"name": "PERF_PAPC_SU_SE01_PRIM_FILTER_CULL", "value": 122},
5147 {"name": "PERF_PAPC_SU_SE0_OUTPUT_PRIM", "value": 123},
5148 {"name": "PERF_PAPC_SU_SE1_OUTPUT_PRIM", "value": 124},
5149 {"name": "PERF_PAPC_SU_SE01_OUTPUT_PRIM", "value": 125},
5150 {"name": "PERF_PAPC_SU_SE0_OUTPUT_NULL_PRIM", "value": 126},
5151 {"name": "PERF_PAPC_SU_SE1_OUTPUT_NULL_PRIM", "value": 127},
5152 {"name": "PERF_PAPC_SU_SE01_OUTPUT_NULL_PRIM", "value": 128},
5153 {"name": "PERF_PAPC_SU_SE0_OUTPUT_FIRST_PRIM_SLOT", "value": 129},
5154 {"name": "PERF_PAPC_SU_SE1_OUTPUT_FIRST_PRIM_SLOT", "value": 130},
5155 {"name": "PERF_PAPC_SU_SE0_STALLED_SC", "value": 131},
5156 {"name": "PERF_PAPC_SU_SE1_STALLED_SC", "value": 132},
5157 {"name": "PERF_PAPC_SU_SE01_STALLED_SC", "value": 133},
5158 {"name": "PERF_PAPC_CLSM_CLIPPING_PRIM", "value": 134},
5159 {"name": "PERF_PAPC_SU_CULLED_PRIM", "value": 135},
5160 {"name": "PERF_PAPC_SU_OUTPUT_EOPG", "value": 136},
5161 {"name": "PERF_PAPC_SU_SE2_PRIM_FILTER_CULL", "value": 137},
5162 {"name": "PERF_PAPC_SU_SE3_PRIM_FILTER_CULL", "value": 138},
5163 {"name": "PERF_PAPC_SU_SE2_OUTPUT_PRIM", "value": 139},
5164 {"name": "PERF_PAPC_SU_SE3_OUTPUT_PRIM", "value": 140},
5165 {"name": "PERF_PAPC_SU_SE2_OUTPUT_NULL_PRIM", "value": 141},
5166 {"name": "PERF_PAPC_SU_SE3_OUTPUT_NULL_PRIM", "value": 142},
5167 {"name": "PERF_PAPC_SU_SE0_OUTPUT_END_OF_PACKET", "value": 143},
5168 {"name": "PERF_PAPC_SU_SE1_OUTPUT_END_OF_PACKET", "value": 144},
5169 {"name": "PERF_PAPC_SU_SE2_OUTPUT_END_OF_PACKET", "value": 145},
5170 {"name": "PERF_PAPC_SU_SE3_OUTPUT_END_OF_PACKET", "value": 146},
5171 {"name": "PERF_PAPC_SU_SE0_OUTPUT_EOPG", "value": 147},
5172 {"name": "PERF_PAPC_SU_SE1_OUTPUT_EOPG", "value": 148},
5173 {"name": "PERF_PAPC_SU_SE2_OUTPUT_EOPG", "value": 149},
5174 {"name": "PERF_PAPC_SU_SE3_OUTPUT_EOPG", "value": 150},
5175 {"name": "PERF_PAPC_SU_SE2_STALLED_SC", "value": 151},
5176 {"name": "PERF_PAPC_SU_SE3_STALLED_SC", "value": 152},
5177 {"name": "PERF_SU_SMALL_PRIM_FILTER_CULL_CNT", "value": 153},
5178 {"name": "PERF_SMALL_PRIM_CULL_PRIM_1X1", "value": 154},
5179 {"name": "PERF_SMALL_PRIM_CULL_PRIM_2X1", "value": 155},
5180 {"name": "PERF_SMALL_PRIM_CULL_PRIM_1X2", "value": 156},
5181 {"name": "PERF_SMALL_PRIM_CULL_PRIM_2X2", "value": 157},
5182 {"name": "PERF_SMALL_PRIM_CULL_PRIM_3X1", "value": 158},
5183 {"name": "PERF_SMALL_PRIM_CULL_PRIM_1X3", "value": 159},
5184 {"name": "PERF_SMALL_PRIM_CULL_PRIM_3X2", "value": 160},
5185 {"name": "PERF_SMALL_PRIM_CULL_PRIM_2X3", "value": 161},
5186 {"name": "PERF_SMALL_PRIM_CULL_PRIM_NX1", "value": 162},
5187 {"name": "PERF_SMALL_PRIM_CULL_PRIM_1XN", "value": 163},
5188 {"name": "PERF_SMALL_PRIM_CULL_PRIM_NX2", "value": 164},
5189 {"name": "PERF_SMALL_PRIM_CULL_PRIM_2XN", "value": 165},
5190 {"name": "PERF_SMALL_PRIM_CULL_PRIM_FULL_RES_EVENT", "value": 166},
5191 {"name": "PERF_SMALL_PRIM_CULL_PRIM_HALF_RES_EVENT", "value": 167},
5192 {"name": "PERF_SMALL_PRIM_CULL_PRIM_QUARTER_RES_EVENT", "value": 168},
5193 {"name": "PERF_SC0_QUALIFIED_SEND_BUSY_EVENT", "value": 169},
5194 {"name": "PERF_SC0_QUALIFIED_SEND_NOT_BUSY_EVENT", "value": 170},
5195 {"name": "PERF_SC1_QUALIFIED_SEND_BUSY_EVENT", "value": 171},
5196 {"name": "PERF_SC1_QUALIFIED_SEND_NOT_BUSY_EVENT", "value": 172},
5197 {"name": "PERF_SC2_QUALIFIED_SEND_BUSY_EVENT", "value": 173},
5198 {"name": "PERF_SC2_QUALIFIED_SEND_NOT_BUSY_EVENT", "value": 174},
5199 {"name": "PERF_SC3_QUALIFIED_SEND_BUSY_EVENT", "value": 175},
5200 {"name": "PERF_SC3_QUALIFIED_SEND_NOT_BUSY_EVENT", "value": 176},
5201 {"name": "PERF_PA_VERTEX_FIFO_FULL", "value": 177},
5202 {"name": "PERF_PA_PRIMIC_TO_CLPRIM_FIFO_FULL", "value": 178},
5203 {"name": "PERF_PA_FETCH_TO_PRIMIC_P_FIFO_FULL", "value": 179},
5204 {"name": "PERF_PA_FETCH_TO_SXIF_FIFO_FULL", "value": 180},
5205 {"name": "PERF_PA_PIPE0_SWITCHED_GEN", "value": 181},
5206 {"name": "PERF_PA_PIPE1_SWITCHED_GEN", "value": 182},
5207 {"name": "PERF_ENGG_CSB_MACHINE_IS_STARVED", "value": 183},
5208 {"name": "PERF_ENGG_CSB_MACHINE_STALLED_BY_CSB_MEMORY", "value": 184},
5209 {"name": "PERF_ENGG_CSB_MACHINE_STALLED_BY_SPI", "value": 185},
5210 {"name": "PERF_ENGG_CSB_GE_INPUT_FIFO_FULL", "value": 186},
5211 {"name": "PERF_ENGG_CSB_SPI_INPUT_FIFO_FULL", "value": 187},
5212 {"name": "PERF_ENGG_CSB_OBJECTID_INPUT_FIFO_FULL", "value": 188},
5213 {"name": "PERF_ENGG_CSB_GE_INPUT_FIFO_POP_BIT", "value": 189},
5214 {"name": "PERF_ENGG_CSB_PRIM_COUNT_EQ0", "value": 190},
5215 {"name": "PERF_ENGG_CSB_NULL_SUBGROUP", "value": 191},
5216 {"name": "PERF_ENGG_CSB_GE_SENDING_SUBGROUP", "value": 192},
5217 {"name": "PERF_ENGG_CSB_GE_MEMORY_FULL", "value": 193},
5218 {"name": "PERF_ENGG_CSB_GE_MEMORY_EMPTY", "value": 194},
5219 {"name": "PERF_ENGG_CSB_SPI_MEMORY_FULL", "value": 195},
5220 {"name": "PERF_ENGG_CSB_SPI_MEMORY_EMPTY", "value": 196},
5221 {"name": "PERF_ENGG_CSB_DELAY_BIN00", "value": 197},
5222 {"name": "PERF_ENGG_CSB_DELAY_BIN01", "value": 198},
5223 {"name": "PERF_ENGG_CSB_DELAY_BIN02", "value": 199},
5224 {"name": "PERF_ENGG_CSB_DELAY_BIN03", "value": 200},
5225 {"name": "PERF_ENGG_CSB_DELAY_BIN04", "value": 201},
5226 {"name": "PERF_ENGG_CSB_DELAY_BIN05", "value": 202},
5227 {"name": "PERF_ENGG_CSB_DELAY_BIN06", "value": 203},
5228 {"name": "PERF_ENGG_CSB_DELAY_BIN07", "value": 204},
5229 {"name": "PERF_ENGG_CSB_DELAY_BIN08", "value": 205},
5230 {"name": "PERF_ENGG_CSB_DELAY_BIN09", "value": 206},
5231 {"name": "PERF_ENGG_CSB_DELAY_BIN10", "value": 207},
5232 {"name": "PERF_ENGG_CSB_DELAY_BIN11", "value": 208},
5233 {"name": "PERF_ENGG_CSB_DELAY_BIN12", "value": 209},
5234 {"name": "PERF_ENGG_CSB_DELAY_BIN13", "value": 210},
5235 {"name": "PERF_ENGG_CSB_DELAY_BIN14", "value": 211},
5236 {"name": "PERF_ENGG_CSB_DELAY_BIN15", "value": 212},
5237 {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN00", "value": 213},
5238 {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN01", "value": 214},
5239 {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN02", "value": 215},
5240 {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN03", "value": 216},
5241 {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN04", "value": 217},
5242 {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN05", "value": 218},
5243 {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN06", "value": 219},
5244 {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN07", "value": 220},
5245 {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN08", "value": 221},
5246 {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN09", "value": 222},
5247 {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN10", "value": 223},
5248 {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN11", "value": 224},
5249 {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN12", "value": 225},
5250 {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN13", "value": 226},
5251 {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN14", "value": 227},
5252 {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN15", "value": 228},
5253 {"name": "PERF_ENGG_INDEX_REQ_STARVED", "value": 229},
5254 {"name": "PERF_ENGG_INDEX_REQ_IDLE_AND_STALLED_BY_REQ2RTN_FIFO_FULL", "value": 230},
5255 {"name": "PERF_ENGG_INDEX_REQ_BUSY_AND_STALLED_BY_REQ2RTN_FIFO_FULL", "value": 231},
5256 {"name": "PERF_ENGG_INDEX_REQ_STALLED_BY_SX_CREDITS", "value": 232},
5257 {"name": "PERF_ENGG_INDEX_RET_REQ2RTN_FIFO_FULL", "value": 233},
5258 {"name": "PERF_ENGG_INDEX_RET_REQ2RTN_FIFO_EMPTY", "value": 234},
5259 {"name": "PERF_ENGG_INDEX_RET_SX_RECEIVE_FIFO_FULL", "value": 235},
5260 {"name": "PERF_ENGG_INDEX_RET_SXRX_STARVED_BY_CSB", "value": 236},
5261 {"name": "PERF_ENGG_INDEX_RET_SXRX_STARVED_BY_PRIMS", "value": 237},
5262 {"name": "PERF_ENGG_INDEX_RET_SXRX_STALLED_BY_PRIM_INDICES_CSB_FIFO", "value": 238},
5263 {"name": "PERF_ENGG_INDEX_RET_SXRX_STALLED_BY_PRIM_INDICES_FIFO", "value": 239},
5264 {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_EVENT", "value": 240},
5265 {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_NULL_SUBGROUP", "value": 241},
5266 {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_SUBGROUP_PRIMCOUNT_EQ0", "value": 242},
5267 {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_0_VALID_PRIMS_NOPL", "value": 243},
5268 {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_1_VALID_PRIMS_NOPL", "value": 244},
5269 {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_2_VALID_PRIMS_NOPL", "value": 245},
5270 {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_3_VALID_PRIMS_NOPL", "value": 246},
5271 {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_4_VALID_PRIMS_NOPL", "value": 247},
5272 {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_0_VALID_PRIMS_PL", "value": 248},
5273 {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_1_VALID_PRIMS_PL", "value": 249},
5274 {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_2_VALID_PRIMS_PL", "value": 250},
5275 {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_3_VALID_PRIMS_PL", "value": 251},
5276 {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_4_VALID_PRIMS_PL", "value": 252},
5277 {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_0_NULL_PRIMS", "value": 253},
5278 {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_1_NULL_PRIMS", "value": 254},
5279 {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_2_NULL_PRIMS", "value": 255},
5280 {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_3_NULL_PRIMS", "value": 256},
5281 {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_4_NULL_PRIMS", "value": 257},
5282 {"name": "PERF_ENGG_INDEX_PRIM_IF_STALLED_BY_FULL_FETCH_TO_PRIMIC_P_FIFO", "value": 258},
5283 {"name": "PERF_ENGG_INDEX_PRIM_IF_STALLED_BY_FULL_FETCH_TO_PRIMIC_S_FIFO", "value": 259},
5284 {"name": "PERF_ENGG_INDEX_PRIM_IF_STARVED_BY_NO_CSB", "value": 260},
5285 {"name": "PERF_ENGG_INDEX_PRIM_IF_STARVED_BY_NO_PRIM", "value": 261},
5286 {"name": "PERF_ENGG_INDEX_PRIM_IF_FETCH_TO_PRIMIC_P_FIFO_WRITE", "value": 262},
5287 {"name": "PERF_ENGG_INDEX_PRIM_IF_FETCH_TO_PRIMIC_P_FIFO_NO_WRITE", "value": 263},
5288 {"name": "PERF_ENGG_POS_REQ_STARVED", "value": 264},
5289 {"name": "PERF_ENGG_POS_REQ_STALLED_BY_FULL_CLIPV_FIFO", "value": 265}
5290 ]
5291 },
5292 "SX_BLEND_OPT": {
5293 "entries": [
5294 {"name": "BLEND_OPT_PRESERVE_NONE_IGNORE_ALL", "value": 0},
5295 {"name": "BLEND_OPT_PRESERVE_ALL_IGNORE_NONE", "value": 1},
5296 {"name": "BLEND_OPT_PRESERVE_C1_IGNORE_C0", "value": 2},
5297 {"name": "BLEND_OPT_PRESERVE_C0_IGNORE_C1", "value": 3},
5298 {"name": "BLEND_OPT_PRESERVE_A1_IGNORE_A0", "value": 4},
5299 {"name": "BLEND_OPT_PRESERVE_A0_IGNORE_A1", "value": 5},
5300 {"name": "BLEND_OPT_PRESERVE_NONE_IGNORE_A0", "value": 6},
5301 {"name": "BLEND_OPT_PRESERVE_NONE_IGNORE_NONE", "value": 7}
5302 ]
5303 },
5304 "SX_DOWNCONVERT_FORMAT": {
5305 "entries": [
5306 {"name": "SX_RT_EXPORT_NO_CONVERSION", "value": 0},
5307 {"name": "SX_RT_EXPORT_32_R", "value": 1},
5308 {"name": "SX_RT_EXPORT_32_A", "value": 2},
5309 {"name": "SX_RT_EXPORT_10_11_11", "value": 3},
5310 {"name": "SX_RT_EXPORT_2_10_10_10", "value": 4},
5311 {"name": "SX_RT_EXPORT_8_8_8_8", "value": 5},
5312 {"name": "SX_RT_EXPORT_5_6_5", "value": 6},
5313 {"name": "SX_RT_EXPORT_1_5_5_5", "value": 7},
5314 {"name": "SX_RT_EXPORT_4_4_4_4", "value": 8},
5315 {"name": "SX_RT_EXPORT_16_16_GR", "value": 9},
5316 {"name": "SX_RT_EXPORT_16_16_AR", "value": 10}
5317 ]
5318 },
5319 "SX_OPT_COMB_FCN": {
5320 "entries": [
5321 {"name": "OPT_COMB_NONE", "value": 0},
5322 {"name": "OPT_COMB_ADD", "value": 1},
5323 {"name": "OPT_COMB_SUBTRACT", "value": 2},
5324 {"name": "OPT_COMB_MIN", "value": 3},
5325 {"name": "OPT_COMB_MAX", "value": 4},
5326 {"name": "OPT_COMB_REVSUBTRACT", "value": 5},
5327 {"name": "OPT_COMB_BLEND_DISABLED", "value": 6},
5328 {"name": "OPT_COMB_SAFE_ADD", "value": 7}
5329 ]
5330 },
5331 "SX_PERFCOUNTER_VALS": {
5332 "entries": [
5333 {"name": "SX_PERF_SEL_PA_IDLE_CYCLES", "value": 0},
5334 {"name": "SX_PERF_SEL_PA_REQ", "value": 1},
5335 {"name": "SX_PERF_SEL_PA_POS", "value": 2},
5336 {"name": "SX_PERF_SEL_CLOCK", "value": 3},
5337 {"name": "SX_PERF_SEL_GATE_EN1", "value": 4},
5338 {"name": "SX_PERF_SEL_GATE_EN2", "value": 5},
5339 {"name": "SX_PERF_SEL_GATE_EN3", "value": 6},
5340 {"name": "SX_PERF_SEL_GATE_EN4", "value": 7},
5341 {"name": "SX_PERF_SEL_SH_POS_STARVE", "value": 8},
5342 {"name": "SX_PERF_SEL_SH_COLOR_STARVE", "value": 9},
5343 {"name": "SX_PERF_SEL_SH_POS_STALL", "value": 10},
5344 {"name": "SX_PERF_SEL_SH_COLOR_STALL", "value": 11},
5345 {"name": "SX_PERF_SEL_DB0_PIXELS", "value": 12},
5346 {"name": "SX_PERF_SEL_DB0_HALF_QUADS", "value": 13},
5347 {"name": "SX_PERF_SEL_DB0_PIXEL_STALL", "value": 14},
5348 {"name": "SX_PERF_SEL_DB0_PIXEL_IDLE", "value": 15},
5349 {"name": "SX_PERF_SEL_DB0_PRED_PIXELS", "value": 16},
5350 {"name": "SX_PERF_SEL_DB1_PIXELS", "value": 17},
5351 {"name": "SX_PERF_SEL_DB1_HALF_QUADS", "value": 18},
5352 {"name": "SX_PERF_SEL_DB1_PIXEL_STALL", "value": 19},
5353 {"name": "SX_PERF_SEL_DB1_PIXEL_IDLE", "value": 20},
5354 {"name": "SX_PERF_SEL_DB1_PRED_PIXELS", "value": 21},
5355 {"name": "SX_PERF_SEL_DB2_PIXELS", "value": 22},
5356 {"name": "SX_PERF_SEL_DB2_HALF_QUADS", "value": 23},
5357 {"name": "SX_PERF_SEL_DB2_PIXEL_STALL", "value": 24},
5358 {"name": "SX_PERF_SEL_DB2_PIXEL_IDLE", "value": 25},
5359 {"name": "SX_PERF_SEL_DB2_PRED_PIXELS", "value": 26},
5360 {"name": "SX_PERF_SEL_DB3_PIXELS", "value": 27},
5361 {"name": "SX_PERF_SEL_DB3_HALF_QUADS", "value": 28},
5362 {"name": "SX_PERF_SEL_DB3_PIXEL_STALL", "value": 29},
5363 {"name": "SX_PERF_SEL_DB3_PIXEL_IDLE", "value": 30},
5364 {"name": "SX_PERF_SEL_DB3_PRED_PIXELS", "value": 31},
5365 {"name": "SX_PERF_SEL_COL_BUSY", "value": 32},
5366 {"name": "SX_PERF_SEL_POS_BUSY", "value": 33},
5367 {"name": "SX_PERF_SEL_DB0_A2M_DISCARD_QUADS", "value": 34},
5368 {"name": "SX_PERF_SEL_DB0_MRT0_BLEND_BYPASS", "value": 35},
5369 {"name": "SX_PERF_SEL_DB0_MRT0_DONT_RD_DEST", "value": 36},
5370 {"name": "SX_PERF_SEL_DB0_MRT0_DISCARD_SRC", "value": 37},
5371 {"name": "SX_PERF_SEL_DB0_MRT0_SINGLE_QUADS", "value": 38},
5372 {"name": "SX_PERF_SEL_DB0_MRT0_DOUBLE_QUADS", "value": 39},
5373 {"name": "SX_PERF_SEL_DB0_MRT1_BLEND_BYPASS", "value": 40},
5374 {"name": "SX_PERF_SEL_DB0_MRT1_DONT_RD_DEST", "value": 41},
5375 {"name": "SX_PERF_SEL_DB0_MRT1_DISCARD_SRC", "value": 42},
5376 {"name": "SX_PERF_SEL_DB0_MRT1_SINGLE_QUADS", "value": 43},
5377 {"name": "SX_PERF_SEL_DB0_MRT1_DOUBLE_QUADS", "value": 44},
5378 {"name": "SX_PERF_SEL_DB0_MRT2_BLEND_BYPASS", "value": 45},
5379 {"name": "SX_PERF_SEL_DB0_MRT2_DONT_RD_DEST", "value": 46},
5380 {"name": "SX_PERF_SEL_DB0_MRT2_DISCARD_SRC", "value": 47},
5381 {"name": "SX_PERF_SEL_DB0_MRT2_SINGLE_QUADS", "value": 48},
5382 {"name": "SX_PERF_SEL_DB0_MRT2_DOUBLE_QUADS", "value": 49},
5383 {"name": "SX_PERF_SEL_DB0_MRT3_BLEND_BYPASS", "value": 50},
5384 {"name": "SX_PERF_SEL_DB0_MRT3_DONT_RD_DEST", "value": 51},
5385 {"name": "SX_PERF_SEL_DB0_MRT3_DISCARD_SRC", "value": 52},
5386 {"name": "SX_PERF_SEL_DB0_MRT3_SINGLE_QUADS", "value": 53},
5387 {"name": "SX_PERF_SEL_DB0_MRT3_DOUBLE_QUADS", "value": 54},
5388 {"name": "SX_PERF_SEL_DB0_MRT4_BLEND_BYPASS", "value": 55},
5389 {"name": "SX_PERF_SEL_DB0_MRT4_DONT_RD_DEST", "value": 56},
5390 {"name": "SX_PERF_SEL_DB0_MRT4_DISCARD_SRC", "value": 57},
5391 {"name": "SX_PERF_SEL_DB0_MRT4_SINGLE_QUADS", "value": 58},
5392 {"name": "SX_PERF_SEL_DB0_MRT4_DOUBLE_QUADS", "value": 59},
5393 {"name": "SX_PERF_SEL_DB0_MRT5_BLEND_BYPASS", "value": 60},
5394 {"name": "SX_PERF_SEL_DB0_MRT5_DONT_RD_DEST", "value": 61},
5395 {"name": "SX_PERF_SEL_DB0_MRT5_DISCARD_SRC", "value": 62},
5396 {"name": "SX_PERF_SEL_DB0_MRT5_SINGLE_QUADS", "value": 63},
5397 {"name": "SX_PERF_SEL_DB0_MRT5_DOUBLE_QUADS", "value": 64},
5398 {"name": "SX_PERF_SEL_DB0_MRT6_BLEND_BYPASS", "value": 65},
5399 {"name": "SX_PERF_SEL_DB0_MRT6_DONT_RD_DEST", "value": 66},
5400 {"name": "SX_PERF_SEL_DB0_MRT6_DISCARD_SRC", "value": 67},
5401 {"name": "SX_PERF_SEL_DB0_MRT6_SINGLE_QUADS", "value": 68},
5402 {"name": "SX_PERF_SEL_DB0_MRT6_DOUBLE_QUADS", "value": 69},
5403 {"name": "SX_PERF_SEL_DB0_MRT7_BLEND_BYPASS", "value": 70},
5404 {"name": "SX_PERF_SEL_DB0_MRT7_DONT_RD_DEST", "value": 71},
5405 {"name": "SX_PERF_SEL_DB0_MRT7_DISCARD_SRC", "value": 72},
5406 {"name": "SX_PERF_SEL_DB0_MRT7_SINGLE_QUADS", "value": 73},
5407 {"name": "SX_PERF_SEL_DB0_MRT7_DOUBLE_QUADS", "value": 74},
5408 {"name": "SX_PERF_SEL_DB1_A2M_DISCARD_QUADS", "value": 75},
5409 {"name": "SX_PERF_SEL_DB1_MRT0_BLEND_BYPASS", "value": 76},
5410 {"name": "SX_PERF_SEL_DB1_MRT0_DONT_RD_DEST", "value": 77},
5411 {"name": "SX_PERF_SEL_DB1_MRT0_DISCARD_SRC", "value": 78},
5412 {"name": "SX_PERF_SEL_DB1_MRT0_SINGLE_QUADS", "value": 79},
5413 {"name": "SX_PERF_SEL_DB1_MRT0_DOUBLE_QUADS", "value": 80},
5414 {"name": "SX_PERF_SEL_DB1_MRT1_BLEND_BYPASS", "value": 81},
5415 {"name": "SX_PERF_SEL_DB1_MRT1_DONT_RD_DEST", "value": 82},
5416 {"name": "SX_PERF_SEL_DB1_MRT1_DISCARD_SRC", "value": 83},
5417 {"name": "SX_PERF_SEL_DB1_MRT1_SINGLE_QUADS", "value": 84},
5418 {"name": "SX_PERF_SEL_DB1_MRT1_DOUBLE_QUADS", "value": 85},
5419 {"name": "SX_PERF_SEL_DB1_MRT2_BLEND_BYPASS", "value": 86},
5420 {"name": "SX_PERF_SEL_DB1_MRT2_DONT_RD_DEST", "value": 87},
5421 {"name": "SX_PERF_SEL_DB1_MRT2_DISCARD_SRC", "value": 88},
5422 {"name": "SX_PERF_SEL_DB1_MRT2_SINGLE_QUADS", "value": 89},
5423 {"name": "SX_PERF_SEL_DB1_MRT2_DOUBLE_QUADS", "value": 90},
5424 {"name": "SX_PERF_SEL_DB1_MRT3_BLEND_BYPASS", "value": 91},
5425 {"name": "SX_PERF_SEL_DB1_MRT3_DONT_RD_DEST", "value": 92},
5426 {"name": "SX_PERF_SEL_DB1_MRT3_DISCARD_SRC", "value": 93},
5427 {"name": "SX_PERF_SEL_DB1_MRT3_SINGLE_QUADS", "value": 94},
5428 {"name": "SX_PERF_SEL_DB1_MRT3_DOUBLE_QUADS", "value": 95},
5429 {"name": "SX_PERF_SEL_DB1_MRT4_BLEND_BYPASS", "value": 96},
5430 {"name": "SX_PERF_SEL_DB1_MRT4_DONT_RD_DEST", "value": 97},
5431 {"name": "SX_PERF_SEL_DB1_MRT4_DISCARD_SRC", "value": 98},
5432 {"name": "SX_PERF_SEL_DB1_MRT4_SINGLE_QUADS", "value": 99},
5433 {"name": "SX_PERF_SEL_DB1_MRT4_DOUBLE_QUADS", "value": 100},
5434 {"name": "SX_PERF_SEL_DB1_MRT5_BLEND_BYPASS", "value": 101},
5435 {"name": "SX_PERF_SEL_DB1_MRT5_DONT_RD_DEST", "value": 102},
5436 {"name": "SX_PERF_SEL_DB1_MRT5_DISCARD_SRC", "value": 103},
5437 {"name": "SX_PERF_SEL_DB1_MRT5_SINGLE_QUADS", "value": 104},
5438 {"name": "SX_PERF_SEL_DB1_MRT5_DOUBLE_QUADS", "value": 105},
5439 {"name": "SX_PERF_SEL_DB1_MRT6_BLEND_BYPASS", "value": 106},
5440 {"name": "SX_PERF_SEL_DB1_MRT6_DONT_RD_DEST", "value": 107},
5441 {"name": "SX_PERF_SEL_DB1_MRT6_DISCARD_SRC", "value": 108},
5442 {"name": "SX_PERF_SEL_DB1_MRT6_SINGLE_QUADS", "value": 109},
5443 {"name": "SX_PERF_SEL_DB1_MRT6_DOUBLE_QUADS", "value": 110},
5444 {"name": "SX_PERF_SEL_DB1_MRT7_BLEND_BYPASS", "value": 111},
5445 {"name": "SX_PERF_SEL_DB1_MRT7_DONT_RD_DEST", "value": 112},
5446 {"name": "SX_PERF_SEL_DB1_MRT7_DISCARD_SRC", "value": 113},
5447 {"name": "SX_PERF_SEL_DB1_MRT7_SINGLE_QUADS", "value": 114},
5448 {"name": "SX_PERF_SEL_DB1_MRT7_DOUBLE_QUADS", "value": 115},
5449 {"name": "SX_PERF_SEL_DB2_A2M_DISCARD_QUADS", "value": 116},
5450 {"name": "SX_PERF_SEL_DB2_MRT0_BLEND_BYPASS", "value": 117},
5451 {"name": "SX_PERF_SEL_DB2_MRT0_DONT_RD_DEST", "value": 118},
5452 {"name": "SX_PERF_SEL_DB2_MRT0_DISCARD_SRC", "value": 119},
5453 {"name": "SX_PERF_SEL_DB2_MRT0_SINGLE_QUADS", "value": 120},
5454 {"name": "SX_PERF_SEL_DB2_MRT0_DOUBLE_QUADS", "value": 121},
5455 {"name": "SX_PERF_SEL_DB2_MRT1_BLEND_BYPASS", "value": 122},
5456 {"name": "SX_PERF_SEL_DB2_MRT1_DONT_RD_DEST", "value": 123},
5457 {"name": "SX_PERF_SEL_DB2_MRT1_DISCARD_SRC", "value": 124},
5458 {"name": "SX_PERF_SEL_DB2_MRT1_SINGLE_QUADS", "value": 125},
5459 {"name": "SX_PERF_SEL_DB2_MRT1_DOUBLE_QUADS", "value": 126},
5460 {"name": "SX_PERF_SEL_DB2_MRT2_BLEND_BYPASS", "value": 127},
5461 {"name": "SX_PERF_SEL_DB2_MRT2_DONT_RD_DEST", "value": 128},
5462 {"name": "SX_PERF_SEL_DB2_MRT2_DISCARD_SRC", "value": 129},
5463 {"name": "SX_PERF_SEL_DB2_MRT2_SINGLE_QUADS", "value": 130},
5464 {"name": "SX_PERF_SEL_DB2_MRT2_DOUBLE_QUADS", "value": 131},
5465 {"name": "SX_PERF_SEL_DB2_MRT3_BLEND_BYPASS", "value": 132},
5466 {"name": "SX_PERF_SEL_DB2_MRT3_DONT_RD_DEST", "value": 133},
5467 {"name": "SX_PERF_SEL_DB2_MRT3_DISCARD_SRC", "value": 134},
5468 {"name": "SX_PERF_SEL_DB2_MRT3_SINGLE_QUADS", "value": 135},
5469 {"name": "SX_PERF_SEL_DB2_MRT3_DOUBLE_QUADS", "value": 136},
5470 {"name": "SX_PERF_SEL_DB2_MRT4_BLEND_BYPASS", "value": 137},
5471 {"name": "SX_PERF_SEL_DB2_MRT4_DONT_RD_DEST", "value": 138},
5472 {"name": "SX_PERF_SEL_DB2_MRT4_DISCARD_SRC", "value": 139},
5473 {"name": "SX_PERF_SEL_DB2_MRT4_SINGLE_QUADS", "value": 140},
5474 {"name": "SX_PERF_SEL_DB2_MRT4_DOUBLE_QUADS", "value": 141},
5475 {"name": "SX_PERF_SEL_DB2_MRT5_BLEND_BYPASS", "value": 142},
5476 {"name": "SX_PERF_SEL_DB2_MRT5_DONT_RD_DEST", "value": 143},
5477 {"name": "SX_PERF_SEL_DB2_MRT5_DISCARD_SRC", "value": 144},
5478 {"name": "SX_PERF_SEL_DB2_MRT5_SINGLE_QUADS", "value": 145},
5479 {"name": "SX_PERF_SEL_DB2_MRT5_DOUBLE_QUADS", "value": 146},
5480 {"name": "SX_PERF_SEL_DB2_MRT6_BLEND_BYPASS", "value": 147},
5481 {"name": "SX_PERF_SEL_DB2_MRT6_DONT_RD_DEST", "value": 148},
5482 {"name": "SX_PERF_SEL_DB2_MRT6_DISCARD_SRC", "value": 149},
5483 {"name": "SX_PERF_SEL_DB2_MRT6_SINGLE_QUADS", "value": 150},
5484 {"name": "SX_PERF_SEL_DB2_MRT6_DOUBLE_QUADS", "value": 151},
5485 {"name": "SX_PERF_SEL_DB2_MRT7_BLEND_BYPASS", "value": 152},
5486 {"name": "SX_PERF_SEL_DB2_MRT7_DONT_RD_DEST", "value": 153},
5487 {"name": "SX_PERF_SEL_DB2_MRT7_DISCARD_SRC", "value": 154},
5488 {"name": "SX_PERF_SEL_DB2_MRT7_SINGLE_QUADS", "value": 155},
5489 {"name": "SX_PERF_SEL_DB2_MRT7_DOUBLE_QUADS", "value": 156},
5490 {"name": "SX_PERF_SEL_DB3_A2M_DISCARD_QUADS", "value": 157},
5491 {"name": "SX_PERF_SEL_DB3_MRT0_BLEND_BYPASS", "value": 158},
5492 {"name": "SX_PERF_SEL_DB3_MRT0_DONT_RD_DEST", "value": 159},
5493 {"name": "SX_PERF_SEL_DB3_MRT0_DISCARD_SRC", "value": 160},
5494 {"name": "SX_PERF_SEL_DB3_MRT0_SINGLE_QUADS", "value": 161},
5495 {"name": "SX_PERF_SEL_DB3_MRT0_DOUBLE_QUADS", "value": 162},
5496 {"name": "SX_PERF_SEL_DB3_MRT1_BLEND_BYPASS", "value": 163},
5497 {"name": "SX_PERF_SEL_DB3_MRT1_DONT_RD_DEST", "value": 164},
5498 {"name": "SX_PERF_SEL_DB3_MRT1_DISCARD_SRC", "value": 165},
5499 {"name": "SX_PERF_SEL_DB3_MRT1_SINGLE_QUADS", "value": 166},
5500 {"name": "SX_PERF_SEL_DB3_MRT1_DOUBLE_QUADS", "value": 167},
5501 {"name": "SX_PERF_SEL_DB3_MRT2_BLEND_BYPASS", "value": 168},
5502 {"name": "SX_PERF_SEL_DB3_MRT2_DONT_RD_DEST", "value": 169},
5503 {"name": "SX_PERF_SEL_DB3_MRT2_DISCARD_SRC", "value": 170},
5504 {"name": "SX_PERF_SEL_DB3_MRT2_SINGLE_QUADS", "value": 171},
5505 {"name": "SX_PERF_SEL_DB3_MRT2_DOUBLE_QUADS", "value": 172},
5506 {"name": "SX_PERF_SEL_DB3_MRT3_BLEND_BYPASS", "value": 173},
5507 {"name": "SX_PERF_SEL_DB3_MRT3_DONT_RD_DEST", "value": 174},
5508 {"name": "SX_PERF_SEL_DB3_MRT3_DISCARD_SRC", "value": 175},
5509 {"name": "SX_PERF_SEL_DB3_MRT3_SINGLE_QUADS", "value": 176},
5510 {"name": "SX_PERF_SEL_DB3_MRT3_DOUBLE_QUADS", "value": 177},
5511 {"name": "SX_PERF_SEL_DB3_MRT4_BLEND_BYPASS", "value": 178},
5512 {"name": "SX_PERF_SEL_DB3_MRT4_DONT_RD_DEST", "value": 179},
5513 {"name": "SX_PERF_SEL_DB3_MRT4_DISCARD_SRC", "value": 180},
5514 {"name": "SX_PERF_SEL_DB3_MRT4_SINGLE_QUADS", "value": 181},
5515 {"name": "SX_PERF_SEL_DB3_MRT4_DOUBLE_QUADS", "value": 182},
5516 {"name": "SX_PERF_SEL_DB3_MRT5_BLEND_BYPASS", "value": 183},
5517 {"name": "SX_PERF_SEL_DB3_MRT5_DONT_RD_DEST", "value": 184},
5518 {"name": "SX_PERF_SEL_DB3_MRT5_DISCARD_SRC", "value": 185},
5519 {"name": "SX_PERF_SEL_DB3_MRT5_SINGLE_QUADS", "value": 186},
5520 {"name": "SX_PERF_SEL_DB3_MRT5_DOUBLE_QUADS", "value": 187},
5521 {"name": "SX_PERF_SEL_DB3_MRT6_BLEND_BYPASS", "value": 188},
5522 {"name": "SX_PERF_SEL_DB3_MRT6_DONT_RD_DEST", "value": 189},
5523 {"name": "SX_PERF_SEL_DB3_MRT6_DISCARD_SRC", "value": 190},
5524 {"name": "SX_PERF_SEL_DB3_MRT6_SINGLE_QUADS", "value": 191},
5525 {"name": "SX_PERF_SEL_DB3_MRT6_DOUBLE_QUADS", "value": 192},
5526 {"name": "SX_PERF_SEL_DB3_MRT7_BLEND_BYPASS", "value": 193},
5527 {"name": "SX_PERF_SEL_DB3_MRT7_DONT_RD_DEST", "value": 194},
5528 {"name": "SX_PERF_SEL_DB3_MRT7_DISCARD_SRC", "value": 195},
5529 {"name": "SX_PERF_SEL_DB3_MRT7_SINGLE_QUADS", "value": 196},
5530 {"name": "SX_PERF_SEL_DB3_MRT7_DOUBLE_QUADS", "value": 197},
5531 {"name": "SX_PERF_SEL_PA_REQ_LATENCY", "value": 198},
5532 {"name": "SX_PERF_SEL_POS_SCBD_STALL", "value": 199},
5533 {"name": "SX_PERF_SEL_COL_SCBD_STALL", "value": 200},
5534 {"name": "SX_PERF_SEL_CLOCK_DROP_STALL", "value": 201},
5535 {"name": "SX_PERF_SEL_GATE_EN5", "value": 202},
5536 {"name": "SX_PERF_SEL_GATE_EN6", "value": 203},
5537 {"name": "SX_PERF_SEL_DB0_SIZE", "value": 204},
5538 {"name": "SX_PERF_SEL_DB1_SIZE", "value": 205},
5539 {"name": "SX_PERF_SEL_DB2_SIZE", "value": 206},
5540 {"name": "SX_PERF_SEL_DB3_SIZE", "value": 207},
5541 {"name": "SX_PERF_SEL_SPLITMODE", "value": 208},
5542 {"name": "SX_PERF_SEL_COL_SCBD0_STALL", "value": 209},
5543 {"name": "SX_PERF_SEL_COL_SCBD1_STALL", "value": 210},
5544 {"name": "SX_PERF_SEL_IDX_STALL_CYCLES", "value": 211},
5545 {"name": "SX_PERF_SEL_IDX_IDLE_CYCLES", "value": 212},
5546 {"name": "SX_PERF_SEL_IDX_REQ", "value": 213},
5547 {"name": "SX_PERF_SEL_IDX_RET", "value": 214},
5548 {"name": "SX_PERF_SEL_IDX_REQ_LATENCY", "value": 215},
5549 {"name": "SX_PERF_SEL_IDX_SCBD_STALL", "value": 216},
5550 {"name": "SX_PERF_SEL_GATE_EN7", "value": 217},
5551 {"name": "SX_PERF_SEL_GATE_EN8", "value": 218},
5552 {"name": "SX_PERF_SEL_SH_IDX_STARVE", "value": 219},
5553 {"name": "SX_PERF_SEL_IDX_BUSY", "value": 220}
5554 ]
5555 },
5556 "ScMap": {
5557 "entries": [
5558 {"name": "RASTER_CONFIG_SC_MAP_0", "value": 0},
5559 {"name": "RASTER_CONFIG_SC_MAP_1", "value": 1},
5560 {"name": "RASTER_CONFIG_SC_MAP_2", "value": 2},
5561 {"name": "RASTER_CONFIG_SC_MAP_3", "value": 3}
5562 ]
5563 },
5564 "ScUncertaintyRegionMode": {
5565 "entries": [
5566 {"name": "SC_HALF_LSB", "value": 0},
5567 {"name": "SC_LSB_ONE_SIDED", "value": 1},
5568 {"name": "SC_LSB_TWO_SIDED", "value": 2}
5569 ]
5570 },
5571 "ScUncertaintyRegionMult": {
5572 "entries": [
5573 {"name": "SC_UR_1X", "value": 0},
5574 {"name": "SC_UR_2X", "value": 1},
5575 {"name": "SC_UR_4X", "value": 2},
5576 {"name": "SC_UR_8X", "value": 3}
5577 ]
5578 },
5579 "ScXsel": {
5580 "entries": [
5581 {"name": "RASTER_CONFIG_SC_XSEL_8_WIDE_TILE", "value": 0},
5582 {"name": "RASTER_CONFIG_SC_XSEL_16_WIDE_TILE", "value": 1},
5583 {"name": "RASTER_CONFIG_SC_XSEL_32_WIDE_TILE", "value": 2},
5584 {"name": "RASTER_CONFIG_SC_XSEL_64_WIDE_TILE", "value": 3}
5585 ]
5586 },
5587 "ScYsel": {
5588 "entries": [
5589 {"name": "RASTER_CONFIG_SC_YSEL_8_WIDE_TILE", "value": 0},
5590 {"name": "RASTER_CONFIG_SC_YSEL_16_WIDE_TILE", "value": 1},
5591 {"name": "RASTER_CONFIG_SC_YSEL_32_WIDE_TILE", "value": 2},
5592 {"name": "RASTER_CONFIG_SC_YSEL_64_WIDE_TILE", "value": 3}
5593 ]
5594 },
5595 "SeMap": {
5596 "entries": [
5597 {"name": "RASTER_CONFIG_SE_MAP_0", "value": 0},
5598 {"name": "RASTER_CONFIG_SE_MAP_1", "value": 1},
5599 {"name": "RASTER_CONFIG_SE_MAP_2", "value": 2},
5600 {"name": "RASTER_CONFIG_SE_MAP_3", "value": 3}
5601 ]
5602 },
5603 "SePairMap": {
5604 "entries": [
5605 {"name": "RASTER_CONFIG_SE_PAIR_MAP_0", "value": 0},
5606 {"name": "RASTER_CONFIG_SE_PAIR_MAP_1", "value": 1},
5607 {"name": "RASTER_CONFIG_SE_PAIR_MAP_2", "value": 2},
5608 {"name": "RASTER_CONFIG_SE_PAIR_MAP_3", "value": 3}
5609 ]
5610 },
5611 "SePairXsel": {
5612 "entries": [
5613 {"name": "RASTER_CONFIG_SE_PAIR_XSEL_8_WIDE_TILE", "value": 0},
5614 {"name": "RASTER_CONFIG_SE_PAIR_XSEL_16_WIDE_TILE", "value": 1},
5615 {"name": "RASTER_CONFIG_SE_PAIR_XSEL_32_WIDE_TILE", "value": 2},
5616 {"name": "RASTER_CONFIG_SE_PAIR_XSEL_64_WIDE_TILE", "value": 3}
5617 ]
5618 },
5619 "SePairYsel": {
5620 "entries": [
5621 {"name": "RASTER_CONFIG_SE_PAIR_YSEL_8_WIDE_TILE", "value": 0},
5622 {"name": "RASTER_CONFIG_SE_PAIR_YSEL_16_WIDE_TILE", "value": 1},
5623 {"name": "RASTER_CONFIG_SE_PAIR_YSEL_32_WIDE_TILE", "value": 2},
5624 {"name": "RASTER_CONFIG_SE_PAIR_YSEL_64_WIDE_TILE", "value": 3}
5625 ]
5626 },
5627 "SeXsel": {
5628 "entries": [
5629 {"name": "RASTER_CONFIG_SE_XSEL_8_WIDE_TILE", "value": 0},
5630 {"name": "RASTER_CONFIG_SE_XSEL_16_WIDE_TILE", "value": 1},
5631 {"name": "RASTER_CONFIG_SE_XSEL_32_WIDE_TILE", "value": 2},
5632 {"name": "RASTER_CONFIG_SE_XSEL_64_WIDE_TILE", "value": 3}
5633 ]
5634 },
5635 "SeYsel": {
5636 "entries": [
5637 {"name": "RASTER_CONFIG_SE_YSEL_8_WIDE_TILE", "value": 0},
5638 {"name": "RASTER_CONFIG_SE_YSEL_16_WIDE_TILE", "value": 1},
5639 {"name": "RASTER_CONFIG_SE_YSEL_32_WIDE_TILE", "value": 2},
5640 {"name": "RASTER_CONFIG_SE_YSEL_64_WIDE_TILE", "value": 3}
5641 ]
5642 },
5643 "StencilOp": {
5644 "entries": [
5645 {"name": "STENCIL_KEEP", "value": 0},
5646 {"name": "STENCIL_ZERO", "value": 1},
5647 {"name": "STENCIL_ONES", "value": 2},
5648 {"name": "STENCIL_REPLACE_TEST", "value": 3},
5649 {"name": "STENCIL_REPLACE_OP", "value": 4},
5650 {"name": "STENCIL_ADD_CLAMP", "value": 5},
5651 {"name": "STENCIL_SUB_CLAMP", "value": 6},
5652 {"name": "STENCIL_INVERT", "value": 7},
5653 {"name": "STENCIL_ADD_WRAP", "value": 8},
5654 {"name": "STENCIL_SUB_WRAP", "value": 9},
5655 {"name": "STENCIL_AND", "value": 10},
5656 {"name": "STENCIL_OR", "value": 11},
5657 {"name": "STENCIL_XOR", "value": 12},
5658 {"name": "STENCIL_NAND", "value": 13},
5659 {"name": "STENCIL_NOR", "value": 14},
5660 {"name": "STENCIL_XNOR", "value": 15}
5661 ]
5662 },
5663 "TA_PERFCOUNT_SEL": {
5664 "entries": [
5665 {"name": "TA_PERF_SEL_NULL", "value": 0},
5666 {"name": "TA_PERF_SEL_RESERVED_1", "value": 1},
5667 {"name": "TA_PERF_SEL_RESERVED_2", "value": 2},
5668 {"name": "TA_PERF_SEL_RESERVED_3", "value": 3},
5669 {"name": "TA_PERF_SEL_RESERVED_4", "value": 4},
5670 {"name": "TA_PERF_SEL_RESERVED_5", "value": 5},
5671 {"name": "TA_PERF_SEL_RESERVED_6", "value": 6},
5672 {"name": "TA_PERF_SEL_gradient_busy", "value": 7},
5673 {"name": "TA_PERF_SEL_gradient_fifo_busy", "value": 8},
5674 {"name": "TA_PERF_SEL_lod_busy", "value": 9},
5675 {"name": "TA_PERF_SEL_lod_fifo_busy", "value": 10},
5676 {"name": "TA_PERF_SEL_addresser_busy", "value": 11},
5677 {"name": "TA_PERF_SEL_addresser_fifo_busy", "value": 12},
5678 {"name": "TA_PERF_SEL_aligner_busy", "value": 13},
5679 {"name": "TA_PERF_SEL_write_path_busy", "value": 14},
5680 {"name": "TA_PERF_SEL_ta_busy", "value": 15},
5681 {"name": "TA_PERF_SEL_RESERVED_16", "value": 16},
5682 {"name": "TA_PERF_SEL_RESERVED_17", "value": 17},
5683 {"name": "TA_PERF_SEL_RESERVED_18", "value": 18},
5684 {"name": "TA_PERF_SEL_RESERVED_19", "value": 19},
5685 {"name": "TA_PERF_SEL_RESERVED_20", "value": 20},
5686 {"name": "TA_PERF_SEL_RESERVED_21", "value": 21},
5687 {"name": "TA_PERF_SEL_RESERVED_22", "value": 22},
5688 {"name": "TA_PERF_SEL_RESERVED_23", "value": 23},
5689 {"name": "TA_PERF_SEL_RESERVED_24", "value": 24},
5690 {"name": "TA_PERF_SEL_RESERVED_25", "value": 25},
5691 {"name": "TA_PERF_SEL_RESERVED_26", "value": 26},
5692 {"name": "TA_PERF_SEL_RESERVED_27", "value": 27},
5693 {"name": "TA_PERF_SEL_RESERVED_28", "value": 28},
5694 {"name": "TA_PERF_SEL_RESERVED_29", "value": 29},
5695 {"name": "TA_PERF_SEL_RESERVED_30", "value": 30},
5696 {"name": "TA_PERF_SEL_RESERVED_31", "value": 31},
5697 {"name": "TA_PERF_SEL_total_wavefronts", "value": 32},
5698 {"name": "TA_PERF_SEL_gradient_cycles", "value": 33},
5699 {"name": "TA_PERF_SEL_walker_cycles", "value": 34},
5700 {"name": "TA_PERF_SEL_aligner_cycles", "value": 35},
5701 {"name": "TA_PERF_SEL_image_wavefronts", "value": 36},
5702 {"name": "TA_PERF_SEL_image_read_wavefronts", "value": 37},
5703 {"name": "TA_PERF_SEL_image_write_wavefronts", "value": 38},
5704 {"name": "TA_PERF_SEL_image_atomic_wavefronts", "value": 39},
5705 {"name": "TA_PERF_SEL_image_sampler_total_cycles", "value": 40},
5706 {"name": "TA_PERF_SEL_image_nosampler_total_cycles", "value": 41},
5707 {"name": "TA_PERF_SEL_flat_total_cycles", "value": 42},
5708 {"name": "TA_PERF_SEL_RESERVED_43", "value": 43},
5709 {"name": "TA_PERF_SEL_buffer_wavefronts", "value": 44},
5710 {"name": "TA_PERF_SEL_buffer_read_wavefronts", "value": 45},
5711 {"name": "TA_PERF_SEL_buffer_write_wavefronts", "value": 46},
5712 {"name": "TA_PERF_SEL_buffer_atomic_wavefronts", "value": 47},
5713 {"name": "TA_PERF_SEL_RESERVED_48", "value": 48},
5714 {"name": "TA_PERF_SEL_buffer_total_cycles", "value": 49},
5715 {"name": "TA_PERF_SEL_RESERVED_50", "value": 50},
5716 {"name": "TA_PERF_SEL_RESERVED_51", "value": 51},
5717 {"name": "TA_PERF_SEL_RESERVED_52", "value": 52},
5718 {"name": "TA_PERF_SEL_RESERVED_53", "value": 53},
5719 {"name": "TA_PERF_SEL_addr_stalled_by_tc_cycles", "value": 54},
5720 {"name": "TA_PERF_SEL_addr_stalled_by_td_cycles", "value": 55},
5721 {"name": "TA_PERF_SEL_RESERVED_56", "value": 56},
5722 {"name": "TA_PERF_SEL_addresser_stalled_by_aligner_only_cycles", "value": 57},
5723 {"name": "TA_PERF_SEL_addresser_stalled_cycles", "value": 58},
5724 {"name": "TA_PERF_SEL_aniso_stalled_by_addresser_only_cycles", "value": 59},
5725 {"name": "TA_PERF_SEL_aniso_stalled_cycles", "value": 60},
5726 {"name": "TA_PERF_SEL_deriv_stalled_by_aniso_only_cycles", "value": 61},
5727 {"name": "TA_PERF_SEL_deriv_stalled_cycles", "value": 62},
5728 {"name": "TA_PERF_SEL_aniso_gt1_cycle_quads", "value": 63},
5729 {"name": "TA_PERF_SEL_color_1_cycle_pixels", "value": 64},
5730 {"name": "TA_PERF_SEL_color_2_cycle_pixels", "value": 65},
5731 {"name": "TA_PERF_SEL_color_3_cycle_pixels", "value": 66},
5732 {"name": "TA_PERF_SEL_RESERVED_67", "value": 67},
5733 {"name": "TA_PERF_SEL_mip_1_cycle_pixels", "value": 68},
5734 {"name": "TA_PERF_SEL_mip_2_cycle_pixels", "value": 69},
5735 {"name": "TA_PERF_SEL_vol_1_cycle_pixels", "value": 70},
5736 {"name": "TA_PERF_SEL_vol_2_cycle_pixels", "value": 71},
5737 {"name": "TA_PERF_SEL_bilin_point_1_cycle_pixels", "value": 72},
5738 {"name": "TA_PERF_SEL_mipmap_lod_0_samples", "value": 73},
5739 {"name": "TA_PERF_SEL_mipmap_lod_1_samples", "value": 74},
5740 {"name": "TA_PERF_SEL_mipmap_lod_2_samples", "value": 75},
5741 {"name": "TA_PERF_SEL_mipmap_lod_3_samples", "value": 76},
5742 {"name": "TA_PERF_SEL_mipmap_lod_4_samples", "value": 77},
5743 {"name": "TA_PERF_SEL_mipmap_lod_5_samples", "value": 78},
5744 {"name": "TA_PERF_SEL_mipmap_lod_6_samples", "value": 79},
5745 {"name": "TA_PERF_SEL_mipmap_lod_7_samples", "value": 80},
5746 {"name": "TA_PERF_SEL_mipmap_lod_8_samples", "value": 81},
5747 {"name": "TA_PERF_SEL_mipmap_lod_9_samples", "value": 82},
5748 {"name": "TA_PERF_SEL_mipmap_lod_10_samples", "value": 83},
5749 {"name": "TA_PERF_SEL_mipmap_lod_11_samples", "value": 84},
5750 {"name": "TA_PERF_SEL_mipmap_lod_12_samples", "value": 85},
5751 {"name": "TA_PERF_SEL_mipmap_lod_13_samples", "value": 86},
5752 {"name": "TA_PERF_SEL_mipmap_lod_14_samples", "value": 87},
5753 {"name": "TA_PERF_SEL_mipmap_invalid_samples", "value": 88},
5754 {"name": "TA_PERF_SEL_aniso_1_cycle_quads", "value": 89},
5755 {"name": "TA_PERF_SEL_aniso_2_cycle_quads", "value": 90},
5756 {"name": "TA_PERF_SEL_aniso_4_cycle_quads", "value": 91},
5757 {"name": "TA_PERF_SEL_aniso_6_cycle_quads", "value": 92},
5758 {"name": "TA_PERF_SEL_aniso_8_cycle_quads", "value": 93},
5759 {"name": "TA_PERF_SEL_aniso_10_cycle_quads", "value": 94},
5760 {"name": "TA_PERF_SEL_aniso_12_cycle_quads", "value": 95},
5761 {"name": "TA_PERF_SEL_aniso_14_cycle_quads", "value": 96},
5762 {"name": "TA_PERF_SEL_aniso_16_cycle_quads", "value": 97},
5763 {"name": "TA_PERF_SEL_write_path_input_cycles", "value": 98},
5764 {"name": "TA_PERF_SEL_write_path_output_cycles", "value": 99},
5765 {"name": "TA_PERF_SEL_flat_wavefronts", "value": 100},
5766 {"name": "TA_PERF_SEL_flat_read_wavefronts", "value": 101},
5767 {"name": "TA_PERF_SEL_flat_write_wavefronts", "value": 102},
5768 {"name": "TA_PERF_SEL_flat_atomic_wavefronts", "value": 103},
5769 {"name": "TA_PERF_SEL_RESERVED_104", "value": 104},
5770 {"name": "TA_PERF_SEL_reg_sclk_vld", "value": 105},
5771 {"name": "TA_PERF_SEL_local_cg_dyn_sclk_grp0_en", "value": 106},
5772 {"name": "TA_PERF_SEL_local_cg_dyn_sclk_grp1_en", "value": 107},
5773 {"name": "TA_PERF_SEL_local_cg_dyn_sclk_grp1_mems_en", "value": 108},
5774 {"name": "TA_PERF_SEL_local_cg_dyn_sclk_grp4_en", "value": 109},
5775 {"name": "TA_PERF_SEL_local_cg_dyn_sclk_grp5_en", "value": 110},
5776 {"name": "TA_PERF_SEL_xnack", "value": 111},
5777 {"name": "TA_PERF_SEL_RESERVED_112", "value": 112},
5778 {"name": "TA_PERF_SEL_RESERVED_113", "value": 113},
5779 {"name": "TA_PERF_SEL_RESERVED_114", "value": 114},
5780 {"name": "TA_PERF_SEL_first_xnack", "value": 115},
5781 {"name": "TA_PERF_SEL_RESERVED_116", "value": 116},
5782 {"name": "TA_PERF_SEL_RESERVED_117", "value": 117},
5783 {"name": "TA_PERF_SEL_RESERVED_118", "value": 118},
5784 {"name": "TA_PERF_SEL_RESERVED_119", "value": 119},
5785 {"name": "TA_PERF_SEL_RESERVED_120", "value": 120},
5786 {"name": "TA_PERF_SEL_RESERVED_121", "value": 121},
5787 {"name": "TA_PERF_SEL_RESERVED_122", "value": 122},
5788 {"name": "TA_PERF_SEL_RESERVED_123", "value": 123},
5789 {"name": "TA_PERF_SEL_RESERVED_124", "value": 124},
5790 {"name": "TA_PERF_SEL_RESERVED_125", "value": 125},
5791 {"name": "TA_PERF_SEL_RESERVED_126", "value": 126},
5792 {"name": "TA_PERF_SEL_RESERVED_127", "value": 127},
5793 {"name": "TA_PERF_SEL_in_busy", "value": 128},
5794 {"name": "TA_PERF_SEL_in_fifos_busy", "value": 129},
5795 {"name": "TA_PERF_SEL_in_cfifo_busy", "value": 130},
5796 {"name": "TA_PERF_SEL_in_qfifo_busy", "value": 131},
5797 {"name": "TA_PERF_SEL_in_wfifo_busy", "value": 132},
5798 {"name": "TA_PERF_SEL_in_rfifo_busy", "value": 133},
5799 {"name": "TA_PERF_SEL_bf_busy", "value": 134},
5800 {"name": "TA_PERF_SEL_ns_busy", "value": 135},
5801 {"name": "TA_PERF_SEL_smp_busy_ns_idle", "value": 136},
5802 {"name": "TA_PERF_SEL_smp_idle_ns_busy", "value": 137},
5803 {"name": "TA_PERF_SEL_RESERVED_138", "value": 138},
5804 {"name": "TA_PERF_SEL_RESERVED_139", "value": 139},
5805 {"name": "TA_PERF_SEL_RESERVED_140", "value": 140},
5806 {"name": "TA_PERF_SEL_RESERVED_141", "value": 141},
5807 {"name": "TA_PERF_SEL_RESERVED_142", "value": 142},
5808 {"name": "TA_PERF_SEL_RESERVED_143", "value": 143},
5809 {"name": "TA_PERF_SEL_vmemcmd_cycles", "value": 144},
5810 {"name": "TA_PERF_SEL_vmemreq_cycles", "value": 145},
5811 {"name": "TA_PERF_SEL_in_waiting_on_req_cycles", "value": 146},
5812 {"name": "TA_PERF_SEL_in_waiting_on_cmd_cycles", "value": 147},
5813 {"name": "TA_PERF_SEL_in_waiting_on_any_cycles", "value": 148},
5814 {"name": "TA_PERF_SEL_RESERVED_149", "value": 149},
5815 {"name": "TA_PERF_SEL_in_addr_cycles", "value": 150},
5816 {"name": "TA_PERF_SEL_in_data_cycles", "value": 151},
5817 {"name": "TA_PERF_SEL_addr_stalled_by_xnack_cycles", "value": 152},
5818 {"name": "TA_PERF_SEL_RESERVED_153", "value": 153},
5819 {"name": "TA_PERF_SEL_RESERVED_154", "value": 154},
5820 {"name": "TA_PERF_SEL_RESERVED_155", "value": 155},
5821 {"name": "TA_PERF_SEL_RESERVED_156", "value": 156},
5822 {"name": "TA_PERF_SEL_RESERVED_157", "value": 157},
5823 {"name": "TA_PERF_SEL_RESERVED_158", "value": 158},
5824 {"name": "TA_PERF_SEL_RESERVED_159", "value": 159},
5825 {"name": "TA_PERF_SEL_point_sampled_quads", "value": 160},
5826 {"name": "TA_PERF_SEL_RESERVED_161", "value": 161},
5827 {"name": "TA_PERF_SEL_RESERVED_162", "value": 162},
5828 {"name": "TA_PERF_SEL_RESERVED_163", "value": 163},
5829 {"name": "TA_PERF_SEL_RESERVED_164", "value": 164},
5830 {"name": "TA_PERF_SEL_RESERVED_165", "value": 165},
5831 {"name": "TA_PERF_SEL_RESERVED_166", "value": 166},
5832 {"name": "TA_PERF_SEL_RESERVED_167", "value": 167},
5833 {"name": "TA_PERF_SEL_RESERVED_168", "value": 168},
5834 {"name": "TA_PERF_SEL_RESERVED_169", "value": 169},
5835 {"name": "TA_PERF_SEL_RESERVED_170", "value": 170},
5836 {"name": "TA_PERF_SEL_RESERVED_171", "value": 171},
5837 {"name": "TA_PERF_SEL_RESERVED_172", "value": 172},
5838 {"name": "TA_PERF_SEL_RESERVED_173", "value": 173},
5839 {"name": "TA_PERF_SEL_RESERVED_174", "value": 174},
5840 {"name": "TA_PERF_SEL_RESERVED_175", "value": 175},
5841 {"name": "TA_PERF_SEL_RESERVED_176", "value": 176},
5842 {"name": "TA_PERF_SEL_RESERVED_177", "value": 177},
5843 {"name": "TA_PERF_SEL_RESERVED_178", "value": 178},
5844 {"name": "TA_PERF_SEL_RESERVED_179", "value": 179},
5845 {"name": "TA_PERF_SEL_RESERVED_180", "value": 180},
5846 {"name": "TA_PERF_SEL_RESERVED_181", "value": 181},
5847 {"name": "TA_PERF_SEL_RESERVED_182", "value": 182},
5848 {"name": "TA_PERF_SEL_RESERVED_183", "value": 183},
5849 {"name": "TA_PERF_SEL_RESERVED_184", "value": 184},
5850 {"name": "TA_PERF_SEL_RESERVED_185", "value": 185},
5851 {"name": "TA_PERF_SEL_RESERVED_186", "value": 186},
5852 {"name": "TA_PERF_SEL_RESERVED_187", "value": 187},
5853 {"name": "TA_PERF_SEL_RESERVED_188", "value": 188},
5854 {"name": "TA_PERF_SEL_RESERVED_189", "value": 189},
5855 {"name": "TA_PERF_SEL_RESERVED_190", "value": 190},
5856 {"name": "TA_PERF_SEL_RESERVED_191", "value": 191},
5857 {"name": "TA_PERF_SEL_RESERVED_192", "value": 192},
5858 {"name": "TA_PERF_SEL_RESERVED_193", "value": 193},
5859 {"name": "TA_PERF_SEL_RESERVED_194", "value": 194},
5860 {"name": "TA_PERF_SEL_RESERVED_195", "value": 195},
5861 {"name": "TA_PERF_SEL_RESERVED_196", "value": 196},
5862 {"name": "TA_PERF_SEL_RESERVED_197", "value": 197},
5863 {"name": "TA_PERF_SEL_RESERVED_198", "value": 198},
5864 {"name": "TA_PERF_SEL_RESERVED_199", "value": 199},
5865 {"name": "TA_PERF_SEL_RESERVED_200", "value": 200},
5866 {"name": "TA_PERF_SEL_RESERVED_201", "value": 201},
5867 {"name": "TA_PERF_SEL_RESERVED_202", "value": 202},
5868 {"name": "TA_PERF_SEL_RESERVED_203", "value": 203},
5869 {"name": "TA_PERF_SEL_RESERVED_204", "value": 204},
5870 {"name": "TA_PERF_SEL_RESERVED_205", "value": 205},
5871 {"name": "TA_PERF_SEL_RESERVED_206", "value": 206},
5872 {"name": "TA_PERF_SEL_RESERVED_207", "value": 207},
5873 {"name": "TA_PERF_SEL_RESERVED_208", "value": 208},
5874 {"name": "TA_PERF_SEL_RESERVED_209", "value": 209},
5875 {"name": "TA_PERF_SEL_RESERVED_210", "value": 210},
5876 {"name": "TA_PERF_SEL_RESERVED_211", "value": 211},
5877 {"name": "TA_PERF_SEL_RESERVED_212", "value": 212},
5878 {"name": "TA_PERF_SEL_RESERVED_213", "value": 213},
5879 {"name": "TA_PERF_SEL_RESERVED_214", "value": 214},
5880 {"name": "TA_PERF_SEL_RESERVED_215", "value": 215},
5881 {"name": "TA_PERF_SEL_RESERVED_216", "value": 216},
5882 {"name": "TA_PERF_SEL_RESERVED_217", "value": 217},
5883 {"name": "TA_PERF_SEL_RESERVED_218", "value": 218},
5884 {"name": "TA_PERF_SEL_RESERVED_219", "value": 219},
5885 {"name": "TA_PERF_SEL_RESERVED_220", "value": 220},
5886 {"name": "TA_PERF_SEL_RESERVED_221", "value": 221},
5887 {"name": "TA_PERF_SEL_RESERVED_222", "value": 222},
5888 {"name": "TA_PERF_SEL_RESERVED_223", "value": 223},
5889 {"name": "TA_PERF_SEL_sample_path_cycles", "value": 224},
5890 {"name": "TA_PERF_SEL_nosample_path_cycles", "value": 225}
5891 ]
5892 },
5893 "TCP_PERFCOUNT_SELECT": {
5894 "entries": [
5895 {"name": "TCP_PERF_SEL_GATE_EN1", "value": 0},
5896 {"name": "TCP_PERF_SEL_GATE_EN2", "value": 1},
5897 {"name": "TCP_PERF_SEL_TA_REQ", "value": 2},
5898 {"name": "TCP_PERF_SEL_TA_REQ_STATE_READ", "value": 3},
5899 {"name": "TCP_PERF_SEL_TA_REQ_READ", "value": 4},
5900 {"name": "TCP_PERF_SEL_TA_REQ_WRITE", "value": 5},
5901 {"name": "TCP_PERF_SEL_TA_REQ_ATOMIC_WITH_RET", "value": 6},
5902 {"name": "TCP_PERF_SEL_TA_REQ_ATOMIC_WITHOUT_RET", "value": 7},
5903 {"name": "TCP_PERF_SEL_TA_REQ_GL0_INV", "value": 8},
5904 {"name": "TCP_PERF_SEL_REQ", "value": 9},
5905 {"name": "TCP_PERF_SEL_REQ_READ", "value": 10},
5906 {"name": "TCP_PERF_SEL_REQ_READ_HIT_EVICT", "value": 11},
5907 {"name": "TCP_PERF_SEL_REQ_READ_HIT_LRU", "value": 12},
5908 {"name": "TCP_PERF_SEL_REQ_READ_MISS_EVICT", "value": 13},
5909 {"name": "TCP_PERF_SEL_REQ_WRITE", "value": 14},
5910 {"name": "TCP_PERF_SEL_REQ_WRITE_MISS_EVICT", "value": 15},
5911 {"name": "TCP_PERF_SEL_REQ_WRITE_MISS_LRU", "value": 16},
5912 {"name": "TCP_PERF_SEL_REQ_NON_READ", "value": 17},
5913 {"name": "TCP_PERF_SEL_REQ_MISS", "value": 18},
5914 {"name": "TCP_PERF_SEL_REQ_TAGBANK0", "value": 19},
5915 {"name": "TCP_PERF_SEL_REQ_TAGBANK1", "value": 20},
5916 {"name": "TCP_PERF_SEL_REQ_TAGBANK2", "value": 21},
5917 {"name": "TCP_PERF_SEL_REQ_TAGBANK3", "value": 22},
5918 {"name": "TCP_PERF_SEL_REQ_MISS_TAGBANK0", "value": 23},
5919 {"name": "TCP_PERF_SEL_REQ_MISS_TAGBANK1", "value": 24},
5920 {"name": "TCP_PERF_SEL_REQ_MISS_TAGBANK2", "value": 25},
5921 {"name": "TCP_PERF_SEL_REQ_MISS_TAGBANK3", "value": 26},
5922 {"name": "TCP_PERF_SEL_GL1_REQ_READ", "value": 27},
5923 {"name": "TCP_PERF_SEL_GL1_REQ_READ_128B", "value": 28},
5924 {"name": "TCP_PERF_SEL_GL1_REQ_READ_64B", "value": 29},
5925 {"name": "TCP_PERF_SEL_GL1_REQ_WRITE", "value": 30},
5926 {"name": "TCP_PERF_SEL_GL1_REQ_ATOMIC_WITH_RET", "value": 31},
5927 {"name": "TCP_PERF_SEL_GL1_REQ_ATOMIC_WITHOUT_RET", "value": 32},
5928 {"name": "TCP_PERF_SEL_GL1_READ_LATENCY", "value": 33},
5929 {"name": "TCP_PERF_SEL_GL1_WRITE_LATENCY", "value": 34},
5930 {"name": "TCP_PERF_SEL_TCP_LATENCY", "value": 35},
5931 {"name": "TCP_PERF_SEL_TCP_TA_REQ_STALL", "value": 36},
5932 {"name": "TCP_PERF_SEL_TA_TCP_REQ_STARVE", "value": 37},
5933 {"name": "TCP_PERF_SEL_TA_TCP_XNACK_STALL", "value": 38},
5934 {"name": "TCP_PERF_SEL_DATA_FIFO_STALL", "value": 39},
5935 {"name": "TCP_PERF_SEL_LOD_STALL", "value": 40},
5936 {"name": "TCP_PERF_SEL_POWER_STALL", "value": 41},
5937 {"name": "TCP_PERF_SEL_ALLOC_STALL", "value": 42},
5938 {"name": "TCP_PERF_SEL_UNORDERED_MTYPE_STALL", "value": 43},
5939 {"name": "TCP_PERF_SEL_READ_TAGCONFLICT_STALL", "value": 44},
5940 {"name": "TCP_PERF_SEL_WRITE_TAGCONFLICT_STALL", "value": 45},
5941 {"name": "TCP_PERF_SEL_ATOMIC_TAGCONFLICT_STALL", "value": 46},
5942 {"name": "TCP_PERF_SEL_LFIFO_STALL", "value": 47},
5943 {"name": "TCP_PERF_SEL_MEM_REQ_FIFO_STALL", "value": 48},
5944 {"name": "TCP_PERF_SEL_GL1_TCP_STALL", "value": 49},
5945 {"name": "TCP_PERF_SEL_TCP_GL1_STARVE", "value": 50},
5946 {"name": "TCP_PERF_SEL_GL1_TCP_RDRET_STALL", "value": 51},
5947 {"name": "TCP_PERF_SEL_GL1_GRANT_READ_STALL", "value": 52},
5948 {"name": "TCP_PERF_SEL_GL1_PENDING_STALL", "value": 53},
5949 {"name": "TCP_PERF_SEL_OFIFO_INCOMPLETE_STALL", "value": 54},
5950 {"name": "TCP_PERF_SEL_OFIFO_AGE_ORDER_STALL", "value": 55},
5951 {"name": "TCP_PERF_SEL_TD_DATA_CYCLE_STALL", "value": 56},
5952 {"name": "TCP_PERF_SEL_COMP_TEX_LOAD_STALL", "value": 57},
5953 {"name": "TCP_PERF_SEL_READ_DATACONFLICT_STALL", "value": 58},
5954 {"name": "TCP_PERF_SEL_WRITE_DATACONFLICT_STALL", "value": 59},
5955 {"name": "TCP_PERF_SEL_TD_TCP_STALL", "value": 60},
5956 {"name": "TCP_PERF_SEL_UTCL0_REQUEST", "value": 61},
5957 {"name": "TCP_PERF_SEL_UTCL0_TRANSLATION_MISS", "value": 62},
5958 {"name": "TCP_PERF_SEL_UTCL0_TRANSLATION_HIT", "value": 63},
5959 {"name": "TCP_PERF_SEL_UTCL0_PERMISSION_MISS", "value": 64},
5960 {"name": "TCP_PERF_SEL_UTCL0_SERIALIZATION_STALL", "value": 65},
5961 {"name": "TCP_PERF_SEL_UTCL0_STALL_INFLIGHT_MAX", "value": 66},
5962 {"name": "TCP_PERF_SEL_UTCL0_STALL_LRU_INFLIGHT", "value": 67},
5963 {"name": "TCP_PERF_SEL_UTCL0_STALL_MULTI_MISS", "value": 68},
5964 {"name": "TCP_PERF_SEL_UTCL0_STALL_LFIFO_FULL", "value": 69},
5965 {"name": "TCP_PERF_SEL_UTCL0_STALL_MISSFIFO_FULL", "value": 70},
5966 {"name": "TCP_PERF_SEL_UTCL0_STALL_LFIFO_NOT_RES", "value": 71},
5967 {"name": "TCP_PERF_SEL_UTCL0_STALL_UTCL1_REQ_OUT_OF_CREDITS", "value": 72},
5968 {"name": "TCP_PERF_SEL_CLIENT_UTCL0_INFLIGHT", "value": 73},
5969 {"name": "TCP_PERF_SEL_UTCL0_UTCL1_INFLIGHT", "value": 74},
5970 {"name": "TCP_PERF_SEL_UTCL0_UTCL1_PERM_FAULT", "value": 75}
5971 ]
5972 },
5973 "TD_PERFCOUNT_SEL": {
5974 "entries": [
5975 {"name": "TD_PERF_SEL_none", "value": 0},
5976 {"name": "TD_PERF_SEL_td_busy", "value": 1},
5977 {"name": "TD_PERF_SEL_input_busy", "value": 2},
5978 {"name": "TD_PERF_SEL_sampler_lerp_busy", "value": 3},
5979 {"name": "TD_PERF_SEL_sampler_out_busy", "value": 4},
5980 {"name": "TD_PERF_SEL_nofilter_busy", "value": 5},
5981 {"name": "TD_PERF_SEL_sampler_sclk_on_nofilter_sclk_off", "value": 6},
5982 {"name": "TD_PERF_SEL_nofilter_sclk_on_sampler_sclk_off", "value": 7},
5983 {"name": "TD_PERF_SEL_core_state_ram_max_cnt", "value": 8},
5984 {"name": "TD_PERF_SEL_core_state_rams_read", "value": 9},
5985 {"name": "TD_PERF_SEL_weight_data_rams_read", "value": 10},
5986 {"name": "TD_PERF_SEL_reference_data_rams_read", "value": 11},
5987 {"name": "TD_PERF_SEL_tc_td_ram_fifo_full", "value": 12},
5988 {"name": "TD_PERF_SEL_tc_td_ram_fifo_max_cnt", "value": 13},
5989 {"name": "TD_PERF_SEL_tc_td_data_fifo_full", "value": 14},
5990 {"name": "TD_PERF_SEL_input_state_fifo_full", "value": 15},
5991 {"name": "TD_PERF_SEL_ta_data_stall", "value": 16},
5992 {"name": "TD_PERF_SEL_tc_data_stall", "value": 17},
5993 {"name": "TD_PERF_SEL_tc_ram_stall", "value": 18},
5994 {"name": "TD_PERF_SEL_lds_stall", "value": 19},
5995 {"name": "TD_PERF_SEL_sampler_pkr_full", "value": 20},
5996 {"name": "TD_PERF_SEL_nofilter_pkr_full", "value": 21},
5997 {"name": "TD_PERF_SEL_gather4_wavefront", "value": 22},
5998 {"name": "TD_PERF_SEL_gather4h_wavefront", "value": 23},
5999 {"name": "TD_PERF_SEL_gather4h_packed_wavefront", "value": 24},
6000 {"name": "TD_PERF_SEL_gather8h_packed_wavefront", "value": 25},
6001 {"name": "TD_PERF_SEL_sample_c_wavefront", "value": 26},
6002 {"name": "TD_PERF_SEL_load_wavefront", "value": 27},
6003 {"name": "TD_PERF_SEL_ldfptr_wavefront", "value": 28},
6004 {"name": "TD_PERF_SEL_RESERVED_29", "value": 29},
6005 {"name": "TD_PERF_SEL_write_ack_wavefront", "value": 30},
6006 {"name": "TD_PERF_SEL_d16_en_wavefront", "value": 31},
6007 {"name": "TD_PERF_SEL_bypassLerp_wavefront", "value": 32},
6008 {"name": "TD_PERF_SEL_min_max_filter_wavefront", "value": 33},
6009 {"name": "TD_PERF_SEL_one_comp_wavefront", "value": 34},
6010 {"name": "TD_PERF_SEL_two_comp_wavefront", "value": 35},
6011 {"name": "TD_PERF_SEL_three_comp_wavefront", "value": 36},
6012 {"name": "TD_PERF_SEL_four_comp_wavefront", "value": 37},
6013 {"name": "TD_PERF_SEL_user_defined_border", "value": 38},
6014 {"name": "TD_PERF_SEL_white_border", "value": 39},
6015 {"name": "TD_PERF_SEL_opaque_black_border", "value": 40},
6016 {"name": "TD_PERF_SEL_lod_warn_from_ta", "value": 41},
6017 {"name": "TD_PERF_SEL_wavefront_dest_is_lds", "value": 42},
6018 {"name": "TD_PERF_SEL_td_cycling_of_nofilter_instr", "value": 43},
6019 {"name": "TD_PERF_SEL_tc_cycling_of_nofilter_instr", "value": 44},
6020 {"name": "TD_PERF_SEL_out_of_order_instr", "value": 45},
6021 {"name": "TD_PERF_SEL_total_num_instr", "value": 46},
6022 {"name": "TD_PERF_SEL_mixmode_instruction", "value": 47},
6023 {"name": "TD_PERF_SEL_mixmode_resource", "value": 48},
6024 {"name": "TD_PERF_SEL_status_packet", "value": 49},
6025 {"name": "TD_PERF_SEL_address_cmd_poison", "value": 50},
6026 {"name": "TD_PERF_SEL_data_poison", "value": 51},
6027 {"name": "TD_PERF_SEL_done_scoreboard_max_stored_cnt", "value": 52},
6028 {"name": "TD_PERF_SEL_done_scoreboard_max_waiting_cnt", "value": 53},
6029 {"name": "TD_PERF_SEL_done_scoreboard_not_empty", "value": 54},
6030 {"name": "TD_PERF_SEL_done_scoreboard_is_full", "value": 55},
6031 {"name": "TD_PERF_SEL_done_scoreboard_bp_due_to_ooo", "value": 56},
6032 {"name": "TD_PERF_SEL_done_scoreboard_bp_due_to_lds", "value": 57},
6033 {"name": "TD_PERF_SEL_nofilter_formatters_turned_on", "value": 58},
6034 {"name": "TD_PERF_SEL_nofilter_popcount_dmask_gt_num_comp_of_fmt", "value": 59},
6035 {"name": "TD_PERF_SEL_nofilter_popcount_dmask_lt_num_comp_of_fmt", "value": 60}
6036 ]
6037 },
6038 "UTCL1PerfSel": {
6039 "entries": [
6040 {"name": "UTCL1_PERF_SEL_NONE", "value": 0},
6041 {"name": "UTCL1_PERF_SEL_REQS", "value": 1},
6042 {"name": "UTCL1_PERF_SEL_HITS", "value": 2},
6043 {"name": "UTCL1_PERF_SEL_MISSES", "value": 3},
6044 {"name": "UTCL1_PERF_SEL_BYPASS_REQS", "value": 4},
6045 {"name": "UTCL1_PERF_SEL_HIT_INV_FILTER_REQS", "value": 5},
6046 {"name": "UTCL1_PERF_SEL_NUM_SMALLK_PAGES", "value": 6},
6047 {"name": "UTCL1_PERF_SEL_NUM_BIGK_PAGES", "value": 7},
6048 {"name": "UTCL1_PERF_SEL_TOTAL_UTCL2_REQS", "value": 8},
6049 {"name": "UTCL1_PERF_SEL_OUTSTANDING_UTCL2_REQS_ACCUM", "value": 9},
6050 {"name": "UTCL1_PERF_SEL_STALL_ON_UTCL2_CREDITS", "value": 10},
6051 {"name": "UTCL1_PERF_SEL_STALL_MH_OFIFO_FULL", "value": 11},
6052 {"name": "UTCL1_PERF_SEL_STALL_MH_CAM_FULL", "value": 12},
6053 {"name": "UTCL1_PERF_SEL_NONRANGE_INV_REQS", "value": 13},
6054 {"name": "UTCL1_PERF_SEL_RANGE_INV_REQS", "value": 14}
6055 ]
6056 },
6057 "VGT_DETECT_ONE": {
6058 "entries": [
6059 {"name": "ENABLE_TF1_OPT", "value": 0},
6060 {"name": "DISABLE_TF1_OPT", "value": 1}
6061 ]
6062 },
6063 "VGT_DETECT_ZERO": {
6064 "entries": [
6065 {"name": "ENABLE_TF0_OPT", "value": 0},
6066 {"name": "DISABLE_TF0_OPT", "value": 1}
6067 ]
6068 },
6069 "VGT_DIST_MODE": {
6070 "entries": [
6071 {"name": "NO_DIST", "value": 0},
6072 {"name": "PATCHES", "value": 1},
6073 {"name": "DONUTS", "value": 2},
6074 {"name": "TRAPEZOIDS", "value": 3}
6075 ]
6076 },
6077 "VGT_DI_INDEX_SIZE": {
6078 "entries": [
6079 {"name": "DI_INDEX_SIZE_16_BIT", "value": 0},
6080 {"name": "DI_INDEX_SIZE_32_BIT", "value": 1},
6081 {"name": "DI_INDEX_SIZE_8_BIT", "value": 2}
6082 ]
6083 },
6084 "VGT_DI_MAJOR_MODE_SELECT": {
6085 "entries": [
6086 {"name": "DI_MAJOR_MODE_0", "value": 0},
6087 {"name": "DI_MAJOR_MODE_1", "value": 1}
6088 ]
6089 },
6090 "VGT_DI_PRIM_TYPE": {
6091 "entries": [
6092 {"name": "DI_PT_NONE", "value": 0},
6093 {"name": "DI_PT_POINTLIST", "value": 1},
6094 {"name": "DI_PT_LINELIST", "value": 2},
6095 {"name": "DI_PT_LINESTRIP", "value": 3},
6096 {"name": "DI_PT_TRILIST", "value": 4},
6097 {"name": "DI_PT_TRIFAN", "value": 5},
6098 {"name": "DI_PT_TRISTRIP", "value": 6},
6099 {"name": "DI_PT_2D_RECTANGLE", "value": 7},
6100 {"name": "DI_PT_UNUSED_1", "value": 8},
6101 {"name": "DI_PT_PATCH", "value": 9},
6102 {"name": "DI_PT_LINELIST_ADJ", "value": 10},
6103 {"name": "DI_PT_LINESTRIP_ADJ", "value": 11},
6104 {"name": "DI_PT_TRILIST_ADJ", "value": 12},
6105 {"name": "DI_PT_TRISTRIP_ADJ", "value": 13},
6106 {"name": "DI_PT_UNUSED_3", "value": 14},
6107 {"name": "DI_PT_UNUSED_4", "value": 15},
6108 {"name": "DI_PT_UNUSED_5", "value": 16},
6109 {"name": "DI_PT_RECTLIST", "value": 17},
6110 {"name": "DI_PT_LINELOOP", "value": 18},
6111 {"name": "DI_PT_QUADLIST", "value": 19},
6112 {"name": "DI_PT_QUADSTRIP", "value": 20},
6113 {"name": "DI_PT_POLYGON", "value": 21}
6114 ]
6115 },
6116 "VGT_DI_SOURCE_SELECT": {
6117 "entries": [
6118 {"name": "DI_SRC_SEL_DMA", "value": 0},
6119 {"name": "DI_SRC_SEL_IMMEDIATE", "value": 1},
6120 {"name": "DI_SRC_SEL_AUTO_INDEX", "value": 2},
6121 {"name": "DI_SRC_SEL_RESERVED", "value": 3}
6122 ]
6123 },
6124 "VGT_DMA_BUF_TYPE": {
6125 "entries": [
6126 {"name": "VGT_DMA_BUF_MEM", "value": 0},
6127 {"name": "VGT_DMA_BUF_RING", "value": 1},
6128 {"name": "VGT_DMA_BUF_SETUP", "value": 2},
6129 {"name": "VGT_DMA_PTR_UPDATE", "value": 3}
6130 ]
6131 },
6132 "VGT_DMA_SWAP_MODE": {
6133 "entries": [
6134 {"name": "VGT_DMA_SWAP_NONE", "value": 0},
6135 {"name": "VGT_DMA_SWAP_16_BIT", "value": 1},
6136 {"name": "VGT_DMA_SWAP_32_BIT", "value": 2},
6137 {"name": "VGT_DMA_SWAP_WORD", "value": 3}
6138 ]
6139 },
6140 "VGT_EVENT_TYPE": {
6141 "entries": [
6142 {"name": "Reserved_0x00", "value": 0},
6143 {"name": "SAMPLE_STREAMOUTSTATS1", "value": 1},
6144 {"name": "SAMPLE_STREAMOUTSTATS2", "value": 2},
6145 {"name": "SAMPLE_STREAMOUTSTATS3", "value": 3},
6146 {"name": "CACHE_FLUSH_TS", "value": 4},
6147 {"name": "CONTEXT_DONE", "value": 5},
6148 {"name": "CACHE_FLUSH", "value": 6},
6149 {"name": "CS_PARTIAL_FLUSH", "value": 7},
6150 {"name": "VGT_STREAMOUT_SYNC", "value": 8},
6151 {"name": "Reserved_0x09", "value": 9},
6152 {"name": "VGT_STREAMOUT_RESET", "value": 10},
6153 {"name": "END_OF_PIPE_INCR_DE", "value": 11},
6154 {"name": "END_OF_PIPE_IB_END", "value": 12},
6155 {"name": "RST_PIX_CNT", "value": 13},
6156 {"name": "BREAK_BATCH", "value": 14},
6157 {"name": "VS_PARTIAL_FLUSH", "value": 15},
6158 {"name": "PS_PARTIAL_FLUSH", "value": 16},
6159 {"name": "FLUSH_HS_OUTPUT", "value": 17},
6160 {"name": "FLUSH_DFSM", "value": 18},
6161 {"name": "RESET_TO_LOWEST_VGT", "value": 19},
6162 {"name": "CACHE_FLUSH_AND_INV_TS_EVENT", "value": 20},
6163 {"name": "ZPASS_DONE", "value": 21},
6164 {"name": "CACHE_FLUSH_AND_INV_EVENT", "value": 22},
6165 {"name": "PERFCOUNTER_START", "value": 23},
6166 {"name": "PERFCOUNTER_STOP", "value": 24},
6167 {"name": "PIPELINESTAT_START", "value": 25},
6168 {"name": "PIPELINESTAT_STOP", "value": 26},
6169 {"name": "PERFCOUNTER_SAMPLE", "value": 27},
6170 {"name": "FLUSH_ES_OUTPUT", "value": 28},
6171 {"name": "BIN_CONF_OVERRIDE_CHECK", "value": 29},
6172 {"name": "SAMPLE_PIPELINESTAT", "value": 30},
6173 {"name": "SO_VGTSTREAMOUT_FLUSH", "value": 31},
6174 {"name": "SAMPLE_STREAMOUTSTATS", "value": 32},
6175 {"name": "RESET_VTX_CNT", "value": 33},
6176 {"name": "BLOCK_CONTEXT_DONE", "value": 34},
6177 {"name": "CS_CONTEXT_DONE", "value": 35},
6178 {"name": "VGT_FLUSH", "value": 36},
6179 {"name": "TGID_ROLLOVER", "value": 37},
6180 {"name": "SQ_NON_EVENT", "value": 38},
6181 {"name": "SC_SEND_DB_VPZ", "value": 39},
6182 {"name": "BOTTOM_OF_PIPE_TS", "value": 40},
6183 {"name": "FLUSH_SX_TS", "value": 41},
6184 {"name": "DB_CACHE_FLUSH_AND_INV", "value": 42},
6185 {"name": "FLUSH_AND_INV_DB_DATA_TS", "value": 43},
6186 {"name": "FLUSH_AND_INV_DB_META", "value": 44},
6187 {"name": "FLUSH_AND_INV_CB_DATA_TS", "value": 45},
6188 {"name": "FLUSH_AND_INV_CB_META", "value": 46},
6189 {"name": "CS_DONE", "value": 47},
6190 {"name": "PS_DONE", "value": 48},
6191 {"name": "FLUSH_AND_INV_CB_PIXEL_DATA", "value": 49},
6192 {"name": "SX_CB_RAT_ACK_REQUEST", "value": 50},
6193 {"name": "THREAD_TRACE_START", "value": 51},
6194 {"name": "THREAD_TRACE_STOP", "value": 52},
6195 {"name": "THREAD_TRACE_MARKER", "value": 53},
6196 {"name": "THREAD_TRACE_DRAW", "value": 54},
6197 {"name": "THREAD_TRACE_FINISH", "value": 55},
6198 {"name": "PIXEL_PIPE_STAT_CONTROL", "value": 56},
6199 {"name": "PIXEL_PIPE_STAT_DUMP", "value": 57},
6200 {"name": "PIXEL_PIPE_STAT_RESET", "value": 58},
6201 {"name": "CONTEXT_SUSPEND", "value": 59},
6202 {"name": "OFFCHIP_HS_DEALLOC", "value": 60},
6203 {"name": "ENABLE_NGG_PIPELINE", "value": 61},
6204 {"name": "ENABLE_LEGACY_PIPELINE", "value": 62},
6205 {"name": "DRAW_DONE", "value": 63}
6206 ]
6207 },
6208 "VGT_GS_CUT_MODE": {
6209 "entries": [
6210 {"name": "GS_CUT_1024", "value": 0},
6211 {"name": "GS_CUT_512", "value": 1},
6212 {"name": "GS_CUT_256", "value": 2},
6213 {"name": "GS_CUT_128", "value": 3}
6214 ]
6215 },
6216 "VGT_GS_MODE_TYPE": {
6217 "entries": [
6218 {"name": "GS_OFF", "value": 0},
6219 {"name": "GS_SCENARIO_A", "value": 1},
6220 {"name": "GS_SCENARIO_B", "value": 2},
6221 {"name": "GS_SCENARIO_G", "value": 3},
6222 {"name": "GS_SCENARIO_C", "value": 4},
6223 {"name": "SPRITE_EN", "value": 5}
6224 ]
6225 },
6226 "VGT_GS_OUT_PRIM_TYPE__OUTPRIM_TYPE": {
6227 "entries": [
6228 {"name": "OUTPRIM_TYPE_POINTLIST", "value": 0},
6229 {"name": "OUTPRIM_TYPE_LINESTRIP", "value": 1},
6230 {"name": "OUTPRIM_TYPE_TRISTRIP", "value": 2},
6231 {"name": "VGT_OUT_RECT_V0", "value": 3}
6232 ]
6233 },
6234 "VGT_INDEX_TYPE_MODE": {
6235 "entries": [
6236 {"name": "VGT_INDEX_16", "value": 0},
6237 {"name": "VGT_INDEX_32", "value": 1},
6238 {"name": "VGT_INDEX_8", "value": 2}
6239 ]
6240 },
6241 "VGT_RDREQ_POLICY": {
6242 "entries": [
6243 {"name": "VGT_POLICY_LRU", "value": 0},
6244 {"name": "VGT_POLICY_STREAM", "value": 1},
6245 {"name": "VGT_POLICY_BYPASS", "value": 2}
6246 ]
6247 },
6248 "VGT_STAGES_ES_EN": {
6249 "entries": [
6250 {"name": "ES_STAGE_OFF", "value": 0},
6251 {"name": "ES_STAGE_DS", "value": 1},
6252 {"name": "ES_STAGE_REAL", "value": 2},
6253 {"name": "RESERVED_ES", "value": 3}
6254 ]
6255 },
6256 "VGT_STAGES_GS_EN": {
6257 "entries": [
6258 {"name": "GS_STAGE_OFF", "value": 0},
6259 {"name": "GS_STAGE_ON", "value": 1}
6260 ]
6261 },
6262 "VGT_STAGES_HS_EN": {
6263 "entries": [
6264 {"name": "HS_STAGE_OFF", "value": 0},
6265 {"name": "HS_STAGE_ON", "value": 1}
6266 ]
6267 },
6268 "VGT_STAGES_LS_EN": {
6269 "entries": [
6270 {"name": "LS_STAGE_OFF", "value": 0},
6271 {"name": "LS_STAGE_ON", "value": 1},
6272 {"name": "CS_STAGE_ON", "value": 2},
6273 {"name": "RESERVED_LS", "value": 3}
6274 ]
6275 },
6276 "VGT_STAGES_VS_EN": {
6277 "entries": [
6278 {"name": "VS_STAGE_REAL", "value": 0},
6279 {"name": "VS_STAGE_DS", "value": 1},
6280 {"name": "VS_STAGE_COPY_SHADER", "value": 2},
6281 {"name": "RESERVED_VS", "value": 3}
6282 ]
6283 },
6284 "VGT_TESS_PARTITION": {
6285 "entries": [
6286 {"name": "PART_INTEGER", "value": 0},
6287 {"name": "PART_POW2", "value": 1},
6288 {"name": "PART_FRAC_ODD", "value": 2},
6289 {"name": "PART_FRAC_EVEN", "value": 3}
6290 ]
6291 },
6292 "VGT_TESS_TOPOLOGY": {
6293 "entries": [
6294 {"name": "OUTPUT_POINT", "value": 0},
6295 {"name": "OUTPUT_LINE", "value": 1},
6296 {"name": "OUTPUT_TRIANGLE_CW", "value": 2},
6297 {"name": "OUTPUT_TRIANGLE_CCW", "value": 3}
6298 ]
6299 },
6300 "VGT_TESS_TYPE": {
6301 "entries": [
6302 {"name": "TESS_ISOLINE", "value": 0},
6303 {"name": "TESS_TRIANGLE", "value": 1},
6304 {"name": "TESS_QUAD", "value": 2}
6305 ]
6306 },
6307 "ZLimitSumm": {
6308 "entries": [
6309 {"name": "FORCE_SUMM_OFF", "value": 0},
6310 {"name": "FORCE_SUMM_MINZ", "value": 1},
6311 {"name": "FORCE_SUMM_MAXZ", "value": 2},
6312 {"name": "FORCE_SUMM_BOTH", "value": 3}
6313 ]
6314 },
6315 "ZOrder": {
6316 "entries": [
6317 {"name": "LATE_Z", "value": 0},
6318 {"name": "EARLY_Z_THEN_LATE_Z", "value": 1},
6319 {"name": "RE_Z", "value": 2},
6320 {"name": "EARLY_Z_THEN_RE_Z", "value": 3}
6321 ]
6322 },
6323 "ThreadTraceRegInclude": {
6324 "entries": [
6325 {"name": "REG_INCLUDE_SQDEC", "value": 1},
6326 {"name": "REG_INCLUDE_SHDEC", "value": 2},
6327 {"name": "REG_INCLUDE_GFXUDEC", "value": 4},
6328 {"name": "REG_INCLUDE_COMP", "value": 8},
6329 {"name": "REG_INCLUDE_CONTEXT", "value": 16},
6330 {"name": "REG_INCLUDE_CONFIG", "value": 32},
6331 {"name": "REG_INCLUDE_OTHER", "value": 64},
6332 {"name": "REG_INCLUDE_READS", "value": 128}
6333 ]
6334 },
6335 "ThreadTraceTokenExclude": {
6336 "entries": [
6337 {"name": "TOKEN_EXCLUDE_VMEMEXEC", "value": 1},
6338 {"name": "TOKEN_EXCLUDE_ALUEXEC", "value": 2},
6339 {"name": "TOKEN_EXCLUDE_VALUINST", "value": 4},
6340 {"name": "TOKEN_EXCLUDE_WAVERDY", "value": 8},
6341 {"name": "TOKEN_EXCLUDE_IMMED1", "value": 16},
6342 {"name": "TOKEN_EXCLUDE_IMMEDIATE", "value": 32},
6343 {"name": "TOKEN_EXCLUDE_REG", "value": 64},
6344 {"name": "TOKEN_EXCLUDE_EVENT", "value": 128},
6345 {"name": "TOKEN_EXCLUDE_INST", "value": 256},
6346 {"name": "TOKEN_EXCLUDE_UTILCTR", "value": 512},
6347 {"name": "TOKEN_EXCLUDE_WAVEALLOC", "value": 1024},
6348 {"name": "TOKEN_EXCLUDE_PERF", "value": 2048}
6349 ]
6350 }
6351 },
6352 "register_mappings": [
6353 {
6354 "chips": ["gfx10"],
6355 "map": {"at": 36096, "to": "mm"},
6356 "name": "SQ_THREAD_TRACE_BUF0_BASE",
6357 "type_ref": "SQ_THREAD_TRACE_BUF0_BASE"
6358 },
6359 {
6360 "chips": ["gfx10"],
6361 "map": {"at": 36100, "to": "mm"},
6362 "name": "SQ_THREAD_TRACE_BUF0_SIZE",
6363 "type_ref": "SQ_THREAD_TRACE_BUF0_SIZE"
6364 },
6365 {
6366 "chips": ["gfx10"],
6367 "map": {"at": 36112, "to": "mm"},
6368 "name": "SQ_THREAD_TRACE_WPTR",
6369 "type_ref": "SQ_THREAD_TRACE_WPTR"
6370 },
6371 {
6372 "chips": ["gfx10"],
6373 "map": {"at": 36116, "to": "mm"},
6374 "name": "SQ_THREAD_TRACE_MASK",
6375 "type_ref": "SQ_THREAD_TRACE_MASK"
6376 },
6377 {
6378 "chips": ["gfx10"],
6379 "map": {"at": 36120, "to": "mm"},
6380 "name": "SQ_THREAD_TRACE_TOKEN_MASK",
6381 "type_ref": "SQ_THREAD_TRACE_TOKEN_MASK"
6382 },
6383 {
6384 "chips": ["gfx10"],
6385 "map": {"at": 36124, "to": "mm"},
6386 "name": "SQ_THREAD_TRACE_CTRL",
6387 "type_ref": "SQ_THREAD_TRACE_CTRL"
6388 },
6389 {
6390 "chips": ["gfx10"],
6391 "map": {"at": 36128, "to": "mm"},
6392 "name": "SQ_THREAD_TRACE_STATUS",
6393 "type_ref": "SQ_THREAD_TRACE_STATUS"
6394 },
6395 {
6396 "chips": ["gfx10"],
6397 "map": {"at": 36132, "to": "mm"},
6398 "name": "SQ_THREAD_TRACE_DROPPED_CNTR",
6399 "type_ref": "SQ_THREAD_TRACE_DROPPED_CNTR"
6400 },
6401 {
6402 "chips": ["gfx10"],
6403 "map": {"at": 37804, "to": "mm"},
6404 "name": "BCI_DEBUG_READ",
6405 "type_ref": "BCI_DEBUG_READ"
6406 },
6407 {
6408 "chips": ["gfx10"],
6409 "map": {"at": 165760, "to": "mm"},
6410 "name": "CB_BLEND0_CONTROL",
6411 "type_ref": "CB_BLEND0_CONTROL"
6412 },
6413 {
6414 "chips": ["gfx10"],
6415 "map": {"at": 165764, "to": "mm"},
6416 "name": "CB_BLEND1_CONTROL",
6417 "type_ref": "CB_BLEND0_CONTROL"
6418 },
6419 {
6420 "chips": ["gfx10"],
6421 "map": {"at": 165768, "to": "mm"},
6422 "name": "CB_BLEND2_CONTROL",
6423 "type_ref": "CB_BLEND0_CONTROL"
6424 },
6425 {
6426 "chips": ["gfx10"],
6427 "map": {"at": 165772, "to": "mm"},
6428 "name": "CB_BLEND3_CONTROL",
6429 "type_ref": "CB_BLEND0_CONTROL"
6430 },
6431 {
6432 "chips": ["gfx10"],
6433 "map": {"at": 165776, "to": "mm"},
6434 "name": "CB_BLEND4_CONTROL",
6435 "type_ref": "CB_BLEND0_CONTROL"
6436 },
6437 {
6438 "chips": ["gfx10"],
6439 "map": {"at": 165780, "to": "mm"},
6440 "name": "CB_BLEND5_CONTROL",
6441 "type_ref": "CB_BLEND0_CONTROL"
6442 },
6443 {
6444 "chips": ["gfx10"],
6445 "map": {"at": 165784, "to": "mm"},
6446 "name": "CB_BLEND6_CONTROL",
6447 "type_ref": "CB_BLEND0_CONTROL"
6448 },
6449 {
6450 "chips": ["gfx10"],
6451 "map": {"at": 165788, "to": "mm"},
6452 "name": "CB_BLEND7_CONTROL",
6453 "type_ref": "CB_BLEND0_CONTROL"
6454 },
6455 {
6456 "chips": ["gfx10"],
6457 "map": {"at": 164896, "to": "mm"},
6458 "name": "CB_BLEND_ALPHA",
6459 "type_ref": "CB_BLEND_ALPHA"
6460 },
6461 {
6462 "chips": ["gfx10"],
6463 "map": {"at": 164892, "to": "mm"},
6464 "name": "CB_BLEND_BLUE",
6465 "type_ref": "CB_BLEND_BLUE"
6466 },
6467 {
6468 "chips": ["gfx10"],
6469 "map": {"at": 164888, "to": "mm"},
6470 "name": "CB_BLEND_GREEN",
6471 "type_ref": "CB_BLEND_GREEN"
6472 },
6473 {
6474 "chips": ["gfx10"],
6475 "map": {"at": 164884, "to": "mm"},
6476 "name": "CB_BLEND_RED",
6477 "type_ref": "CB_BLEND_RED"
6478 },
6479 {
6480 "chips": ["gfx10"],
6481 "map": {"at": 167028, "to": "mm"},
6482 "name": "CB_COLOR0_ATTRIB",
6483 "type_ref": "CB_COLOR0_ATTRIB"
6484 },
6485 {
6486 "chips": ["gfx10"],
6487 "map": {"at": 167616, "to": "mm"},
6488 "name": "CB_COLOR0_ATTRIB2",
6489 "type_ref": "CB_COLOR0_ATTRIB2"
6490 },
6491 {
6492 "chips": ["gfx10"],
6493 "map": {"at": 167648, "to": "mm"},
6494 "name": "CB_COLOR0_ATTRIB3",
6495 "type_ref": "CB_COLOR0_ATTRIB3"
6496 },
6497 {
6498 "chips": ["gfx10"],
6499 "map": {"at": 167008, "to": "mm"},
6500 "name": "CB_COLOR0_BASE",
6501 "type_ref": "CB_COLOR0_BASE"
6502 },
6503 {
6504 "chips": ["gfx10"],
6505 "map": {"at": 167488, "to": "mm"},
6506 "name": "CB_COLOR0_BASE_EXT",
6507 "type_ref": "CB_COLOR0_BASE_EXT"
6508 },
6509 {
6510 "chips": ["gfx10"],
6511 "map": {"at": 167052, "to": "mm"},
6512 "name": "CB_COLOR0_CLEAR_WORD0",
6513 "type_ref": "CB_COLOR0_CLEAR_WORD0"
6514 },
6515 {
6516 "chips": ["gfx10"],
6517 "map": {"at": 167056, "to": "mm"},
6518 "name": "CB_COLOR0_CLEAR_WORD1",
6519 "type_ref": "CB_COLOR0_CLEAR_WORD1"
6520 },
6521 {
6522 "chips": ["gfx10"],
6523 "map": {"at": 167036, "to": "mm"},
6524 "name": "CB_COLOR0_CMASK",
6525 "type_ref": "CB_COLOR0_BASE"
6526 },
6527 {
6528 "chips": ["gfx10"],
6529 "map": {"at": 167520, "to": "mm"},
6530 "name": "CB_COLOR0_CMASK_BASE_EXT",
6531 "type_ref": "CB_COLOR0_BASE_EXT"
6532 },
6533 {
6534 "chips": ["gfx10"],
6535 "map": {"at": 167060, "to": "mm"},
6536 "name": "CB_COLOR0_DCC_BASE",
6537 "type_ref": "CB_COLOR0_BASE"
6538 },
6539 {
6540 "chips": ["gfx10"],
6541 "map": {"at": 167584, "to": "mm"},
6542 "name": "CB_COLOR0_DCC_BASE_EXT",
6543 "type_ref": "CB_COLOR0_BASE_EXT"
6544 },
6545 {
6546 "chips": ["gfx10"],
6547 "map": {"at": 167032, "to": "mm"},
6548 "name": "CB_COLOR0_DCC_CONTROL",
6549 "type_ref": "CB_COLOR0_DCC_CONTROL"
6550 },
6551 {
6552 "chips": ["gfx10"],
6553 "map": {"at": 167044, "to": "mm"},
6554 "name": "CB_COLOR0_FMASK",
6555 "type_ref": "CB_COLOR0_BASE"
6556 },
6557 {
6558 "chips": ["gfx10"],
6559 "map": {"at": 167552, "to": "mm"},
6560 "name": "CB_COLOR0_FMASK_BASE_EXT",
6561 "type_ref": "CB_COLOR0_BASE_EXT"
6562 },
6563 {
6564 "chips": ["gfx10"],
6565 "map": {"at": 167024, "to": "mm"},
6566 "name": "CB_COLOR0_INFO",
6567 "type_ref": "CB_COLOR0_INFO"
6568 },
6569 {
6570 "chips": ["gfx10"],
6571 "map": {"at": 167020, "to": "mm"},
6572 "name": "CB_COLOR0_VIEW",
6573 "type_ref": "CB_COLOR0_VIEW"
6574 },
6575 {
6576 "chips": ["gfx10"],
6577 "map": {"at": 167088, "to": "mm"},
6578 "name": "CB_COLOR1_ATTRIB",
6579 "type_ref": "CB_COLOR0_ATTRIB"
6580 },
6581 {
6582 "chips": ["gfx10"],
6583 "map": {"at": 167620, "to": "mm"},
6584 "name": "CB_COLOR1_ATTRIB2",
6585 "type_ref": "CB_COLOR0_ATTRIB2"
6586 },
6587 {
6588 "chips": ["gfx10"],
6589 "map": {"at": 167652, "to": "mm"},
6590 "name": "CB_COLOR1_ATTRIB3",
6591 "type_ref": "CB_COLOR0_ATTRIB3"
6592 },
6593 {
6594 "chips": ["gfx10"],
6595 "map": {"at": 167068, "to": "mm"},
6596 "name": "CB_COLOR1_BASE",
6597 "type_ref": "CB_COLOR0_BASE"
6598 },
6599 {
6600 "chips": ["gfx10"],
6601 "map": {"at": 167492, "to": "mm"},
6602 "name": "CB_COLOR1_BASE_EXT",
6603 "type_ref": "CB_COLOR0_BASE_EXT"
6604 },
6605 {
6606 "chips": ["gfx10"],
6607 "map": {"at": 167112, "to": "mm"},
6608 "name": "CB_COLOR1_CLEAR_WORD0",
6609 "type_ref": "CB_COLOR0_CLEAR_WORD0"
6610 },
6611 {
6612 "chips": ["gfx10"],
6613 "map": {"at": 167116, "to": "mm"},
6614 "name": "CB_COLOR1_CLEAR_WORD1",
6615 "type_ref": "CB_COLOR0_CLEAR_WORD1"
6616 },
6617 {
6618 "chips": ["gfx10"],
6619 "map": {"at": 167096, "to": "mm"},
6620 "name": "CB_COLOR1_CMASK",
6621 "type_ref": "CB_COLOR0_BASE"
6622 },
6623 {
6624 "chips": ["gfx10"],
6625 "map": {"at": 167524, "to": "mm"},
6626 "name": "CB_COLOR1_CMASK_BASE_EXT",
6627 "type_ref": "CB_COLOR0_BASE_EXT"
6628 },
6629 {
6630 "chips": ["gfx10"],
6631 "map": {"at": 167120, "to": "mm"},
6632 "name": "CB_COLOR1_DCC_BASE",
6633 "type_ref": "CB_COLOR0_BASE"
6634 },
6635 {
6636 "chips": ["gfx10"],
6637 "map": {"at": 167588, "to": "mm"},
6638 "name": "CB_COLOR1_DCC_BASE_EXT",
6639 "type_ref": "CB_COLOR0_BASE_EXT"
6640 },
6641 {
6642 "chips": ["gfx10"],
6643 "map": {"at": 167092, "to": "mm"},
6644 "name": "CB_COLOR1_DCC_CONTROL",
6645 "type_ref": "CB_COLOR0_DCC_CONTROL"
6646 },
6647 {
6648 "chips": ["gfx10"],
6649 "map": {"at": 167104, "to": "mm"},
6650 "name": "CB_COLOR1_FMASK",
6651 "type_ref": "CB_COLOR0_BASE"
6652 },
6653 {
6654 "chips": ["gfx10"],
6655 "map": {"at": 167556, "to": "mm"},
6656 "name": "CB_COLOR1_FMASK_BASE_EXT",
6657 "type_ref": "CB_COLOR0_BASE_EXT"
6658 },
6659 {
6660 "chips": ["gfx10"],
6661 "map": {"at": 167084, "to": "mm"},
6662 "name": "CB_COLOR1_INFO",
6663 "type_ref": "CB_COLOR0_INFO"
6664 },
6665 {
6666 "chips": ["gfx10"],
6667 "map": {"at": 167080, "to": "mm"},
6668 "name": "CB_COLOR1_VIEW",
6669 "type_ref": "CB_COLOR0_VIEW"
6670 },
6671 {
6672 "chips": ["gfx10"],
6673 "map": {"at": 167148, "to": "mm"},
6674 "name": "CB_COLOR2_ATTRIB",
6675 "type_ref": "CB_COLOR0_ATTRIB"
6676 },
6677 {
6678 "chips": ["gfx10"],
6679 "map": {"at": 167624, "to": "mm"},
6680 "name": "CB_COLOR2_ATTRIB2",
6681 "type_ref": "CB_COLOR0_ATTRIB2"
6682 },
6683 {
6684 "chips": ["gfx10"],
6685 "map": {"at": 167656, "to": "mm"},
6686 "name": "CB_COLOR2_ATTRIB3",
6687 "type_ref": "CB_COLOR0_ATTRIB3"
6688 },
6689 {
6690 "chips": ["gfx10"],
6691 "map": {"at": 167128, "to": "mm"},
6692 "name": "CB_COLOR2_BASE",
6693 "type_ref": "CB_COLOR0_BASE"
6694 },
6695 {
6696 "chips": ["gfx10"],
6697 "map": {"at": 167496, "to": "mm"},
6698 "name": "CB_COLOR2_BASE_EXT",
6699 "type_ref": "CB_COLOR0_BASE_EXT"
6700 },
6701 {
6702 "chips": ["gfx10"],
6703 "map": {"at": 167172, "to": "mm"},
6704 "name": "CB_COLOR2_CLEAR_WORD0",
6705 "type_ref": "CB_COLOR0_CLEAR_WORD0"
6706 },
6707 {
6708 "chips": ["gfx10"],
6709 "map": {"at": 167176, "to": "mm"},
6710 "name": "CB_COLOR2_CLEAR_WORD1",
6711 "type_ref": "CB_COLOR0_CLEAR_WORD1"
6712 },
6713 {
6714 "chips": ["gfx10"],
6715 "map": {"at": 167156, "to": "mm"},
6716 "name": "CB_COLOR2_CMASK",
6717 "type_ref": "CB_COLOR0_BASE"
6718 },
6719 {
6720 "chips": ["gfx10"],
6721 "map": {"at": 167528, "to": "mm"},
6722 "name": "CB_COLOR2_CMASK_BASE_EXT",
6723 "type_ref": "CB_COLOR0_BASE_EXT"
6724 },
6725 {
6726 "chips": ["gfx10"],
6727 "map": {"at": 167180, "to": "mm"},
6728 "name": "CB_COLOR2_DCC_BASE",
6729 "type_ref": "CB_COLOR0_BASE"
6730 },
6731 {
6732 "chips": ["gfx10"],
6733 "map": {"at": 167592, "to": "mm"},
6734 "name": "CB_COLOR2_DCC_BASE_EXT",
6735 "type_ref": "CB_COLOR0_BASE_EXT"
6736 },
6737 {
6738 "chips": ["gfx10"],
6739 "map": {"at": 167152, "to": "mm"},
6740 "name": "CB_COLOR2_DCC_CONTROL",
6741 "type_ref": "CB_COLOR0_DCC_CONTROL"
6742 },
6743 {
6744 "chips": ["gfx10"],
6745 "map": {"at": 167164, "to": "mm"},
6746 "name": "CB_COLOR2_FMASK",
6747 "type_ref": "CB_COLOR0_BASE"
6748 },
6749 {
6750 "chips": ["gfx10"],
6751 "map": {"at": 167560, "to": "mm"},
6752 "name": "CB_COLOR2_FMASK_BASE_EXT",
6753 "type_ref": "CB_COLOR0_BASE_EXT"
6754 },
6755 {
6756 "chips": ["gfx10"],
6757 "map": {"at": 167144, "to": "mm"},
6758 "name": "CB_COLOR2_INFO",
6759 "type_ref": "CB_COLOR0_INFO"
6760 },
6761 {
6762 "chips": ["gfx10"],
6763 "map": {"at": 167140, "to": "mm"},
6764 "name": "CB_COLOR2_VIEW",
6765 "type_ref": "CB_COLOR0_VIEW"
6766 },
6767 {
6768 "chips": ["gfx10"],
6769 "map": {"at": 167208, "to": "mm"},
6770 "name": "CB_COLOR3_ATTRIB",
6771 "type_ref": "CB_COLOR0_ATTRIB"
6772 },
6773 {
6774 "chips": ["gfx10"],
6775 "map": {"at": 167628, "to": "mm"},
6776 "name": "CB_COLOR3_ATTRIB2",
6777 "type_ref": "CB_COLOR0_ATTRIB2"
6778 },
6779 {
6780 "chips": ["gfx10"],
6781 "map": {"at": 167660, "to": "mm"},
6782 "name": "CB_COLOR3_ATTRIB3",
6783 "type_ref": "CB_COLOR0_ATTRIB3"
6784 },
6785 {
6786 "chips": ["gfx10"],
6787 "map": {"at": 167188, "to": "mm"},
6788 "name": "CB_COLOR3_BASE",
6789 "type_ref": "CB_COLOR0_BASE"
6790 },
6791 {
6792 "chips": ["gfx10"],
6793 "map": {"at": 167500, "to": "mm"},
6794 "name": "CB_COLOR3_BASE_EXT",
6795 "type_ref": "CB_COLOR0_BASE_EXT"
6796 },
6797 {
6798 "chips": ["gfx10"],
6799 "map": {"at": 167232, "to": "mm"},
6800 "name": "CB_COLOR3_CLEAR_WORD0",
6801 "type_ref": "CB_COLOR0_CLEAR_WORD0"
6802 },
6803 {
6804 "chips": ["gfx10"],
6805 "map": {"at": 167236, "to": "mm"},
6806 "name": "CB_COLOR3_CLEAR_WORD1",
6807 "type_ref": "CB_COLOR0_CLEAR_WORD1"
6808 },
6809 {
6810 "chips": ["gfx10"],
6811 "map": {"at": 167216, "to": "mm"},
6812 "name": "CB_COLOR3_CMASK",
6813 "type_ref": "CB_COLOR0_BASE"
6814 },
6815 {
6816 "chips": ["gfx10"],
6817 "map": {"at": 167532, "to": "mm"},
6818 "name": "CB_COLOR3_CMASK_BASE_EXT",
6819 "type_ref": "CB_COLOR0_BASE_EXT"
6820 },
6821 {
6822 "chips": ["gfx10"],
6823 "map": {"at": 167240, "to": "mm"},
6824 "name": "CB_COLOR3_DCC_BASE",
6825 "type_ref": "CB_COLOR0_BASE"
6826 },
6827 {
6828 "chips": ["gfx10"],
6829 "map": {"at": 167596, "to": "mm"},
6830 "name": "CB_COLOR3_DCC_BASE_EXT",
6831 "type_ref": "CB_COLOR0_BASE_EXT"
6832 },
6833 {
6834 "chips": ["gfx10"],
6835 "map": {"at": 167212, "to": "mm"},
6836 "name": "CB_COLOR3_DCC_CONTROL",
6837 "type_ref": "CB_COLOR0_DCC_CONTROL"
6838 },
6839 {
6840 "chips": ["gfx10"],
6841 "map": {"at": 167224, "to": "mm"},
6842 "name": "CB_COLOR3_FMASK",
6843 "type_ref": "CB_COLOR0_BASE"
6844 },
6845 {
6846 "chips": ["gfx10"],
6847 "map": {"at": 167564, "to": "mm"},
6848 "name": "CB_COLOR3_FMASK_BASE_EXT",
6849 "type_ref": "CB_COLOR0_BASE_EXT"
6850 },
6851 {
6852 "chips": ["gfx10"],
6853 "map": {"at": 167204, "to": "mm"},
6854 "name": "CB_COLOR3_INFO",
6855 "type_ref": "CB_COLOR0_INFO"
6856 },
6857 {
6858 "chips": ["gfx10"],
6859 "map": {"at": 167200, "to": "mm"},
6860 "name": "CB_COLOR3_VIEW",
6861 "type_ref": "CB_COLOR0_VIEW"
6862 },
6863 {
6864 "chips": ["gfx10"],
6865 "map": {"at": 167268, "to": "mm"},
6866 "name": "CB_COLOR4_ATTRIB",
6867 "type_ref": "CB_COLOR0_ATTRIB"
6868 },
6869 {
6870 "chips": ["gfx10"],
6871 "map": {"at": 167632, "to": "mm"},
6872 "name": "CB_COLOR4_ATTRIB2",
6873 "type_ref": "CB_COLOR0_ATTRIB2"
6874 },
6875 {
6876 "chips": ["gfx10"],
6877 "map": {"at": 167664, "to": "mm"},
6878 "name": "CB_COLOR4_ATTRIB3",
6879 "type_ref": "CB_COLOR0_ATTRIB3"
6880 },
6881 {
6882 "chips": ["gfx10"],
6883 "map": {"at": 167248, "to": "mm"},
6884 "name": "CB_COLOR4_BASE",
6885 "type_ref": "CB_COLOR0_BASE"
6886 },
6887 {
6888 "chips": ["gfx10"],
6889 "map": {"at": 167504, "to": "mm"},
6890 "name": "CB_COLOR4_BASE_EXT",
6891 "type_ref": "CB_COLOR0_BASE_EXT"
6892 },
6893 {
6894 "chips": ["gfx10"],
6895 "map": {"at": 167292, "to": "mm"},
6896 "name": "CB_COLOR4_CLEAR_WORD0",
6897 "type_ref": "CB_COLOR0_CLEAR_WORD0"
6898 },
6899 {
6900 "chips": ["gfx10"],
6901 "map": {"at": 167296, "to": "mm"},
6902 "name": "CB_COLOR4_CLEAR_WORD1",
6903 "type_ref": "CB_COLOR0_CLEAR_WORD1"
6904 },
6905 {
6906 "chips": ["gfx10"],
6907 "map": {"at": 167276, "to": "mm"},
6908 "name": "CB_COLOR4_CMASK",
6909 "type_ref": "CB_COLOR0_BASE"
6910 },
6911 {
6912 "chips": ["gfx10"],
6913 "map": {"at": 167536, "to": "mm"},
6914 "name": "CB_COLOR4_CMASK_BASE_EXT",
6915 "type_ref": "CB_COLOR0_BASE_EXT"
6916 },
6917 {
6918 "chips": ["gfx10"],
6919 "map": {"at": 167300, "to": "mm"},
6920 "name": "CB_COLOR4_DCC_BASE",
6921 "type_ref": "CB_COLOR0_BASE"
6922 },
6923 {
6924 "chips": ["gfx10"],
6925 "map": {"at": 167600, "to": "mm"},
6926 "name": "CB_COLOR4_DCC_BASE_EXT",
6927 "type_ref": "CB_COLOR0_BASE_EXT"
6928 },
6929 {
6930 "chips": ["gfx10"],
6931 "map": {"at": 167272, "to": "mm"},
6932 "name": "CB_COLOR4_DCC_CONTROL",
6933 "type_ref": "CB_COLOR0_DCC_CONTROL"
6934 },
6935 {
6936 "chips": ["gfx10"],
6937 "map": {"at": 167284, "to": "mm"},
6938 "name": "CB_COLOR4_FMASK",
6939 "type_ref": "CB_COLOR0_BASE"
6940 },
6941 {
6942 "chips": ["gfx10"],
6943 "map": {"at": 167568, "to": "mm"},
6944 "name": "CB_COLOR4_FMASK_BASE_EXT",
6945 "type_ref": "CB_COLOR0_BASE_EXT"
6946 },
6947 {
6948 "chips": ["gfx10"],
6949 "map": {"at": 167264, "to": "mm"},
6950 "name": "CB_COLOR4_INFO",
6951 "type_ref": "CB_COLOR0_INFO"
6952 },
6953 {
6954 "chips": ["gfx10"],
6955 "map": {"at": 167260, "to": "mm"},
6956 "name": "CB_COLOR4_VIEW",
6957 "type_ref": "CB_COLOR0_VIEW"
6958 },
6959 {
6960 "chips": ["gfx10"],
6961 "map": {"at": 167328, "to": "mm"},
6962 "name": "CB_COLOR5_ATTRIB",
6963 "type_ref": "CB_COLOR0_ATTRIB"
6964 },
6965 {
6966 "chips": ["gfx10"],
6967 "map": {"at": 167636, "to": "mm"},
6968 "name": "CB_COLOR5_ATTRIB2",
6969 "type_ref": "CB_COLOR0_ATTRIB2"
6970 },
6971 {
6972 "chips": ["gfx10"],
6973 "map": {"at": 167668, "to": "mm"},
6974 "name": "CB_COLOR5_ATTRIB3",
6975 "type_ref": "CB_COLOR0_ATTRIB3"
6976 },
6977 {
6978 "chips": ["gfx10"],
6979 "map": {"at": 167308, "to": "mm"},
6980 "name": "CB_COLOR5_BASE",
6981 "type_ref": "CB_COLOR0_BASE"
6982 },
6983 {
6984 "chips": ["gfx10"],
6985 "map": {"at": 167508, "to": "mm"},
6986 "name": "CB_COLOR5_BASE_EXT",
6987 "type_ref": "CB_COLOR0_BASE_EXT"
6988 },
6989 {
6990 "chips": ["gfx10"],
6991 "map": {"at": 167352, "to": "mm"},
6992 "name": "CB_COLOR5_CLEAR_WORD0",
6993 "type_ref": "CB_COLOR0_CLEAR_WORD0"
6994 },
6995 {
6996 "chips": ["gfx10"],
6997 "map": {"at": 167356, "to": "mm"},
6998 "name": "CB_COLOR5_CLEAR_WORD1",
6999 "type_ref": "CB_COLOR0_CLEAR_WORD1"
7000 },
7001 {
7002 "chips": ["gfx10"],
7003 "map": {"at": 167336, "to": "mm"},
7004 "name": "CB_COLOR5_CMASK",
7005 "type_ref": "CB_COLOR0_BASE"
7006 },
7007 {
7008 "chips": ["gfx10"],
7009 "map": {"at": 167540, "to": "mm"},
7010 "name": "CB_COLOR5_CMASK_BASE_EXT",
7011 "type_ref": "CB_COLOR0_BASE_EXT"
7012 },
7013 {
7014 "chips": ["gfx10"],
7015 "map": {"at": 167360, "to": "mm"},
7016 "name": "CB_COLOR5_DCC_BASE",
7017 "type_ref": "CB_COLOR0_BASE"
7018 },
7019 {
7020 "chips": ["gfx10"],
7021 "map": {"at": 167604, "to": "mm"},
7022 "name": "CB_COLOR5_DCC_BASE_EXT",
7023 "type_ref": "CB_COLOR0_BASE_EXT"
7024 },
7025 {
7026 "chips": ["gfx10"],
7027 "map": {"at": 167332, "to": "mm"},
7028 "name": "CB_COLOR5_DCC_CONTROL",
7029 "type_ref": "CB_COLOR0_DCC_CONTROL"
7030 },
7031 {
7032 "chips": ["gfx10"],
7033 "map": {"at": 167344, "to": "mm"},
7034 "name": "CB_COLOR5_FMASK",
7035 "type_ref": "CB_COLOR0_BASE"
7036 },
7037 {
7038 "chips": ["gfx10"],
7039 "map": {"at": 167572, "to": "mm"},
7040 "name": "CB_COLOR5_FMASK_BASE_EXT",
7041 "type_ref": "CB_COLOR0_BASE_EXT"
7042 },
7043 {
7044 "chips": ["gfx10"],
7045 "map": {"at": 167324, "to": "mm"},
7046 "name": "CB_COLOR5_INFO",
7047 "type_ref": "CB_COLOR0_INFO"
7048 },
7049 {
7050 "chips": ["gfx10"],
7051 "map": {"at": 167320, "to": "mm"},
7052 "name": "CB_COLOR5_VIEW",
7053 "type_ref": "CB_COLOR0_VIEW"
7054 },
7055 {
7056 "chips": ["gfx10"],
7057 "map": {"at": 167388, "to": "mm"},
7058 "name": "CB_COLOR6_ATTRIB",
7059 "type_ref": "CB_COLOR0_ATTRIB"
7060 },
7061 {
7062 "chips": ["gfx10"],
7063 "map": {"at": 167640, "to": "mm"},
7064 "name": "CB_COLOR6_ATTRIB2",
7065 "type_ref": "CB_COLOR0_ATTRIB2"
7066 },
7067 {
7068 "chips": ["gfx10"],
7069 "map": {"at": 167672, "to": "mm"},
7070 "name": "CB_COLOR6_ATTRIB3",
7071 "type_ref": "CB_COLOR0_ATTRIB3"
7072 },
7073 {
7074 "chips": ["gfx10"],
7075 "map": {"at": 167368, "to": "mm"},
7076 "name": "CB_COLOR6_BASE",
7077 "type_ref": "CB_COLOR0_BASE"
7078 },
7079 {
7080 "chips": ["gfx10"],
7081 "map": {"at": 167512, "to": "mm"},
7082 "name": "CB_COLOR6_BASE_EXT",
7083 "type_ref": "CB_COLOR0_BASE_EXT"
7084 },
7085 {
7086 "chips": ["gfx10"],
7087 "map": {"at": 167412, "to": "mm"},
7088 "name": "CB_COLOR6_CLEAR_WORD0",
7089 "type_ref": "CB_COLOR0_CLEAR_WORD0"
7090 },
7091 {
7092 "chips": ["gfx10"],
7093 "map": {"at": 167416, "to": "mm"},
7094 "name": "CB_COLOR6_CLEAR_WORD1",
7095 "type_ref": "CB_COLOR0_CLEAR_WORD1"
7096 },
7097 {
7098 "chips": ["gfx10"],
7099 "map": {"at": 167396, "to": "mm"},
7100 "name": "CB_COLOR6_CMASK",
7101 "type_ref": "CB_COLOR0_BASE"
7102 },
7103 {
7104 "chips": ["gfx10"],
7105 "map": {"at": 167544, "to": "mm"},
7106 "name": "CB_COLOR6_CMASK_BASE_EXT",
7107 "type_ref": "CB_COLOR0_BASE_EXT"
7108 },
7109 {
7110 "chips": ["gfx10"],
7111 "map": {"at": 167420, "to": "mm"},
7112 "name": "CB_COLOR6_DCC_BASE",
7113 "type_ref": "CB_COLOR0_BASE"
7114 },
7115 {
7116 "chips": ["gfx10"],
7117 "map": {"at": 167608, "to": "mm"},
7118 "name": "CB_COLOR6_DCC_BASE_EXT",
7119 "type_ref": "CB_COLOR0_BASE_EXT"
7120 },
7121 {
7122 "chips": ["gfx10"],
7123 "map": {"at": 167392, "to": "mm"},
7124 "name": "CB_COLOR6_DCC_CONTROL",
7125 "type_ref": "CB_COLOR0_DCC_CONTROL"
7126 },
7127 {
7128 "chips": ["gfx10"],
7129 "map": {"at": 167404, "to": "mm"},
7130 "name": "CB_COLOR6_FMASK",
7131 "type_ref": "CB_COLOR0_BASE"
7132 },
7133 {
7134 "chips": ["gfx10"],
7135 "map": {"at": 167576, "to": "mm"},
7136 "name": "CB_COLOR6_FMASK_BASE_EXT",
7137 "type_ref": "CB_COLOR0_BASE_EXT"
7138 },
7139 {
7140 "chips": ["gfx10"],
7141 "map": {"at": 167384, "to": "mm"},
7142 "name": "CB_COLOR6_INFO",
7143 "type_ref": "CB_COLOR0_INFO"
7144 },
7145 {
7146 "chips": ["gfx10"],
7147 "map": {"at": 167380, "to": "mm"},
7148 "name": "CB_COLOR6_VIEW",
7149 "type_ref": "CB_COLOR0_VIEW"
7150 },
7151 {
7152 "chips": ["gfx10"],
7153 "map": {"at": 167448, "to": "mm"},
7154 "name": "CB_COLOR7_ATTRIB",
7155 "type_ref": "CB_COLOR0_ATTRIB"
7156 },
7157 {
7158 "chips": ["gfx10"],
7159 "map": {"at": 167644, "to": "mm"},
7160 "name": "CB_COLOR7_ATTRIB2",
7161 "type_ref": "CB_COLOR0_ATTRIB2"
7162 },
7163 {
7164 "chips": ["gfx10"],
7165 "map": {"at": 167676, "to": "mm"},
7166 "name": "CB_COLOR7_ATTRIB3",
7167 "type_ref": "CB_COLOR0_ATTRIB3"
7168 },
7169 {
7170 "chips": ["gfx10"],
7171 "map": {"at": 167428, "to": "mm"},
7172 "name": "CB_COLOR7_BASE",
7173 "type_ref": "CB_COLOR0_BASE"
7174 },
7175 {
7176 "chips": ["gfx10"],
7177 "map": {"at": 167516, "to": "mm"},
7178 "name": "CB_COLOR7_BASE_EXT",
7179 "type_ref": "CB_COLOR0_BASE_EXT"
7180 },
7181 {
7182 "chips": ["gfx10"],
7183 "map": {"at": 167472, "to": "mm"},
7184 "name": "CB_COLOR7_CLEAR_WORD0",
7185 "type_ref": "CB_COLOR0_CLEAR_WORD0"
7186 },
7187 {
7188 "chips": ["gfx10"],
7189 "map": {"at": 167476, "to": "mm"},
7190 "name": "CB_COLOR7_CLEAR_WORD1",
7191 "type_ref": "CB_COLOR0_CLEAR_WORD1"
7192 },
7193 {
7194 "chips": ["gfx10"],
7195 "map": {"at": 167456, "to": "mm"},
7196 "name": "CB_COLOR7_CMASK",
7197 "type_ref": "CB_COLOR0_BASE"
7198 },
7199 {
7200 "chips": ["gfx10"],
7201 "map": {"at": 167548, "to": "mm"},
7202 "name": "CB_COLOR7_CMASK_BASE_EXT",
7203 "type_ref": "CB_COLOR0_BASE_EXT"
7204 },
7205 {
7206 "chips": ["gfx10"],
7207 "map": {"at": 167480, "to": "mm"},
7208 "name": "CB_COLOR7_DCC_BASE",
7209 "type_ref": "CB_COLOR0_BASE"
7210 },
7211 {
7212 "chips": ["gfx10"],
7213 "map": {"at": 167612, "to": "mm"},
7214 "name": "CB_COLOR7_DCC_BASE_EXT",
7215 "type_ref": "CB_COLOR0_BASE_EXT"
7216 },
7217 {
7218 "chips": ["gfx10"],
7219 "map": {"at": 167452, "to": "mm"},
7220 "name": "CB_COLOR7_DCC_CONTROL",
7221 "type_ref": "CB_COLOR0_DCC_CONTROL"
7222 },
7223 {
7224 "chips": ["gfx10"],
7225 "map": {"at": 167464, "to": "mm"},
7226 "name": "CB_COLOR7_FMASK",
7227 "type_ref": "CB_COLOR0_BASE"
7228 },
7229 {
7230 "chips": ["gfx10"],
7231 "map": {"at": 167580, "to": "mm"},
7232 "name": "CB_COLOR7_FMASK_BASE_EXT",
7233 "type_ref": "CB_COLOR0_BASE_EXT"
7234 },
7235 {
7236 "chips": ["gfx10"],
7237 "map": {"at": 167444, "to": "mm"},
7238 "name": "CB_COLOR7_INFO",
7239 "type_ref": "CB_COLOR0_INFO"
7240 },
7241 {
7242 "chips": ["gfx10"],
7243 "map": {"at": 167440, "to": "mm"},
7244 "name": "CB_COLOR7_VIEW",
7245 "type_ref": "CB_COLOR0_VIEW"
7246 },
7247 {
7248 "chips": ["gfx10"],
7249 "map": {"at": 165896, "to": "mm"},
7250 "name": "CB_COLOR_CONTROL",
7251 "type_ref": "CB_COLOR_CONTROL"
7252 },
7253 {
7254 "chips": ["gfx10"],
7255 "map": {"at": 164904, "to": "mm"},
7256 "name": "CB_COVERAGE_OUT_CONTROL",
7257 "type_ref": "CB_COVERAGE_OUT_CONTROL"
7258 },
7259 {
7260 "chips": ["gfx10"],
7261 "map": {"at": 164900, "to": "mm"},
7262 "name": "CB_DCC_CONTROL",
7263 "type_ref": "CB_DCC_CONTROL"
7264 },
7265 {
7266 "chips": ["gfx10"],
7267 "map": {"at": 217116, "to": "mm"},
7268 "name": "CB_PERFCOUNTER0_HI",
7269 "type_ref": "CB_PERFCOUNTER0_HI"
7270 },
7271 {
7272 "chips": ["gfx10"],
7273 "map": {"at": 217112, "to": "mm"},
7274 "name": "CB_PERFCOUNTER0_LO",
7275 "type_ref": "CB_PERFCOUNTER0_LO"
7276 },
7277 {
7278 "chips": ["gfx10"],
7279 "map": {"at": 225284, "to": "mm"},
7280 "name": "CB_PERFCOUNTER0_SELECT",
7281 "type_ref": "CB_PERFCOUNTER0_SELECT"
7282 },
7283 {
7284 "chips": ["gfx10"],
7285 "map": {"at": 225288, "to": "mm"},
7286 "name": "CB_PERFCOUNTER0_SELECT1",
7287 "type_ref": "CB_PERFCOUNTER0_SELECT1"
7288 },
7289 {
7290 "chips": ["gfx10"],
7291 "map": {"at": 217124, "to": "mm"},
7292 "name": "CB_PERFCOUNTER1_HI",
7293 "type_ref": "CB_PERFCOUNTER0_HI"
7294 },
7295 {
7296 "chips": ["gfx10"],
7297 "map": {"at": 217120, "to": "mm"},
7298 "name": "CB_PERFCOUNTER1_LO",
7299 "type_ref": "CB_PERFCOUNTER0_LO"
7300 },
7301 {
7302 "chips": ["gfx10"],
7303 "map": {"at": 225292, "to": "mm"},
7304 "name": "CB_PERFCOUNTER1_SELECT",
7305 "type_ref": "CB_PERFCOUNTER1_SELECT"
7306 },
7307 {
7308 "chips": ["gfx10"],
7309 "map": {"at": 217132, "to": "mm"},
7310 "name": "CB_PERFCOUNTER2_HI",
7311 "type_ref": "CB_PERFCOUNTER0_HI"
7312 },
7313 {
7314 "chips": ["gfx10"],
7315 "map": {"at": 217128, "to": "mm"},
7316 "name": "CB_PERFCOUNTER2_LO",
7317 "type_ref": "CB_PERFCOUNTER0_LO"
7318 },
7319 {
7320 "chips": ["gfx10"],
7321 "map": {"at": 225296, "to": "mm"},
7322 "name": "CB_PERFCOUNTER2_SELECT",
7323 "type_ref": "CB_PERFCOUNTER1_SELECT"
7324 },
7325 {
7326 "chips": ["gfx10"],
7327 "map": {"at": 217140, "to": "mm"},
7328 "name": "CB_PERFCOUNTER3_HI",
7329 "type_ref": "CB_PERFCOUNTER0_HI"
7330 },
7331 {
7332 "chips": ["gfx10"],
7333 "map": {"at": 217136, "to": "mm"},
7334 "name": "CB_PERFCOUNTER3_LO",
7335 "type_ref": "CB_PERFCOUNTER0_LO"
7336 },
7337 {
7338 "chips": ["gfx10"],
7339 "map": {"at": 225300, "to": "mm"},
7340 "name": "CB_PERFCOUNTER3_SELECT",
7341 "type_ref": "CB_PERFCOUNTER1_SELECT"
7342 },
7343 {
7344 "chips": ["gfx10"],
7345 "map": {"at": 225280, "to": "mm"},
7346 "name": "CB_PERFCOUNTER_FILTER",
7347 "type_ref": "CB_PERFCOUNTER_FILTER"
7348 },
7349 {
7350 "chips": ["gfx10"],
7351 "map": {"at": 164880, "to": "mm"},
7352 "name": "CB_RMI_GL2_CACHE_CONTROL",
7353 "type_ref": "CB_RMI_GL2_CACHE_CONTROL"
7354 },
7355 {
7356 "chips": ["gfx10"],
7357 "map": {"at": 164412, "to": "mm"},
7358 "name": "CB_SHADER_MASK",
7359 "type_ref": "CB_SHADER_MASK"
7360 },
7361 {
7362 "chips": ["gfx10"],
7363 "map": {"at": 164408, "to": "mm"},
7364 "name": "CB_TARGET_MASK",
7365 "type_ref": "CB_TARGET_MASK"
7366 },
7367 {
7368 "chips": ["gfx10"],
7369 "map": {"at": 219140, "to": "mm"},
7370 "name": "CHA_PERFCOUNTER0_HI",
7371 "type_ref": "CB_PERFCOUNTER0_HI"
7372 },
7373 {
7374 "chips": ["gfx10"],
7375 "map": {"at": 219136, "to": "mm"},
7376 "name": "CHA_PERFCOUNTER0_LO",
7377 "type_ref": "CB_PERFCOUNTER0_LO"
7378 },
7379 {
7380 "chips": ["gfx10"],
7381 "map": {"at": 227200, "to": "mm"},
7382 "name": "CHA_PERFCOUNTER0_SELECT",
7383 "type_ref": "CHA_PERFCOUNTER0_SELECT"
7384 },
7385 {
7386 "chips": ["gfx10"],
7387 "map": {"at": 227204, "to": "mm"},
7388 "name": "CHA_PERFCOUNTER0_SELECT1",
7389 "type_ref": "CHA_PERFCOUNTER0_SELECT1"
7390 },
7391 {
7392 "chips": ["gfx10"],
7393 "map": {"at": 219148, "to": "mm"},
7394 "name": "CHA_PERFCOUNTER1_HI",
7395 "type_ref": "CB_PERFCOUNTER0_HI"
7396 },
7397 {
7398 "chips": ["gfx10"],
7399 "map": {"at": 219144, "to": "mm"},
7400 "name": "CHA_PERFCOUNTER1_LO",
7401 "type_ref": "CB_PERFCOUNTER0_LO"
7402 },
7403 {
7404 "chips": ["gfx10"],
7405 "map": {"at": 227208, "to": "mm"},
7406 "name": "CHA_PERFCOUNTER1_SELECT",
7407 "type_ref": "CHA_PERFCOUNTER1_SELECT"
7408 },
7409 {
7410 "chips": ["gfx10"],
7411 "map": {"at": 219156, "to": "mm"},
7412 "name": "CHA_PERFCOUNTER2_HI",
7413 "type_ref": "CB_PERFCOUNTER0_HI"
7414 },
7415 {
7416 "chips": ["gfx10"],
7417 "map": {"at": 219152, "to": "mm"},
7418 "name": "CHA_PERFCOUNTER2_LO",
7419 "type_ref": "CB_PERFCOUNTER0_LO"
7420 },
7421 {
7422 "chips": ["gfx10"],
7423 "map": {"at": 227212, "to": "mm"},
7424 "name": "CHA_PERFCOUNTER2_SELECT",
7425 "type_ref": "CHA_PERFCOUNTER1_SELECT"
7426 },
7427 {
7428 "chips": ["gfx10"],
7429 "map": {"at": 219164, "to": "mm"},
7430 "name": "CHA_PERFCOUNTER3_HI",
7431 "type_ref": "CB_PERFCOUNTER0_HI"
7432 },
7433 {
7434 "chips": ["gfx10"],
7435 "map": {"at": 219160, "to": "mm"},
7436 "name": "CHA_PERFCOUNTER3_LO",
7437 "type_ref": "CB_PERFCOUNTER0_LO"
7438 },
7439 {
7440 "chips": ["gfx10"],
7441 "map": {"at": 227216, "to": "mm"},
7442 "name": "CHA_PERFCOUNTER3_SELECT",
7443 "type_ref": "CHA_PERFCOUNTER1_SELECT"
7444 },
7445 {
7446 "chips": ["gfx10"],
7447 "map": {"at": 216868, "to": "mm"},
7448 "name": "CHCG_PERFCOUNTER0_HI",
7449 "type_ref": "CB_PERFCOUNTER0_HI"
7450 },
7451 {
7452 "chips": ["gfx10"],
7453 "map": {"at": 216864, "to": "mm"},
7454 "name": "CHCG_PERFCOUNTER0_LO",
7455 "type_ref": "CB_PERFCOUNTER0_LO"
7456 },
7457 {
7458 "chips": ["gfx10"],
7459 "map": {"at": 225048, "to": "mm"},
7460 "name": "CHCG_PERFCOUNTER0_SELECT",
7461 "type_ref": "CHCG_PERFCOUNTER0_SELECT"
7462 },
7463 {
7464 "chips": ["gfx10"],
7465 "map": {"at": 225052, "to": "mm"},
7466 "name": "CHCG_PERFCOUNTER0_SELECT1",
7467 "type_ref": "CHCG_PERFCOUNTER0_SELECT1"
7468 },
7469 {
7470 "chips": ["gfx10"],
7471 "map": {"at": 216876, "to": "mm"},
7472 "name": "CHCG_PERFCOUNTER1_HI",
7473 "type_ref": "CB_PERFCOUNTER0_HI"
7474 },
7475 {
7476 "chips": ["gfx10"],
7477 "map": {"at": 216872, "to": "mm"},
7478 "name": "CHCG_PERFCOUNTER1_LO",
7479 "type_ref": "CB_PERFCOUNTER0_LO"
7480 },
7481 {
7482 "chips": ["gfx10"],
7483 "map": {"at": 225056, "to": "mm"},
7484 "name": "CHCG_PERFCOUNTER1_SELECT",
7485 "type_ref": "CHCG_PERFCOUNTER1_SELECT"
7486 },
7487 {
7488 "chips": ["gfx10"],
7489 "map": {"at": 216884, "to": "mm"},
7490 "name": "CHCG_PERFCOUNTER2_HI",
7491 "type_ref": "CB_PERFCOUNTER0_HI"
7492 },
7493 {
7494 "chips": ["gfx10"],
7495 "map": {"at": 216880, "to": "mm"},
7496 "name": "CHCG_PERFCOUNTER2_LO",
7497 "type_ref": "CB_PERFCOUNTER0_LO"
7498 },
7499 {
7500 "chips": ["gfx10"],
7501 "map": {"at": 225060, "to": "mm"},
7502 "name": "CHCG_PERFCOUNTER2_SELECT",
7503 "type_ref": "CHCG_PERFCOUNTER1_SELECT"
7504 },
7505 {
7506 "chips": ["gfx10"],
7507 "map": {"at": 216892, "to": "mm"},
7508 "name": "CHCG_PERFCOUNTER3_HI",
7509 "type_ref": "CB_PERFCOUNTER0_HI"
7510 },
7511 {
7512 "chips": ["gfx10"],
7513 "map": {"at": 216888, "to": "mm"},
7514 "name": "CHCG_PERFCOUNTER3_LO",
7515 "type_ref": "CB_PERFCOUNTER0_LO"
7516 },
7517 {
7518 "chips": ["gfx10"],
7519 "map": {"at": 225064, "to": "mm"},
7520 "name": "CHCG_PERFCOUNTER3_SELECT",
7521 "type_ref": "CHCG_PERFCOUNTER1_SELECT"
7522 },
7523 {
7524 "chips": ["gfx10"],
7525 "map": {"at": 216836, "to": "mm"},
7526 "name": "CHC_PERFCOUNTER0_HI",
7527 "type_ref": "CB_PERFCOUNTER0_HI"
7528 },
7529 {
7530 "chips": ["gfx10"],
7531 "map": {"at": 216832, "to": "mm"},
7532 "name": "CHC_PERFCOUNTER0_LO",
7533 "type_ref": "CB_PERFCOUNTER0_LO"
7534 },
7535 {
7536 "chips": ["gfx10"],
7537 "map": {"at": 225024, "to": "mm"},
7538 "name": "CHC_PERFCOUNTER0_SELECT",
7539 "type_ref": "CHC_PERFCOUNTER0_SELECT"
7540 },
7541 {
7542 "chips": ["gfx10"],
7543 "map": {"at": 225028, "to": "mm"},
7544 "name": "CHC_PERFCOUNTER0_SELECT1",
7545 "type_ref": "CHC_PERFCOUNTER0_SELECT1"
7546 },
7547 {
7548 "chips": ["gfx10"],
7549 "map": {"at": 216844, "to": "mm"},
7550 "name": "CHC_PERFCOUNTER1_HI",
7551 "type_ref": "CB_PERFCOUNTER0_HI"
7552 },
7553 {
7554 "chips": ["gfx10"],
7555 "map": {"at": 216840, "to": "mm"},
7556 "name": "CHC_PERFCOUNTER1_LO",
7557 "type_ref": "CB_PERFCOUNTER0_LO"
7558 },
7559 {
7560 "chips": ["gfx10"],
7561 "map": {"at": 225032, "to": "mm"},
7562 "name": "CHC_PERFCOUNTER1_SELECT",
7563 "type_ref": "CHC_PERFCOUNTER1_SELECT"
7564 },
7565 {
7566 "chips": ["gfx10"],
7567 "map": {"at": 216852, "to": "mm"},
7568 "name": "CHC_PERFCOUNTER2_HI",
7569 "type_ref": "CB_PERFCOUNTER0_HI"
7570 },
7571 {
7572 "chips": ["gfx10"],
7573 "map": {"at": 216848, "to": "mm"},
7574 "name": "CHC_PERFCOUNTER2_LO",
7575 "type_ref": "CB_PERFCOUNTER0_LO"
7576 },
7577 {
7578 "chips": ["gfx10"],
7579 "map": {"at": 225036, "to": "mm"},
7580 "name": "CHC_PERFCOUNTER2_SELECT",
7581 "type_ref": "CHC_PERFCOUNTER1_SELECT"
7582 },
7583 {
7584 "chips": ["gfx10"],
7585 "map": {"at": 216860, "to": "mm"},
7586 "name": "CHC_PERFCOUNTER3_HI",
7587 "type_ref": "CB_PERFCOUNTER0_HI"
7588 },
7589 {
7590 "chips": ["gfx10"],
7591 "map": {"at": 216856, "to": "mm"},
7592 "name": "CHC_PERFCOUNTER3_LO",
7593 "type_ref": "CB_PERFCOUNTER0_LO"
7594 },
7595 {
7596 "chips": ["gfx10"],
7597 "map": {"at": 225040, "to": "mm"},
7598 "name": "CHC_PERFCOUNTER3_SELECT",
7599 "type_ref": "CHC_PERFCOUNTER1_SELECT"
7600 },
7601 {
7602 "chips": ["gfx10"],
7603 "map": {"at": 164424, "to": "mm"},
7604 "name": "COHER_DEST_BASE_0",
7605 "type_ref": "COHER_DEST_BASE_0"
7606 },
7607 {
7608 "chips": ["gfx10"],
7609 "map": {"at": 164428, "to": "mm"},
7610 "name": "COHER_DEST_BASE_1",
7611 "type_ref": "COHER_DEST_BASE_0"
7612 },
7613 {
7614 "chips": ["gfx10"],
7615 "map": {"at": 164344, "to": "mm"},
7616 "name": "COHER_DEST_BASE_2",
7617 "type_ref": "COHER_DEST_BASE_0"
7618 },
7619 {
7620 "chips": ["gfx10"],
7621 "map": {"at": 164348, "to": "mm"},
7622 "name": "COHER_DEST_BASE_3",
7623 "type_ref": "COHER_DEST_BASE_0"
7624 },
7625 {
7626 "chips": ["gfx10"],
7627 "map": {"at": 164328, "to": "mm"},
7628 "name": "COHER_DEST_BASE_HI_0",
7629 "type_ref": "COHER_DEST_BASE_HI_0"
7630 },
7631 {
7632 "chips": ["gfx10"],
7633 "map": {"at": 164332, "to": "mm"},
7634 "name": "COHER_DEST_BASE_HI_1",
7635 "type_ref": "COHER_DEST_BASE_HI_0"
7636 },
7637 {
7638 "chips": ["gfx10"],
7639 "map": {"at": 164336, "to": "mm"},
7640 "name": "COHER_DEST_BASE_HI_2",
7641 "type_ref": "COHER_DEST_BASE_HI_0"
7642 },
7643 {
7644 "chips": ["gfx10"],
7645 "map": {"at": 164340, "to": "mm"},
7646 "name": "COHER_DEST_BASE_HI_3",
7647 "type_ref": "COHER_DEST_BASE_HI_0"
7648 },
7649 {
7650 "chips": ["gfx10"],
7651 "map": {"at": 47268, "to": "mm"},
7652 "name": "COMPUTE_DDID_INDEX",
7653 "type_ref": "COMPUTE_DDID_INDEX"
7654 },
7655 {
7656 "chips": ["gfx10"],
7657 "map": {"at": 47192, "overlap": true, "to": "mm"},
7658 "name": "COMPUTE_DESTINATION_EN_SE0",
7659 "type_ref": "COMPUTE_DESTINATION_EN_SE0"
7660 },
7661 {
7662 "chips": ["gfx10"],
7663 "map": {"at": 47196, "overlap": true, "to": "mm"},
7664 "name": "COMPUTE_DESTINATION_EN_SE1",
7665 "type_ref": "COMPUTE_DESTINATION_EN_SE0"
7666 },
7667 {
7668 "chips": ["gfx10"],
7669 "map": {"at": 47204, "overlap": true, "to": "mm"},
7670 "name": "COMPUTE_DESTINATION_EN_SE2",
7671 "type_ref": "COMPUTE_DESTINATION_EN_SE0"
7672 },
7673 {
7674 "chips": ["gfx10"],
7675 "map": {"at": 47208, "overlap": true, "to": "mm"},
7676 "name": "COMPUTE_DESTINATION_EN_SE3",
7677 "type_ref": "COMPUTE_DESTINATION_EN_SE0"
7678 },
7679 {
7680 "chips": ["gfx10"],
7681 "map": {"at": 47108, "to": "mm"},
7682 "name": "COMPUTE_DIM_X",
7683 "type_ref": "COMPUTE_DIM_X"
7684 },
7685 {
7686 "chips": ["gfx10"],
7687 "map": {"at": 47112, "to": "mm"},
7688 "name": "COMPUTE_DIM_Y",
7689 "type_ref": "COMPUTE_DIM_X"
7690 },
7691 {
7692 "chips": ["gfx10"],
7693 "map": {"at": 47116, "to": "mm"},
7694 "name": "COMPUTE_DIM_Z",
7695 "type_ref": "COMPUTE_DIM_X"
7696 },
7697 {
7698 "chips": ["gfx10"],
7699 "map": {"at": 47608, "to": "mm"},
7700 "name": "COMPUTE_DISPATCH_END",
7701 "type_ref": "COMPUTE_PGM_LO"
7702 },
7703 {
7704 "chips": ["gfx10"],
7705 "map": {"at": 47232, "to": "mm"},
7706 "name": "COMPUTE_DISPATCH_ID",
7707 "type_ref": "COMPUTE_DISPATCH_ID"
7708 },
7709 {
7710 "chips": ["gfx10"],
7711 "map": {"at": 47104, "to": "mm"},
7712 "name": "COMPUTE_DISPATCH_INITIATOR",
7713 "type_ref": "COMPUTE_DISPATCH_INITIATOR"
7714 },
7715 {
7716 "chips": ["gfx10"],
7717 "map": {"at": 47164, "to": "mm"},
7718 "name": "COMPUTE_DISPATCH_PKT_ADDR_HI",
7719 "type_ref": "COMPUTE_PGM_HI"
7720 },
7721 {
7722 "chips": ["gfx10"],
7723 "map": {"at": 47160, "to": "mm"},
7724 "name": "COMPUTE_DISPATCH_PKT_ADDR_LO",
7725 "type_ref": "COMPUTE_PGM_LO"
7726 },
7727 {
7728 "chips": ["gfx10"],
7729 "map": {"at": 47172, "to": "mm"},
7730 "name": "COMPUTE_DISPATCH_SCRATCH_BASE_HI",
7731 "type_ref": "COMPUTE_PGM_HI"
7732 },
7733 {
7734 "chips": ["gfx10"],
7735 "map": {"at": 47168, "to": "mm"},
7736 "name": "COMPUTE_DISPATCH_SCRATCH_BASE_LO",
7737 "type_ref": "COMPUTE_PGM_LO"
7738 },
7739 {
7740 "chips": ["gfx10"],
7741 "map": {"at": 47604, "to": "mm"},
7742 "name": "COMPUTE_DISPATCH_TUNNEL",
7743 "type_ref": "COMPUTE_DISPATCH_TUNNEL"
7744 },
7745 {
7746 "chips": ["gfx10"],
7747 "map": {"at": 47228, "to": "mm"},
7748 "name": "COMPUTE_MISC_RESERVED",
7749 "type_ref": "COMPUTE_MISC_RESERVED"
7750 },
7751 {
7752 "chips": ["gfx10"],
7753 "map": {"at": 47612, "to": "mm"},
7754 "name": "COMPUTE_NOWHERE",
7755 "type_ref": "COMPUTE_PGM_LO"
7756 },
7757 {
7758 "chips": ["gfx10"],
7759 "map": {"at": 47132, "to": "mm"},
7760 "name": "COMPUTE_NUM_THREAD_X",
7761 "type_ref": "COMPUTE_NUM_THREAD_X"
7762 },
7763 {
7764 "chips": ["gfx10"],
7765 "map": {"at": 47136, "to": "mm"},
7766 "name": "COMPUTE_NUM_THREAD_Y",
7767 "type_ref": "COMPUTE_NUM_THREAD_X"
7768 },
7769 {
7770 "chips": ["gfx10"],
7771 "map": {"at": 47140, "to": "mm"},
7772 "name": "COMPUTE_NUM_THREAD_Z",
7773 "type_ref": "COMPUTE_NUM_THREAD_X"
7774 },
7775 {
7776 "chips": ["gfx10"],
7777 "map": {"at": 47148, "to": "mm"},
7778 "name": "COMPUTE_PERFCOUNT_ENABLE",
7779 "type_ref": "COMPUTE_PERFCOUNT_ENABLE"
7780 },
7781 {
7782 "chips": ["gfx10"],
7783 "map": {"at": 47156, "to": "mm"},
7784 "name": "COMPUTE_PGM_HI",
7785 "type_ref": "COMPUTE_PGM_HI"
7786 },
7787 {
7788 "chips": ["gfx10"],
7789 "map": {"at": 47152, "to": "mm"},
7790 "name": "COMPUTE_PGM_LO",
7791 "type_ref": "COMPUTE_PGM_LO"
7792 },
7793 {
7794 "chips": ["gfx10"],
7795 "map": {"at": 47176, "to": "mm"},
7796 "name": "COMPUTE_PGM_RSRC1",
7797 "type_ref": "COMPUTE_PGM_RSRC1"
7798 },
7799 {
7800 "chips": ["gfx10"],
7801 "map": {"at": 47180, "to": "mm"},
7802 "name": "COMPUTE_PGM_RSRC2",
7803 "type_ref": "COMPUTE_PGM_RSRC2"
7804 },
7805 {
7806 "chips": ["gfx10"],
7807 "map": {"at": 47264, "to": "mm"},
7808 "name": "COMPUTE_PGM_RSRC3",
7809 "type_ref": "COMPUTE_PGM_RSRC3"
7810 },
7811 {
7812 "chips": ["gfx10"],
7813 "map": {"at": 47144, "to": "mm"},
7814 "name": "COMPUTE_PIPELINESTAT_ENABLE",
7815 "type_ref": "COMPUTE_PIPELINESTAT_ENABLE"
7816 },
7817 {
7818 "chips": ["gfx10"],
7819 "map": {"at": 47248, "to": "mm"},
7820 "name": "COMPUTE_USER_ACCUM_0",
7821 "type_ref": "COMPUTE_USER_ACCUM_0"
7822 },
7823 {
7824 "chips": ["gfx10"],
7825 "map": {"at": 47252, "to": "mm"},
7826 "name": "COMPUTE_USER_ACCUM_1",
7827 "type_ref": "COMPUTE_USER_ACCUM_0"
7828 },
7829 {
7830 "chips": ["gfx10"],
7831 "map": {"at": 47256, "to": "mm"},
7832 "name": "COMPUTE_USER_ACCUM_2",
7833 "type_ref": "COMPUTE_USER_ACCUM_0"
7834 },
7835 {
7836 "chips": ["gfx10"],
7837 "map": {"at": 47260, "to": "mm"},
7838 "name": "COMPUTE_USER_ACCUM_3",
7839 "type_ref": "COMPUTE_USER_ACCUM_0"
7840 },
7841 {
7842 "chips": ["gfx10"],
7843 "map": {"at": 47244, "to": "mm"},
7844 "name": "COMPUTE_PREF_PRI_CNTR_CTRL",
7845 "type_ref": "COMPUTE_PREF_PRI_CNTR_CTRL"
7846 },
7847 {
7848 "chips": ["gfx10"],
7849 "map": {"at": 47276, "to": "mm"},
7850 "name": "COMPUTE_RELAUNCH",
7851 "type_ref": "COMPUTE_RELAUNCH"
7852 },
7853 {
7854 "chips": ["gfx10"],
7855 "map": {"at": 47240, "to": "mm"},
7856 "name": "COMPUTE_REQ_CTRL",
7857 "type_ref": "COMPUTE_REQ_CTRL"
7858 },
7859 {
7860 "chips": ["gfx10"],
7861 "map": {"at": 47188, "to": "mm"},
7862 "name": "COMPUTE_RESOURCE_LIMITS",
7863 "type_ref": "COMPUTE_RESOURCE_LIMITS"
7864 },
7865 {
7866 "chips": ["gfx10"],
7867 "map": {"at": 47212, "to": "mm"},
7868 "name": "COMPUTE_RESTART_X",
7869 "type_ref": "COMPUTE_RESTART_X"
7870 },
7871 {
7872 "chips": ["gfx10"],
7873 "map": {"at": 47216, "to": "mm"},
7874 "name": "COMPUTE_RESTART_Y",
7875 "type_ref": "COMPUTE_RESTART_X"
7876 },
7877 {
7878 "chips": ["gfx10"],
7879 "map": {"at": 47220, "to": "mm"},
7880 "name": "COMPUTE_RESTART_Z",
7881 "type_ref": "COMPUTE_RESTART_X"
7882 },
7883 {
7884 "chips": ["gfx10"],
7885 "map": {"at": 47272, "to": "mm"},
7886 "name": "COMPUTE_SHADER_CHKSUM",
7887 "type_ref": "COMPUTE_SHADER_CHKSUM"
7888 },
7889 {
7890 "chips": ["gfx10"],
7891 "map": {"at": 47120, "to": "mm"},
7892 "name": "COMPUTE_START_X",
7893 "type_ref": "COMPUTE_START_X"
7894 },
7895 {
7896 "chips": ["gfx10"],
7897 "map": {"at": 47124, "to": "mm"},
7898 "name": "COMPUTE_START_Y",
7899 "type_ref": "COMPUTE_START_X"
7900 },
7901 {
7902 "chips": ["gfx10"],
7903 "map": {"at": 47128, "to": "mm"},
7904 "name": "COMPUTE_START_Z",
7905 "type_ref": "COMPUTE_START_X"
7906 },
7907 {
7908 "chips": ["gfx10"],
7909 "map": {"at": 47236, "to": "mm"},
7910 "name": "COMPUTE_THREADGROUP_ID",
7911 "type_ref": "COMPUTE_THREADGROUP_ID"
7912 },
7913 {
7914 "chips": ["gfx10"],
7915 "map": {"at": 47224, "to": "mm"},
7916 "name": "COMPUTE_THREAD_TRACE_ENABLE",
7917 "type_ref": "COMPUTE_THREAD_TRACE_ENABLE"
7918 },
7919 {
7920 "chips": ["gfx10"],
7921 "map": {"at": 47200, "to": "mm"},
7922 "name": "COMPUTE_TMPRING_SIZE",
7923 "type_ref": "COMPUTE_TMPRING_SIZE"
7924 },
7925 {
7926 "chips": ["gfx10"],
7927 "map": {"at": 47360, "to": "mm"},
7928 "name": "COMPUTE_USER_DATA_0",
7929 "type_ref": "COMPUTE_PGM_LO"
7930 },
7931 {
7932 "chips": ["gfx10"],
7933 "map": {"at": 47364, "to": "mm"},
7934 "name": "COMPUTE_USER_DATA_1",
7935 "type_ref": "COMPUTE_PGM_LO"
7936 },
7937 {
7938 "chips": ["gfx10"],
7939 "map": {"at": 47400, "to": "mm"},
7940 "name": "COMPUTE_USER_DATA_10",
7941 "type_ref": "COMPUTE_PGM_LO"
7942 },
7943 {
7944 "chips": ["gfx10"],
7945 "map": {"at": 47404, "to": "mm"},
7946 "name": "COMPUTE_USER_DATA_11",
7947 "type_ref": "COMPUTE_PGM_LO"
7948 },
7949 {
7950 "chips": ["gfx10"],
7951 "map": {"at": 47408, "to": "mm"},
7952 "name": "COMPUTE_USER_DATA_12",
7953 "type_ref": "COMPUTE_PGM_LO"
7954 },
7955 {
7956 "chips": ["gfx10"],
7957 "map": {"at": 47412, "to": "mm"},
7958 "name": "COMPUTE_USER_DATA_13",
7959 "type_ref": "COMPUTE_PGM_LO"
7960 },
7961 {
7962 "chips": ["gfx10"],
7963 "map": {"at": 47416, "to": "mm"},
7964 "name": "COMPUTE_USER_DATA_14",
7965 "type_ref": "COMPUTE_PGM_LO"
7966 },
7967 {
7968 "chips": ["gfx10"],
7969 "map": {"at": 47420, "to": "mm"},
7970 "name": "COMPUTE_USER_DATA_15",
7971 "type_ref": "COMPUTE_PGM_LO"
7972 },
7973 {
7974 "chips": ["gfx10"],
7975 "map": {"at": 47368, "to": "mm"},
7976 "name": "COMPUTE_USER_DATA_2",
7977 "type_ref": "COMPUTE_PGM_LO"
7978 },
7979 {
7980 "chips": ["gfx10"],
7981 "map": {"at": 47372, "to": "mm"},
7982 "name": "COMPUTE_USER_DATA_3",
7983 "type_ref": "COMPUTE_PGM_LO"
7984 },
7985 {
7986 "chips": ["gfx10"],
7987 "map": {"at": 47376, "to": "mm"},
7988 "name": "COMPUTE_USER_DATA_4",
7989 "type_ref": "COMPUTE_PGM_LO"
7990 },
7991 {
7992 "chips": ["gfx10"],
7993 "map": {"at": 47380, "to": "mm"},
7994 "name": "COMPUTE_USER_DATA_5",
7995 "type_ref": "COMPUTE_PGM_LO"
7996 },
7997 {
7998 "chips": ["gfx10"],
7999 "map": {"at": 47384, "to": "mm"},
8000 "name": "COMPUTE_USER_DATA_6",
8001 "type_ref": "COMPUTE_PGM_LO"
8002 },
8003 {
8004 "chips": ["gfx10"],
8005 "map": {"at": 47388, "to": "mm"},
8006 "name": "COMPUTE_USER_DATA_7",
8007 "type_ref": "COMPUTE_PGM_LO"
8008 },
8009 {
8010 "chips": ["gfx10"],
8011 "map": {"at": 47392, "to": "mm"},
8012 "name": "COMPUTE_USER_DATA_8",
8013 "type_ref": "COMPUTE_PGM_LO"
8014 },
8015 {
8016 "chips": ["gfx10"],
8017 "map": {"at": 47396, "to": "mm"},
8018 "name": "COMPUTE_USER_DATA_9",
8019 "type_ref": "COMPUTE_PGM_LO"
8020 },
8021 {
8022 "chips": ["gfx10"],
8023 "map": {"at": 47184, "to": "mm"},
8024 "name": "COMPUTE_VMID",
8025 "type_ref": "COMPUTE_VMID"
8026 },
8027 {
8028 "chips": ["gfx10"],
8029 "map": {"at": 47284, "to": "mm"},
8030 "name": "COMPUTE_WAVE_RESTORE_ADDR_HI",
8031 "type_ref": "COMPUTE_WAVE_RESTORE_ADDR_HI"
8032 },
8033 {
8034 "chips": ["gfx10"],
8035 "map": {"at": 47280, "to": "mm"},
8036 "name": "COMPUTE_WAVE_RESTORE_ADDR_LO",
8037 "type_ref": "COMPUTE_WAVE_RESTORE_ADDR_LO"
8038 },
8039 {
8040 "chips": ["gfx10"],
8041 "map": {"at": 213048, "to": "mm"},
8042 "name": "CPC_LATENCY_STATS_DATA",
8043 "type_ref": "COMPUTE_PGM_LO"
8044 },
8045 {
8046 "chips": ["gfx10"],
8047 "map": {"at": 221240, "to": "mm"},
8048 "name": "CPC_LATENCY_STATS_SELECT",
8049 "type_ref": "CPC_LATENCY_STATS_SELECT"
8050 },
8051 {
8052 "chips": ["gfx10"],
8053 "map": {"at": 213020, "to": "mm"},
8054 "name": "CPC_PERFCOUNTER0_HI",
8055 "type_ref": "CB_PERFCOUNTER0_HI"
8056 },
8057 {
8058 "chips": ["gfx10"],
8059 "map": {"at": 213016, "to": "mm"},
8060 "name": "CPC_PERFCOUNTER0_LO",
8061 "type_ref": "CB_PERFCOUNTER0_LO"
8062 },
8063 {
8064 "chips": ["gfx10"],
8065 "map": {"at": 221220, "to": "mm"},
8066 "name": "CPC_PERFCOUNTER0_SELECT",
8067 "type_ref": "CPC_PERFCOUNTER1_SELECT"
8068 },
8069 {
8070 "chips": ["gfx10"],
8071 "map": {"at": 221200, "to": "mm"},
8072 "name": "CPC_PERFCOUNTER0_SELECT1",
8073 "type_ref": "CPC_PERFCOUNTER0_SELECT1"
8074 },
8075 {
8076 "chips": ["gfx10"],
8077 "map": {"at": 213012, "to": "mm"},
8078 "name": "CPC_PERFCOUNTER1_HI",
8079 "type_ref": "CB_PERFCOUNTER0_HI"
8080 },
8081 {
8082 "chips": ["gfx10"],
8083 "map": {"at": 213008, "to": "mm"},
8084 "name": "CPC_PERFCOUNTER1_LO",
8085 "type_ref": "CB_PERFCOUNTER0_LO"
8086 },
8087 {
8088 "chips": ["gfx10"],
8089 "map": {"at": 221196, "to": "mm"},
8090 "name": "CPC_PERFCOUNTER1_SELECT",
8091 "type_ref": "CPC_PERFCOUNTER1_SELECT"
8092 },
8093 {
8094 "chips": ["gfx10"],
8095 "map": {"at": 213040, "to": "mm"},
8096 "name": "CPF_LATENCY_STATS_DATA",
8097 "type_ref": "COMPUTE_PGM_LO"
8098 },
8099 {
8100 "chips": ["gfx10"],
8101 "map": {"at": 221232, "to": "mm"},
8102 "name": "CPF_LATENCY_STATS_SELECT",
8103 "type_ref": "CPF_LATENCY_STATS_SELECT"
8104 },
8105 {
8106 "chips": ["gfx10"],
8107 "map": {"at": 213036, "to": "mm"},
8108 "name": "CPF_PERFCOUNTER0_HI",
8109 "type_ref": "CB_PERFCOUNTER0_HI"
8110 },
8111 {
8112 "chips": ["gfx10"],
8113 "map": {"at": 213032, "to": "mm"},
8114 "name": "CPF_PERFCOUNTER0_LO",
8115 "type_ref": "CB_PERFCOUNTER0_LO"
8116 },
8117 {
8118 "chips": ["gfx10"],
8119 "map": {"at": 221212, "to": "mm"},
8120 "name": "CPF_PERFCOUNTER0_SELECT",
8121 "type_ref": "CPF_PERFCOUNTER1_SELECT"
8122 },
8123 {
8124 "chips": ["gfx10"],
8125 "map": {"at": 221208, "to": "mm"},
8126 "name": "CPF_PERFCOUNTER0_SELECT1",
8127 "type_ref": "CPF_PERFCOUNTER0_SELECT1"
8128 },
8129 {
8130 "chips": ["gfx10"],
8131 "map": {"at": 213028, "to": "mm"},
8132 "name": "CPF_PERFCOUNTER1_HI",
8133 "type_ref": "CB_PERFCOUNTER0_HI"
8134 },
8135 {
8136 "chips": ["gfx10"],
8137 "map": {"at": 213024, "to": "mm"},
8138 "name": "CPF_PERFCOUNTER1_LO",
8139 "type_ref": "CB_PERFCOUNTER0_LO"
8140 },
8141 {
8142 "chips": ["gfx10"],
8143 "map": {"at": 221204, "to": "mm"},
8144 "name": "CPF_PERFCOUNTER1_SELECT",
8145 "type_ref": "CPF_PERFCOUNTER1_SELECT"
8146 },
8147 {
8148 "chips": ["gfx10"],
8149 "map": {"at": 221224, "to": "mm"},
8150 "name": "CPF_TC_PERF_COUNTER_WINDOW_SELECT",
8151 "type_ref": "CPF_TC_PERF_COUNTER_WINDOW_SELECT"
8152 },
8153 {
8154 "chips": ["gfx10"],
8155 "map": {"at": 213044, "to": "mm"},
8156 "name": "CPG_LATENCY_STATS_DATA",
8157 "type_ref": "COMPUTE_PGM_LO"
8158 },
8159 {
8160 "chips": ["gfx10"],
8161 "map": {"at": 221236, "to": "mm"},
8162 "name": "CPG_LATENCY_STATS_SELECT",
8163 "type_ref": "CPG_LATENCY_STATS_SELECT"
8164 },
8165 {
8166 "chips": ["gfx10"],
8167 "map": {"at": 213004, "to": "mm"},
8168 "name": "CPG_PERFCOUNTER0_HI",
8169 "type_ref": "CB_PERFCOUNTER0_HI"
8170 },
8171 {
8172 "chips": ["gfx10"],
8173 "map": {"at": 213000, "to": "mm"},
8174 "name": "CPG_PERFCOUNTER0_LO",
8175 "type_ref": "CB_PERFCOUNTER0_LO"
8176 },
8177 {
8178 "chips": ["gfx10"],
8179 "map": {"at": 221192, "to": "mm"},
8180 "name": "CPG_PERFCOUNTER0_SELECT",
8181 "type_ref": "CPG_PERFCOUNTER1_SELECT"
8182 },
8183 {
8184 "chips": ["gfx10"],
8185 "map": {"at": 221188, "to": "mm"},
8186 "name": "CPG_PERFCOUNTER0_SELECT1",
8187 "type_ref": "CPG_PERFCOUNTER0_SELECT1"
8188 },
8189 {
8190 "chips": ["gfx10"],
8191 "map": {"at": 212996, "to": "mm"},
8192 "name": "CPG_PERFCOUNTER1_HI",
8193 "type_ref": "CB_PERFCOUNTER0_HI"
8194 },
8195 {
8196 "chips": ["gfx10"],
8197 "map": {"at": 212992, "to": "mm"},
8198 "name": "CPG_PERFCOUNTER1_LO",
8199 "type_ref": "CB_PERFCOUNTER0_LO"
8200 },
8201 {
8202 "chips": ["gfx10"],
8203 "map": {"at": 221184, "to": "mm"},
8204 "name": "CPG_PERFCOUNTER1_SELECT",
8205 "type_ref": "CPG_PERFCOUNTER1_SELECT"
8206 },
8207 {
8208 "chips": ["gfx10"],
8209 "map": {"at": 221228, "to": "mm"},
8210 "name": "CPG_TC_PERF_COUNTER_WINDOW_SELECT",
8211 "type_ref": "CPG_TC_PERF_COUNTER_WINDOW_SELECT"
8212 },
8213 {
8214 "chips": ["gfx10"],
8215 "map": {"at": 196964, "to": "mm"},
8216 "name": "CP_APPEND_ADDR_HI",
8217 "type_ref": "CP_APPEND_ADDR_HI"
8218 },
8219 {
8220 "chips": ["gfx10"],
8221 "map": {"at": 196960, "to": "mm"},
8222 "name": "CP_APPEND_ADDR_LO",
8223 "type_ref": "CP_APPEND_ADDR_LO"
8224 },
8225 {
8226 "chips": ["gfx10"],
8227 "map": {"at": 197252, "to": "mm"},
8228 "name": "CP_APPEND_CMD_ADDR_HI",
8229 "type_ref": "CP_GFX_HPD_OSPRE_FENCE_ADDR_HI"
8230 },
8231 {
8232 "chips": ["gfx10"],
8233 "map": {"at": 197248, "to": "mm"},
8234 "name": "CP_APPEND_CMD_ADDR_LO",
8235 "type_ref": "CP_DMA_ME_CMD_ADDR_LO"
8236 },
8237 {
8238 "chips": ["gfx10"],
8239 "map": {"at": 196912, "to": "mm"},
8240 "name": "CP_APPEND_DATA_HI",
8241 "type_ref": "COMPUTE_PGM_LO"
8242 },
8243 {
8244 "chips": ["gfx10"],
8245 "map": {"at": 196908, "to": "mm"},
8246 "name": "CP_APPEND_DDID_CNT",
8247 "type_ref": "COMPUTE_PGM_HI"
8248 },
8249 {
8250 "chips": ["gfx10"],
8251 "map": {"at": 196916, "to": "mm"},
8252 "name": "CP_APPEND_LAST_CS_FENCE_HI",
8253 "type_ref": "CP_APPEND_LAST_CS_FENCE_HI"
8254 },
8255 {
8256 "chips": ["gfx10"],
8257 "map": {"at": 196920, "to": "mm"},
8258 "name": "CP_APPEND_LAST_PS_FENCE_HI",
8259 "type_ref": "CP_APPEND_LAST_CS_FENCE_HI"
8260 },
8261 {
8262 "chips": ["gfx10"],
8263 "map": {"at": 197556, "to": "mm"},
8264 "name": "CP_CE_COMPLETION_STATUS",
8265 "type_ref": "CP_PFP_COMPLETION_STATUS"
8266 },
8267 {
8268 "chips": ["gfx10"],
8269 "map": {"at": 197224, "to": "mm"},
8270 "name": "CP_CE_COUNTER",
8271 "type_ref": "CP_DE_CE_COUNT"
8272 },
8273 {
8274 "chips": ["gfx10"],
8275 "map": {"at": 197492, "to": "mm"},
8276 "name": "CP_CE_DB_BASE_HI",
8277 "type_ref": "CP_DB_BASE_HI"
8278 },
8279 {
8280 "chips": ["gfx10"],
8281 "map": {"at": 197488, "to": "mm"},
8282 "name": "CP_CE_DB_BASE_LO",
8283 "type_ref": "CP_DB_BASE_LO"
8284 },
8285 {
8286 "chips": ["gfx10"],
8287 "map": {"at": 197496, "to": "mm"},
8288 "name": "CP_CE_DB_BUFSZ",
8289 "type_ref": "CP_DB_BUFSZ"
8290 },
8291 {
8292 "chips": ["gfx10"],
8293 "map": {"at": 197500, "to": "mm"},
8294 "name": "CP_CE_DB_CMD_BUFSZ",
8295 "type_ref": "CP_DB_CMD_BUFSZ"
8296 },
8297 {
8298 "chips": ["gfx10"],
8299 "map": {"at": 197404, "to": "mm"},
8300 "name": "CP_CE_IB1_BASE_HI",
8301 "type_ref": "CP_CE_IB1_BASE_HI"
8302 },
8303 {
8304 "chips": ["gfx10"],
8305 "map": {"at": 197400, "to": "mm"},
8306 "name": "CP_CE_IB1_BASE_LO",
8307 "type_ref": "CP_CE_IB1_BASE_LO"
8308 },
8309 {
8310 "chips": ["gfx10"],
8311 "map": {"at": 197408, "to": "mm"},
8312 "name": "CP_CE_IB1_BUFSZ",
8313 "type_ref": "CP_CE_IB1_BUFSZ"
8314 },
8315 {
8316 "chips": ["gfx10"],
8317 "map": {"at": 197368, "to": "mm"},
8318 "name": "CP_CE_IB1_CMD_BUFSZ",
8319 "type_ref": "CP_CE_IB1_CMD_BUFSZ"
8320 },
8321 {
8322 "chips": ["gfx10"],
8323 "map": {"at": 197216, "to": "mm"},
8324 "name": "CP_CE_IB1_OFFSET",
8325 "type_ref": "CP_IB1_OFFSET"
8326 },
8327 {
8328 "chips": ["gfx10"],
8329 "map": {"at": 197416, "to": "mm"},
8330 "name": "CP_CE_IB2_BASE_HI",
8331 "type_ref": "CP_CE_IB2_BASE_HI"
8332 },
8333 {
8334 "chips": ["gfx10"],
8335 "map": {"at": 197412, "to": "mm"},
8336 "name": "CP_CE_IB2_BASE_LO",
8337 "type_ref": "CP_CE_IB2_BASE_LO"
8338 },
8339 {
8340 "chips": ["gfx10"],
8341 "map": {"at": 197420, "to": "mm"},
8342 "name": "CP_CE_IB2_BUFSZ",
8343 "type_ref": "CP_CE_IB2_BUFSZ"
8344 },
8345 {
8346 "chips": ["gfx10"],
8347 "map": {"at": 197372, "to": "mm"},
8348 "name": "CP_CE_IB2_CMD_BUFSZ",
8349 "type_ref": "CP_CE_IB2_CMD_BUFSZ"
8350 },
8351 {
8352 "chips": ["gfx10"],
8353 "map": {"at": 197220, "to": "mm"},
8354 "name": "CP_CE_IB2_OFFSET",
8355 "type_ref": "CP_IB2_OFFSET"
8356 },
8357 {
8358 "chips": ["gfx10"],
8359 "map": {"at": 197392, "to": "mm"},
8360 "name": "CP_CE_INIT_BASE_HI",
8361 "type_ref": "CP_CE_INIT_BASE_HI"
8362 },
8363 {
8364 "chips": ["gfx10"],
8365 "map": {"at": 197388, "to": "mm"},
8366 "name": "CP_CE_INIT_BASE_LO",
8367 "type_ref": "CP_CE_INIT_BASE_LO"
8368 },
8369 {
8370 "chips": ["gfx10"],
8371 "map": {"at": 197396, "to": "mm"},
8372 "name": "CP_CE_INIT_BUFSZ",
8373 "type_ref": "CP_CE_INIT_BUFSZ"
8374 },
8375 {
8376 "chips": ["gfx10"],
8377 "map": {"at": 197364, "to": "mm"},
8378 "name": "CP_CE_INIT_CMD_BUFSZ",
8379 "type_ref": "CP_CE_INIT_CMD_BUFSZ"
8380 },
8381 {
8382 "chips": ["gfx10"],
8383 "map": {"at": 197576, "to": "mm"},
8384 "name": "CP_CE_METADATA_BASE_ADDR",
8385 "type_ref": "CP_MES_MTVEC_HI"
8386 },
8387 {
8388 "chips": ["gfx10"],
8389 "map": {"at": 197580, "to": "mm"},
8390 "name": "CP_CE_METADATA_BASE_ADDR_HI",
8391 "type_ref": "CPC_SUSPEND_CTX_SAVE_BASE_ADDR_HI"
8392 },
8393 {
8394 "chips": ["gfx10"],
8395 "map": {"at": 197112, "to": "mm"},
8396 "name": "CP_COHER_BASE",
8397 "type_ref": "CP_COHER_BASE"
8398 },
8399 {
8400 "chips": ["gfx10"],
8401 "map": {"at": 197092, "to": "mm"},
8402 "name": "CP_COHER_BASE_HI",
8403 "type_ref": "CP_COHER_BASE_HI"
8404 },
8405 {
8406 "chips": ["gfx10"],
8407 "map": {"at": 197104, "to": "mm"},
8408 "name": "CP_COHER_CNTL",
8409 "type_ref": "CP_COHER_CNTL"
8410 },
8411 {
8412 "chips": ["gfx10"],
8413 "map": {"at": 197108, "to": "mm"},
8414 "name": "CP_COHER_SIZE",
8415 "type_ref": "CP_COHER_SIZE"
8416 },
8417 {
8418 "chips": ["gfx10"],
8419 "map": {"at": 197168, "to": "mm"},
8420 "name": "CP_COHER_SIZE_HI",
8421 "type_ref": "CP_COHER_SIZE_HI"
8422 },
8423 {
8424 "chips": ["gfx10"],
8425 "map": {"at": 197100, "to": "mm"},
8426 "name": "CP_COHER_START_DELAY",
8427 "type_ref": "CP_COHER_START_DELAY"
8428 },
8429 {
8430 "chips": ["gfx10"],
8431 "map": {"at": 197116, "to": "mm"},
8432 "name": "CP_COHER_STATUS",
8433 "type_ref": "CP_COHER_STATUS"
8434 },
8435 {
8436 "chips": ["gfx10"],
8437 "map": {"at": 197476, "to": "mm"},
8438 "name": "CP_DB_BASE_HI",
8439 "type_ref": "CP_DB_BASE_HI"
8440 },
8441 {
8442 "chips": ["gfx10"],
8443 "map": {"at": 197472, "to": "mm"},
8444 "name": "CP_DB_BASE_LO",
8445 "type_ref": "CP_DB_BASE_LO"
8446 },
8447 {
8448 "chips": ["gfx10"],
8449 "map": {"at": 197480, "to": "mm"},
8450 "name": "CP_DB_BUFSZ",
8451 "type_ref": "CP_DB_BUFSZ"
8452 },
8453 {
8454 "chips": ["gfx10"],
8455 "map": {"at": 197484, "to": "mm"},
8456 "name": "CP_DB_CMD_BUFSZ",
8457 "type_ref": "CP_DB_CMD_BUFSZ"
8458 },
8459 {
8460 "chips": ["gfx10"],
8461 "map": {"at": 197592, "to": "mm"},
8462 "name": "CP_DISPATCH_INDR_ADDR",
8463 "type_ref": "CP_MES_MTVEC_HI"
8464 },
8465 {
8466 "chips": ["gfx10"],
8467 "map": {"at": 197596, "to": "mm"},
8468 "name": "CP_DISPATCH_INDR_ADDR_HI",
8469 "type_ref": "CPC_SUSPEND_CTX_SAVE_BASE_ADDR_HI"
8470 },
8471 {
8472 "chips": ["gfx10"],
8473 "map": {"at": 197160, "to": "mm"},
8474 "name": "CP_DMA_CNTL",
8475 "type_ref": "CP_DMA_CNTL"
8476 },
8477 {
8478 "chips": ["gfx10"],
8479 "map": {"at": 197236, "to": "mm"},
8480 "name": "CP_DMA_ME_CMD_ADDR_HI",
8481 "type_ref": "CP_GFX_HPD_OSPRE_FENCE_ADDR_HI"
8482 },
8483 {
8484 "chips": ["gfx10"],
8485 "map": {"at": 197232, "to": "mm"},
8486 "name": "CP_DMA_ME_CMD_ADDR_LO",
8487 "type_ref": "CP_DMA_ME_CMD_ADDR_LO"
8488 },
8489 {
8490 "chips": ["gfx10"],
8491 "map": {"at": 197244, "to": "mm"},
8492 "name": "CP_DMA_PFP_CMD_ADDR_HI",
8493 "type_ref": "CP_GFX_HPD_OSPRE_FENCE_ADDR_HI"
8494 },
8495 {
8496 "chips": ["gfx10"],
8497 "map": {"at": 197240, "to": "mm"},
8498 "name": "CP_DMA_PFP_CMD_ADDR_LO",
8499 "type_ref": "CP_DMA_ME_CMD_ADDR_LO"
8500 },
8501 {
8502 "chips": ["gfx10"],
8503 "map": {"at": 197164, "to": "mm"},
8504 "name": "CP_DMA_READ_TAGS",
8505 "type_ref": "CP_DMA_READ_TAGS"
8506 },
8507 {
8508 "chips": ["gfx10"],
8509 "map": {"at": 197584, "to": "mm"},
8510 "name": "CP_DRAW_INDX_INDR_ADDR",
8511 "type_ref": "CP_MES_MTVEC_HI"
8512 },
8513 {
8514 "chips": ["gfx10"],
8515 "map": {"at": 197588, "to": "mm"},
8516 "name": "CP_DRAW_INDX_INDR_ADDR_HI",
8517 "type_ref": "CPC_SUSPEND_CTX_SAVE_BASE_ADDR_HI"
8518 },
8519 {
8520 "chips": ["gfx10"],
8521 "map": {"at": 221248, "to": "mm"},
8522 "name": "CP_DRAW_OBJECT",
8523 "type_ref": "CP_DRAW_OBJECT"
8524 },
8525 {
8526 "chips": ["gfx10"],
8527 "map": {"at": 221252, "to": "mm"},
8528 "name": "CP_DRAW_OBJECT_COUNTER",
8529 "type_ref": "CP_GFX_DDID_INFLIGHT_COUNT"
8530 },
8531 {
8532 "chips": ["gfx10"],
8533 "map": {"at": 221268, "to": "mm"},
8534 "name": "CP_DRAW_WINDOW_CNTL",
8535 "type_ref": "CP_DRAW_WINDOW_CNTL"
8536 },
8537 {
8538 "chips": ["gfx10"],
8539 "map": {"at": 221260, "to": "mm"},
8540 "name": "CP_DRAW_WINDOW_HI",
8541 "type_ref": "CP_DRAW_WINDOW_HI"
8542 },
8543 {
8544 "chips": ["gfx10"],
8545 "map": {"at": 221264, "to": "mm"},
8546 "name": "CP_DRAW_WINDOW_LO",
8547 "type_ref": "CP_DRAW_WINDOW_LO"
8548 },
8549 {
8550 "chips": ["gfx10"],
8551 "map": {"at": 221256, "to": "mm"},
8552 "name": "CP_DRAW_WINDOW_MASK_HI",
8553 "type_ref": "CP_DRAW_WINDOW_MASK_HI"
8554 },
8555 {
8556 "chips": ["gfx10"],
8557 "map": {"at": 196612, "to": "mm"},
8558 "name": "CP_EOP_DONE_ADDR_HI",
8559 "type_ref": "CPC_SUSPEND_CTX_SAVE_BASE_ADDR_HI"
8560 },
8561 {
8562 "chips": ["gfx10"],
8563 "map": {"at": 196608, "to": "mm"},
8564 "name": "CP_EOP_DONE_ADDR_LO",
8565 "type_ref": "CP_GFX_HPD_OSPRE_FENCE_ADDR_LO"
8566 },
8567 {
8568 "chips": ["gfx10"],
8569 "map": {"at": 197468, "to": "mm"},
8570 "name": "CP_EOP_DONE_CNTX_ID",
8571 "type_ref": "CPC_INT_CNTX_ID"
8572 },
8573 {
8574 "chips": ["gfx10"],
8575 "map": {"at": 197464, "to": "mm"},
8576 "name": "CP_EOP_DONE_DATA_CNTL",
8577 "type_ref": "CP_EOP_DONE_DATA_CNTL"
8578 },
8579 {
8580 "chips": ["gfx10"],
8581 "map": {"at": 196620, "to": "mm"},
8582 "name": "CP_EOP_DONE_DATA_HI",
8583 "type_ref": "CP_GFX_HPD_OSPRE_FENCE_DATA_HI"
8584 },
8585 {
8586 "chips": ["gfx10"],
8587 "map": {"at": 196616, "to": "mm"},
8588 "name": "CP_EOP_DONE_DATA_LO",
8589 "type_ref": "CP_GFX_HPD_OSPRE_FENCE_DATA_LO"
8590 },
8591 {
8592 "chips": ["gfx10"],
8593 "map": {"at": 196808, "to": "mm"},
8594 "name": "CP_EOP_DONE_DOORBELL",
8595 "type_ref": "CP_EOP_DONE_DOORBELL"
8596 },
8597 {
8598 "chips": ["gfx10"],
8599 "map": {"at": 197460, "to": "mm"},
8600 "name": "CP_EOP_DONE_EVENT_CNTL",
8601 "type_ref": "CP_EOP_DONE_EVENT_CNTL"
8602 },
8603 {
8604 "chips": ["gfx10"],
8605 "map": {"at": 196628, "to": "mm"},
8606 "name": "CP_EOP_LAST_FENCE_HI",
8607 "type_ref": "CP_EOP_LAST_FENCE_HI"
8608 },
8609 {
8610 "chips": ["gfx10"],
8611 "map": {"at": 196624, "to": "mm"},
8612 "name": "CP_EOP_LAST_FENCE_LO",
8613 "type_ref": "CP_EOP_LAST_FENCE_LO"
8614 },
8615 {
8616 "chips": ["gfx10"],
8617 "map": {"at": 197612, "to": "mm"},
8618 "name": "CP_GDS_BKUP_ADDR",
8619 "type_ref": "CP_MES_MTVEC_HI"
8620 },
8621 {
8622 "chips": ["gfx10"],
8623 "map": {"at": 197616, "to": "mm"},
8624 "name": "CP_GDS_BKUP_ADDR_HI",
8625 "type_ref": "CPC_SUSPEND_CTX_SAVE_BASE_ADDR_HI"
8626 },
8627 {
8628 "chips": ["gfx10"],
8629 "map": {"at": 197428, "to": "mm"},
8630 "name": "CP_IB1_BASE_HI",
8631 "type_ref": "CP_CE_IB1_BASE_HI"
8632 },
8633 {
8634 "chips": ["gfx10"],
8635 "map": {"at": 197424, "to": "mm"},
8636 "name": "CP_IB1_BASE_LO",
8637 "type_ref": "CP_CE_IB1_BASE_LO"
8638 },
8639 {
8640 "chips": ["gfx10"],
8641 "map": {"at": 197432, "to": "mm"},
8642 "name": "CP_IB1_BUFSZ",
8643 "type_ref": "CP_CE_IB1_BUFSZ"
8644 },
8645 {
8646 "chips": ["gfx10"],
8647 "map": {"at": 197376, "to": "mm"},
8648 "name": "CP_IB1_CMD_BUFSZ",
8649 "type_ref": "CP_CE_IB1_CMD_BUFSZ"
8650 },
8651 {
8652 "chips": ["gfx10"],
8653 "map": {"at": 197192, "to": "mm"},
8654 "name": "CP_IB1_OFFSET",
8655 "type_ref": "CP_IB1_OFFSET"
8656 },
8657 {
8658 "chips": ["gfx10"],
8659 "map": {"at": 197200, "to": "mm"},
8660 "name": "CP_IB1_PREAMBLE_BEGIN",
8661 "type_ref": "CP_IB1_PREAMBLE_BEGIN"
8662 },
8663 {
8664 "chips": ["gfx10"],
8665 "map": {"at": 197204, "to": "mm"},
8666 "name": "CP_IB1_PREAMBLE_END",
8667 "type_ref": "CP_IB1_PREAMBLE_END"
8668 },
8669 {
8670 "chips": ["gfx10"],
8671 "map": {"at": 197440, "to": "mm"},
8672 "name": "CP_IB2_BASE_HI",
8673 "type_ref": "CP_CE_IB2_BASE_HI"
8674 },
8675 {
8676 "chips": ["gfx10"],
8677 "map": {"at": 197436, "to": "mm"},
8678 "name": "CP_IB2_BASE_LO",
8679 "type_ref": "CP_CE_IB2_BASE_LO"
8680 },
8681 {
8682 "chips": ["gfx10"],
8683 "map": {"at": 197444, "to": "mm"},
8684 "name": "CP_IB2_BUFSZ",
8685 "type_ref": "CP_CE_IB2_BUFSZ"
8686 },
8687 {
8688 "chips": ["gfx10"],
8689 "map": {"at": 197380, "to": "mm"},
8690 "name": "CP_IB2_CMD_BUFSZ",
8691 "type_ref": "CP_CE_IB2_CMD_BUFSZ"
8692 },
8693 {
8694 "chips": ["gfx10"],
8695 "map": {"at": 197196, "to": "mm"},
8696 "name": "CP_IB2_OFFSET",
8697 "type_ref": "CP_IB2_OFFSET"
8698 },
8699 {
8700 "chips": ["gfx10"],
8701 "map": {"at": 197208, "to": "mm"},
8702 "name": "CP_IB2_PREAMBLE_BEGIN",
8703 "type_ref": "CP_IB2_PREAMBLE_BEGIN"
8704 },
8705 {
8706 "chips": ["gfx10"],
8707 "map": {"at": 197212, "to": "mm"},
8708 "name": "CP_IB2_PREAMBLE_END",
8709 "type_ref": "CP_IB2_PREAMBLE_END"
8710 },
8711 {
8712 "chips": ["gfx10"],
8713 "map": {"at": 197600, "to": "mm"},
8714 "name": "CP_INDEX_BASE_ADDR",
8715 "type_ref": "CP_MES_MTVEC_HI"
8716 },
8717 {
8718 "chips": ["gfx10"],
8719 "map": {"at": 197604, "to": "mm"},
8720 "name": "CP_INDEX_BASE_ADDR_HI",
8721 "type_ref": "CPC_SUSPEND_CTX_SAVE_BASE_ADDR_HI"
8722 },
8723 {
8724 "chips": ["gfx10"],
8725 "map": {"at": 197608, "to": "mm"},
8726 "name": "CP_INDEX_TYPE",
8727 "type_ref": "CP_INDEX_TYPE"
8728 },
8729 {
8730 "chips": ["gfx10"],
8731 "map": {"at": 197636, "to": "mm"},
8732 "name": "CP_ME_COHER_BASE",
8733 "type_ref": "CP_COHER_BASE"
8734 },
8735 {
8736 "chips": ["gfx10"],
8737 "map": {"at": 197640, "to": "mm"},
8738 "name": "CP_ME_COHER_BASE_HI",
8739 "type_ref": "CP_COHER_BASE_HI"
8740 },
8741 {
8742 "chips": ["gfx10"],
8743 "map": {"at": 197624, "to": "mm"},
8744 "name": "CP_ME_COHER_CNTL",
8745 "type_ref": "CP_ME_COHER_CNTL"
8746 },
8747 {
8748 "chips": ["gfx10"],
8749 "map": {"at": 197628, "to": "mm"},
8750 "name": "CP_ME_COHER_SIZE",
8751 "type_ref": "CP_COHER_SIZE"
8752 },
8753 {
8754 "chips": ["gfx10"],
8755 "map": {"at": 197632, "to": "mm"},
8756 "name": "CP_ME_COHER_SIZE_HI",
8757 "type_ref": "CP_COHER_SIZE_HI"
8758 },
8759 {
8760 "chips": ["gfx10"],
8761 "map": {"at": 197644, "to": "mm"},
8762 "name": "CP_ME_COHER_STATUS",
8763 "type_ref": "CP_ME_COHER_STATUS"
8764 },
8765 {
8766 "chips": ["gfx10"],
8767 "map": {"at": 197048, "to": "mm"},
8768 "name": "CP_ME_MC_RADDR_HI",
8769 "type_ref": "CP_ME_MC_RADDR_HI"
8770 },
8771 {
8772 "chips": ["gfx10"],
8773 "map": {"at": 197044, "to": "mm"},
8774 "name": "CP_ME_MC_RADDR_LO",
8775 "type_ref": "CP_ME_MC_RADDR_LO"
8776 },
8777 {
8778 "chips": ["gfx10"],
8779 "map": {"at": 197032, "to": "mm"},
8780 "name": "CP_ME_MC_WADDR_HI",
8781 "type_ref": "CP_ME_MC_WADDR_HI"
8782 },
8783 {
8784 "chips": ["gfx10"],
8785 "map": {"at": 197028, "to": "mm"},
8786 "name": "CP_ME_MC_WADDR_LO",
8787 "type_ref": "CP_ME_MC_WADDR_LO"
8788 },
8789 {
8790 "chips": ["gfx10"],
8791 "map": {"at": 197040, "to": "mm"},
8792 "name": "CP_ME_MC_WDATA_HI",
8793 "type_ref": "CP_ME_MC_WDATA_HI"
8794 },
8795 {
8796 "chips": ["gfx10"],
8797 "map": {"at": 197036, "to": "mm"},
8798 "name": "CP_ME_MC_WDATA_LO",
8799 "type_ref": "CP_ME_MC_WDATA_LO"
8800 },
8801 {
8802 "chips": ["gfx10"],
8803 "map": {"at": 196652, "to": "mm"},
8804 "name": "CP_NUM_PRIM_NEEDED_COUNT0_HI",
8805 "type_ref": "CP_NUM_PRIM_NEEDED_COUNT0_HI"
8806 },
8807 {
8808 "chips": ["gfx10"],
8809 "map": {"at": 196648, "to": "mm"},
8810 "name": "CP_NUM_PRIM_NEEDED_COUNT0_LO",
8811 "type_ref": "CP_NUM_PRIM_NEEDED_COUNT0_LO"
8812 },
8813 {
8814 "chips": ["gfx10"],
8815 "map": {"at": 196668, "to": "mm"},
8816 "name": "CP_NUM_PRIM_NEEDED_COUNT1_HI",
8817 "type_ref": "CP_NUM_PRIM_NEEDED_COUNT1_HI"
8818 },
8819 {
8820 "chips": ["gfx10"],
8821 "map": {"at": 196664, "to": "mm"},
8822 "name": "CP_NUM_PRIM_NEEDED_COUNT1_LO",
8823 "type_ref": "CP_NUM_PRIM_NEEDED_COUNT1_LO"
8824 },
8825 {
8826 "chips": ["gfx10"],
8827 "map": {"at": 196684, "to": "mm"},
8828 "name": "CP_NUM_PRIM_NEEDED_COUNT2_HI",
8829 "type_ref": "CP_NUM_PRIM_NEEDED_COUNT2_HI"
8830 },
8831 {
8832 "chips": ["gfx10"],
8833 "map": {"at": 196680, "to": "mm"},
8834 "name": "CP_NUM_PRIM_NEEDED_COUNT2_LO",
8835 "type_ref": "CP_NUM_PRIM_NEEDED_COUNT2_LO"
8836 },
8837 {
8838 "chips": ["gfx10"],
8839 "map": {"at": 196700, "to": "mm"},
8840 "name": "CP_NUM_PRIM_NEEDED_COUNT3_HI",
8841 "type_ref": "CP_NUM_PRIM_NEEDED_COUNT3_HI"
8842 },
8843 {
8844 "chips": ["gfx10"],
8845 "map": {"at": 196696, "to": "mm"},
8846 "name": "CP_NUM_PRIM_NEEDED_COUNT3_LO",
8847 "type_ref": "CP_NUM_PRIM_NEEDED_COUNT3_LO"
8848 },
8849 {
8850 "chips": ["gfx10"],
8851 "map": {"at": 196644, "to": "mm"},
8852 "name": "CP_NUM_PRIM_WRITTEN_COUNT0_HI",
8853 "type_ref": "CP_NUM_PRIM_WRITTEN_COUNT0_HI"
8854 },
8855 {
8856 "chips": ["gfx10"],
8857 "map": {"at": 196640, "to": "mm"},
8858 "name": "CP_NUM_PRIM_WRITTEN_COUNT0_LO",
8859 "type_ref": "CP_NUM_PRIM_WRITTEN_COUNT0_LO"
8860 },
8861 {
8862 "chips": ["gfx10"],
8863 "map": {"at": 196660, "to": "mm"},
8864 "name": "CP_NUM_PRIM_WRITTEN_COUNT1_HI",
8865 "type_ref": "CP_NUM_PRIM_WRITTEN_COUNT1_HI"
8866 },
8867 {
8868 "chips": ["gfx10"],
8869 "map": {"at": 196656, "to": "mm"},
8870 "name": "CP_NUM_PRIM_WRITTEN_COUNT1_LO",
8871 "type_ref": "CP_NUM_PRIM_WRITTEN_COUNT1_LO"
8872 },
8873 {
8874 "chips": ["gfx10"],
8875 "map": {"at": 196676, "to": "mm"},
8876 "name": "CP_NUM_PRIM_WRITTEN_COUNT2_HI",
8877 "type_ref": "CP_NUM_PRIM_WRITTEN_COUNT2_HI"
8878 },
8879 {
8880 "chips": ["gfx10"],
8881 "map": {"at": 196672, "to": "mm"},
8882 "name": "CP_NUM_PRIM_WRITTEN_COUNT2_LO",
8883 "type_ref": "CP_NUM_PRIM_WRITTEN_COUNT2_LO"
8884 },
8885 {
8886 "chips": ["gfx10"],
8887 "map": {"at": 196692, "to": "mm"},
8888 "name": "CP_NUM_PRIM_WRITTEN_COUNT3_HI",
8889 "type_ref": "CP_NUM_PRIM_WRITTEN_COUNT3_HI"
8890 },
8891 {
8892 "chips": ["gfx10"],
8893 "map": {"at": 196688, "to": "mm"},
8894 "name": "CP_NUM_PRIM_WRITTEN_COUNT3_LO",
8895 "type_ref": "CP_NUM_PRIM_WRITTEN_COUNT3_LO"
8896 },
8897 {
8898 "chips": ["gfx10"],
8899 "map": {"at": 196772, "to": "mm"},
8900 "name": "CP_PA_CINVOC_COUNT_HI",
8901 "type_ref": "CP_PA_CINVOC_COUNT_HI"
8902 },
8903 {
8904 "chips": ["gfx10"],
8905 "map": {"at": 196768, "to": "mm"},
8906 "name": "CP_PA_CINVOC_COUNT_LO",
8907 "type_ref": "CP_PA_CINVOC_COUNT_LO"
8908 },
8909 {
8910 "chips": ["gfx10"],
8911 "map": {"at": 196780, "to": "mm"},
8912 "name": "CP_PA_CPRIM_COUNT_HI",
8913 "type_ref": "CP_PA_CPRIM_COUNT_HI"
8914 },
8915 {
8916 "chips": ["gfx10"],
8917 "map": {"at": 196776, "to": "mm"},
8918 "name": "CP_PA_CPRIM_COUNT_LO",
8919 "type_ref": "CP_PA_CPRIM_COUNT_LO"
8920 },
8921 {
8922 "chips": ["gfx10"],
8923 "map": {"at": 221216, "to": "mm"},
8924 "name": "CP_PERFMON_CNTL",
8925 "type_ref": "CP_PERFMON_CNTL"
8926 },
8927 {
8928 "chips": ["gfx10"],
8929 "map": {"at": 164704, "to": "mm"},
8930 "name": "CP_PERFMON_CNTX_CNTL",
8931 "type_ref": "CP_PERFMON_CNTX_CNTL"
8932 },
8933 {
8934 "chips": ["gfx10"],
8935 "map": {"at": 196940, "to": "mm"},
8936 "name": "CP_PFP_ATOMIC_PREOP_HI",
8937 "type_ref": "CP_PFP_ATOMIC_PREOP_HI"
8938 },
8939 {
8940 "chips": ["gfx10"],
8941 "map": {"at": 196936, "to": "mm"},
8942 "name": "CP_PFP_ATOMIC_PREOP_LO",
8943 "type_ref": "CP_PFP_ATOMIC_PREOP_LO"
8944 },
8945 {
8946 "chips": ["gfx10"],
8947 "map": {"at": 197552, "to": "mm"},
8948 "name": "CP_PFP_COMPLETION_STATUS",
8949 "type_ref": "CP_PFP_COMPLETION_STATUS"
8950 },
8951 {
8952 "chips": ["gfx10"],
8953 "map": {"at": 196948, "to": "mm"},
8954 "name": "CP_PFP_GDS_ATOMIC0_PREOP_HI",
8955 "type_ref": "CP_PFP_GDS_ATOMIC0_PREOP_HI"
8956 },
8957 {
8958 "chips": ["gfx10"],
8959 "map": {"at": 196944, "to": "mm"},
8960 "name": "CP_PFP_GDS_ATOMIC0_PREOP_LO",
8961 "type_ref": "CP_PFP_GDS_ATOMIC0_PREOP_LO"
8962 },
8963 {
8964 "chips": ["gfx10"],
8965 "map": {"at": 196956, "to": "mm"},
8966 "name": "CP_PFP_GDS_ATOMIC1_PREOP_HI",
8967 "type_ref": "CP_PFP_GDS_ATOMIC1_PREOP_HI"
8968 },
8969 {
8970 "chips": ["gfx10"],
8971 "map": {"at": 196952, "to": "mm"},
8972 "name": "CP_PFP_GDS_ATOMIC1_PREOP_LO",
8973 "type_ref": "CP_PFP_GDS_ATOMIC1_PREOP_LO"
8974 },
8975 {
8976 "chips": ["gfx10"],
8977 "map": {"at": 197172, "to": "mm"},
8978 "name": "CP_PFP_IB_CONTROL",
8979 "type_ref": "CP_PFP_IB_CONTROL"
8980 },
8981 {
8982 "chips": ["gfx10"],
8983 "map": {"at": 197176, "to": "mm"},
8984 "name": "CP_PFP_LOAD_CONTROL",
8985 "type_ref": "CP_PFP_LOAD_CONTROL"
8986 },
8987 {
8988 "chips": ["gfx10"],
8989 "map": {"at": 197568, "to": "mm"},
8990 "name": "CP_PFP_METADATA_BASE_ADDR",
8991 "type_ref": "CP_MES_MTVEC_HI"
8992 },
8993 {
8994 "chips": ["gfx10"],
8995 "map": {"at": 197572, "to": "mm"},
8996 "name": "CP_PFP_METADATA_BASE_ADDR_HI",
8997 "type_ref": "CPC_SUSPEND_CTX_SAVE_BASE_ADDR_HI"
8998 },
8999 {
9000 "chips": ["gfx10"],
9001 "map": {"at": 196708, "to": "mm"},
9002 "name": "CP_PIPE_STATS_ADDR_HI",
9003 "type_ref": "CP_PIPE_STATS_ADDR_HI"
9004 },
9005 {
9006 "chips": ["gfx10"],
9007 "map": {"at": 196704, "to": "mm"},
9008 "name": "CP_PIPE_STATS_ADDR_LO",
9009 "type_ref": "CP_PIPE_STATS_ADDR_LO"
9010 },
9011 {
9012 "chips": ["gfx10"],
9013 "map": {"at": 196852, "to": "mm"},
9014 "name": "CP_PIPE_STATS_CONTROL",
9015 "type_ref": "CP_PIPE_STATS_CONTROL"
9016 },
9017 {
9018 "chips": ["gfx10"],
9019 "map": {"at": 196848, "to": "mm"},
9020 "name": "CP_PIPE_STATS_DOORBELL",
9021 "type_ref": "CP_EOP_DONE_DOORBELL"
9022 },
9023 {
9024 "chips": ["gfx10"],
9025 "map": {"at": 197560, "to": "mm"},
9026 "name": "CP_PRED_NOT_VISIBLE",
9027 "type_ref": "CP_PRED_NOT_VISIBLE"
9028 },
9029 {
9030 "chips": ["gfx10"],
9031 "map": {"at": 197620, "to": "mm"},
9032 "name": "CP_SAMPLE_STATUS",
9033 "type_ref": "CP_SAMPLE_STATUS"
9034 },
9035 {
9036 "chips": ["gfx10"],
9037 "map": {"at": 197184, "to": "mm"},
9038 "name": "CP_SCRATCH_DATA",
9039 "type_ref": "CP_MES_SCRATCH_DATA"
9040 },
9041 {
9042 "chips": ["gfx10"],
9043 "map": {"at": 197180, "to": "mm"},
9044 "name": "CP_SCRATCH_INDEX",
9045 "type_ref": "CP_SCRATCH_INDEX"
9046 },
9047 {
9048 "chips": ["gfx10"],
9049 "map": {"at": 196788, "to": "mm"},
9050 "name": "CP_SC_PSINVOC_COUNT0_HI",
9051 "type_ref": "CP_SC_PSINVOC_COUNT0_HI"
9052 },
9053 {
9054 "chips": ["gfx10"],
9055 "map": {"at": 196784, "to": "mm"},
9056 "name": "CP_SC_PSINVOC_COUNT0_LO",
9057 "type_ref": "CP_SC_PSINVOC_COUNT0_LO"
9058 },
9059 {
9060 "chips": ["gfx10"],
9061 "map": {"at": 196796, "to": "mm"},
9062 "name": "CP_SC_PSINVOC_COUNT1_HI",
9063 "type_ref": "CP_ECC_FIRSTOCCURRENCE_RING0"
9064 },
9065 {
9066 "chips": ["gfx10"],
9067 "map": {"at": 196792, "to": "mm"},
9068 "name": "CP_SC_PSINVOC_COUNT1_LO",
9069 "type_ref": "CP_ECC_FIRSTOCCURRENCE_RING0"
9070 },
9071 {
9072 "chips": ["gfx10"],
9073 "map": {"at": 197052, "to": "mm"},
9074 "name": "CP_SEM_WAIT_TIMER",
9075 "type_ref": "CP_SEM_WAIT_TIMER"
9076 },
9077 {
9078 "chips": ["gfx10"],
9079 "map": {"at": 197060, "to": "mm"},
9080 "name": "CP_SIG_SEM_ADDR_HI",
9081 "type_ref": "CP_SIG_SEM_ADDR_HI"
9082 },
9083 {
9084 "chips": ["gfx10"],
9085 "map": {"at": 197056, "to": "mm"},
9086 "name": "CP_SIG_SEM_ADDR_LO",
9087 "type_ref": "CP_SIG_SEM_ADDR_LO"
9088 },
9089 {
9090 "chips": ["gfx10"],
9091 "map": {"at": 196636, "to": "mm"},
9092 "name": "CP_STREAM_OUT_ADDR_HI",
9093 "type_ref": "CP_STREAM_OUT_ADDR_HI"
9094 },
9095 {
9096 "chips": ["gfx10"],
9097 "map": {"at": 196632, "to": "mm"},
9098 "name": "CP_STREAM_OUT_ADDR_LO",
9099 "type_ref": "CP_STREAM_OUT_ADDR_LO"
9100 },
9101 {
9102 "chips": ["gfx10"],
9103 "map": {"at": 196856, "to": "mm"},
9104 "name": "CP_STREAM_OUT_CONTROL",
9105 "type_ref": "CP_PIPE_STATS_CONTROL"
9106 },
9107 {
9108 "chips": ["gfx10"],
9109 "map": {"at": 196812, "to": "mm"},
9110 "name": "CP_STREAM_OUT_DOORBELL",
9111 "type_ref": "CP_EOP_DONE_DOORBELL"
9112 },
9113 {
9114 "chips": ["gfx10"],
9115 "map": {"at": 196860, "to": "mm"},
9116 "name": "CP_STRMOUT_CNTL",
9117 "type_ref": "CP_STRMOUT_CNTL"
9118 },
9119 {
9120 "chips": ["gfx10"],
9121 "map": {"at": 197452, "to": "mm"},
9122 "name": "CP_ST_BASE_HI",
9123 "type_ref": "CP_ST_BASE_HI"
9124 },
9125 {
9126 "chips": ["gfx10"],
9127 "map": {"at": 197448, "to": "mm"},
9128 "name": "CP_ST_BASE_LO",
9129 "type_ref": "CP_ST_BASE_LO"
9130 },
9131 {
9132 "chips": ["gfx10"],
9133 "map": {"at": 197456, "to": "mm"},
9134 "name": "CP_ST_BUFSZ",
9135 "type_ref": "CP_ST_BUFSZ"
9136 },
9137 {
9138 "chips": ["gfx10"],
9139 "map": {"at": 197384, "to": "mm"},
9140 "name": "CP_ST_CMD_BUFSZ",
9141 "type_ref": "CP_ST_CMD_BUFSZ"
9142 },
9143 {
9144 "chips": ["gfx10"],
9145 "map": {"at": 196804, "to": "mm"},
9146 "name": "CP_VGT_CSINVOC_COUNT_HI",
9147 "type_ref": "CP_VGT_CSINVOC_COUNT_HI"
9148 },
9149 {
9150 "chips": ["gfx10"],
9151 "map": {"at": 196800, "to": "mm"},
9152 "name": "CP_VGT_CSINVOC_COUNT_LO",
9153 "type_ref": "CP_VGT_CSINVOC_COUNT_LO"
9154 },
9155 {
9156 "chips": ["gfx10"],
9157 "map": {"at": 196764, "to": "mm"},
9158 "name": "CP_VGT_DSINVOC_COUNT_HI",
9159 "type_ref": "CP_VGT_DSINVOC_COUNT_HI"
9160 },
9161 {
9162 "chips": ["gfx10"],
9163 "map": {"at": 196760, "to": "mm"},
9164 "name": "CP_VGT_DSINVOC_COUNT_LO",
9165 "type_ref": "CP_VGT_DSINVOC_COUNT_LO"
9166 },
9167 {
9168 "chips": ["gfx10"],
9169 "map": {"at": 196748, "to": "mm"},
9170 "name": "CP_VGT_GSINVOC_COUNT_HI",
9171 "type_ref": "CP_VGT_GSINVOC_COUNT_HI"
9172 },
9173 {
9174 "chips": ["gfx10"],
9175 "map": {"at": 196744, "to": "mm"},
9176 "name": "CP_VGT_GSINVOC_COUNT_LO",
9177 "type_ref": "CP_VGT_GSINVOC_COUNT_LO"
9178 },
9179 {
9180 "chips": ["gfx10"],
9181 "map": {"at": 196732, "to": "mm"},
9182 "name": "CP_VGT_GSPRIM_COUNT_HI",
9183 "type_ref": "CP_VGT_GSPRIM_COUNT_HI"
9184 },
9185 {
9186 "chips": ["gfx10"],
9187 "map": {"at": 196728, "to": "mm"},
9188 "name": "CP_VGT_GSPRIM_COUNT_LO",
9189 "type_ref": "CP_VGT_GSPRIM_COUNT_LO"
9190 },
9191 {
9192 "chips": ["gfx10"],
9193 "map": {"at": 196756, "to": "mm"},
9194 "name": "CP_VGT_HSINVOC_COUNT_HI",
9195 "type_ref": "CP_VGT_HSINVOC_COUNT_HI"
9196 },
9197 {
9198 "chips": ["gfx10"],
9199 "map": {"at": 196752, "to": "mm"},
9200 "name": "CP_VGT_HSINVOC_COUNT_LO",
9201 "type_ref": "CP_VGT_HSINVOC_COUNT_LO"
9202 },
9203 {
9204 "chips": ["gfx10"],
9205 "map": {"at": 196724, "to": "mm"},
9206 "name": "CP_VGT_IAPRIM_COUNT_HI",
9207 "type_ref": "CP_VGT_IAPRIM_COUNT_HI"
9208 },
9209 {
9210 "chips": ["gfx10"],
9211 "map": {"at": 196720, "to": "mm"},
9212 "name": "CP_VGT_IAPRIM_COUNT_LO",
9213 "type_ref": "CP_VGT_IAPRIM_COUNT_LO"
9214 },
9215 {
9216 "chips": ["gfx10"],
9217 "map": {"at": 196716, "to": "mm"},
9218 "name": "CP_VGT_IAVERT_COUNT_HI",
9219 "type_ref": "CP_VGT_IAVERT_COUNT_HI"
9220 },
9221 {
9222 "chips": ["gfx10"],
9223 "map": {"at": 196712, "to": "mm"},
9224 "name": "CP_VGT_IAVERT_COUNT_LO",
9225 "type_ref": "CP_VGT_IAVERT_COUNT_LO"
9226 },
9227 {
9228 "chips": ["gfx10"],
9229 "map": {"at": 196740, "to": "mm"},
9230 "name": "CP_VGT_VSINVOC_COUNT_HI",
9231 "type_ref": "CP_VGT_VSINVOC_COUNT_HI"
9232 },
9233 {
9234 "chips": ["gfx10"],
9235 "map": {"at": 196736, "to": "mm"},
9236 "name": "CP_VGT_VSINVOC_COUNT_LO",
9237 "type_ref": "CP_VGT_VSINVOC_COUNT_LO"
9238 },
9239 {
9240 "chips": ["gfx10"],
9241 "map": {"at": 164712, "to": "mm"},
9242 "name": "CP_VMID",
9243 "type_ref": "CP_ME0_PIPE0_VMID"
9244 },
9245 {
9246 "chips": ["gfx10"],
9247 "map": {"at": 197072, "to": "mm"},
9248 "name": "CP_WAIT_REG_MEM_TIMEOUT",
9249 "type_ref": "CP_WAIT_REG_MEM_TIMEOUT"
9250 },
9251 {
9252 "chips": ["gfx10"],
9253 "map": {"at": 197080, "to": "mm"},
9254 "name": "CP_WAIT_SEM_ADDR_HI",
9255 "type_ref": "CP_SIG_SEM_ADDR_HI"
9256 },
9257 {
9258 "chips": ["gfx10"],
9259 "map": {"at": 197076, "to": "mm"},
9260 "name": "CP_WAIT_SEM_ADDR_LO",
9261 "type_ref": "CP_SIG_SEM_ADDR_LO"
9262 },
9263 {
9264 "chips": ["gfx10"],
9265 "map": {"at": 165836, "to": "mm"},
9266 "name": "CS_COPY_STATE",
9267 "type_ref": "CS_COPY_STATE"
9268 },
9269 {
9270 "chips": ["gfx10"],
9271 "map": {"at": 166768, "to": "mm"},
9272 "name": "DB_ALPHA_TO_MASK",
9273 "type_ref": "DB_ALPHA_TO_MASK"
9274 },
9275 {
9276 "chips": ["gfx10"],
9277 "map": {"at": 163844, "to": "mm"},
9278 "name": "DB_COUNT_CONTROL",
9279 "type_ref": "DB_COUNT_CONTROL"
9280 },
9281 {
9282 "chips": ["gfx10"],
9283 "map": {"at": 163876, "to": "mm"},
9284 "name": "DB_DEPTH_BOUNDS_MAX",
9285 "type_ref": "DB_DEPTH_BOUNDS_MAX"
9286 },
9287 {
9288 "chips": ["gfx10"],
9289 "map": {"at": 163872, "to": "mm"},
9290 "name": "DB_DEPTH_BOUNDS_MIN",
9291 "type_ref": "DB_DEPTH_BOUNDS_MIN"
9292 },
9293 {
9294 "chips": ["gfx10"],
9295 "map": {"at": 163884, "to": "mm"},
9296 "name": "DB_DEPTH_CLEAR",
9297 "type_ref": "DB_DEPTH_CLEAR"
9298 },
9299 {
9300 "chips": ["gfx10"],
9301 "map": {"at": 165888, "to": "mm"},
9302 "name": "DB_DEPTH_CONTROL",
9303 "type_ref": "DB_DEPTH_CONTROL"
9304 },
9305 {
9306 "chips": ["gfx10"],
9307 "map": {"at": 163900, "to": "mm"},
9308 "name": "DB_DEPTH_INFO",
9309 "type_ref": "DB_DEPTH_INFO"
9310 },
9311 {
9312 "chips": ["gfx10"],
9313 "map": {"at": 163868, "to": "mm"},
9314 "name": "DB_DEPTH_SIZE_XY",
9315 "type_ref": "DB_DEPTH_SIZE_XY"
9316 },
9317 {
9318 "chips": ["gfx10"],
9319 "map": {"at": 163848, "to": "mm"},
9320 "name": "DB_DEPTH_VIEW",
9321 "type_ref": "DB_DEPTH_VIEW"
9322 },
9323 {
9324 "chips": ["gfx10"],
9325 "map": {"at": 163896, "to": "mm"},
9326 "name": "DB_DFSM_CONTROL",
9327 "type_ref": "DB_DFSM_CONTROL"
9328 },
9329 {
9330 "chips": ["gfx10"],
9331 "map": {"at": 165892, "to": "mm"},
9332 "name": "DB_EQAA",
9333 "type_ref": "DB_EQAA"
9334 },
9335 {
9336 "chips": ["gfx10"],
9337 "map": {"at": 163860, "to": "mm"},
9338 "name": "DB_HTILE_DATA_BASE",
9339 "type_ref": "CB_COLOR0_BASE"
9340 },
9341 {
9342 "chips": ["gfx10"],
9343 "map": {"at": 163960, "to": "mm"},
9344 "name": "DB_HTILE_DATA_BASE_HI",
9345 "type_ref": "DB_Z_READ_BASE_HI"
9346 },
9347 {
9348 "chips": ["gfx10"],
9349 "map": {"at": 166588, "to": "mm"},
9350 "name": "DB_HTILE_SURFACE",
9351 "type_ref": "DB_HTILE_SURFACE"
9352 },
9353 {
9354 "chips": ["gfx10"],
9355 "map": {"at": 200452, "to": "mm"},
9356 "name": "DB_OCCLUSION_COUNT0_HI",
9357 "type_ref": "DB_ZPASS_COUNT_HI"
9358 },
9359 {
9360 "chips": ["gfx10"],
9361 "map": {"at": 200448, "to": "mm"},
9362 "name": "DB_OCCLUSION_COUNT0_LOW",
9363 "type_ref": "DB_ZPASS_COUNT_LOW"
9364 },
9365 {
9366 "chips": ["gfx10"],
9367 "map": {"at": 200460, "to": "mm"},
9368 "name": "DB_OCCLUSION_COUNT1_HI",
9369 "type_ref": "DB_ZPASS_COUNT_HI"
9370 },
9371 {
9372 "chips": ["gfx10"],
9373 "map": {"at": 200456, "to": "mm"},
9374 "name": "DB_OCCLUSION_COUNT1_LOW",
9375 "type_ref": "DB_ZPASS_COUNT_LOW"
9376 },
9377 {
9378 "chips": ["gfx10"],
9379 "map": {"at": 200468, "to": "mm"},
9380 "name": "DB_OCCLUSION_COUNT2_HI",
9381 "type_ref": "DB_ZPASS_COUNT_HI"
9382 },
9383 {
9384 "chips": ["gfx10"],
9385 "map": {"at": 200464, "to": "mm"},
9386 "name": "DB_OCCLUSION_COUNT2_LOW",
9387 "type_ref": "DB_ZPASS_COUNT_LOW"
9388 },
9389 {
9390 "chips": ["gfx10"],
9391 "map": {"at": 200476, "to": "mm"},
9392 "name": "DB_OCCLUSION_COUNT3_HI",
9393 "type_ref": "DB_ZPASS_COUNT_HI"
9394 },
9395 {
9396 "chips": ["gfx10"],
9397 "map": {"at": 200472, "to": "mm"},
9398 "name": "DB_OCCLUSION_COUNT3_LOW",
9399 "type_ref": "DB_ZPASS_COUNT_LOW"
9400 },
9401 {
9402 "chips": ["gfx10"],
9403 "map": {"at": 217348, "to": "mm"},
9404 "name": "DB_PERFCOUNTER0_HI",
9405 "type_ref": "CB_PERFCOUNTER0_HI"
9406 },
9407 {
9408 "chips": ["gfx10"],
9409 "map": {"at": 217344, "to": "mm"},
9410 "name": "DB_PERFCOUNTER0_LO",
9411 "type_ref": "CB_PERFCOUNTER0_LO"
9412 },
9413 {
9414 "chips": ["gfx10"],
9415 "map": {"at": 225536, "to": "mm"},
9416 "name": "DB_PERFCOUNTER0_SELECT",
9417 "type_ref": "DB_PERFCOUNTER0_SELECT"
9418 },
9419 {
9420 "chips": ["gfx10"],
9421 "map": {"at": 225540, "to": "mm"},
9422 "name": "DB_PERFCOUNTER0_SELECT1",
9423 "type_ref": "DB_PERFCOUNTER0_SELECT1"
9424 },
9425 {
9426 "chips": ["gfx10"],
9427 "map": {"at": 217356, "to": "mm"},
9428 "name": "DB_PERFCOUNTER1_HI",
9429 "type_ref": "CB_PERFCOUNTER0_HI"
9430 },
9431 {
9432 "chips": ["gfx10"],
9433 "map": {"at": 217352, "to": "mm"},
9434 "name": "DB_PERFCOUNTER1_LO",
9435 "type_ref": "CB_PERFCOUNTER0_LO"
9436 },
9437 {
9438 "chips": ["gfx10"],
9439 "map": {"at": 225544, "to": "mm"},
9440 "name": "DB_PERFCOUNTER1_SELECT",
9441 "type_ref": "DB_PERFCOUNTER0_SELECT"
9442 },
9443 {
9444 "chips": ["gfx10"],
9445 "map": {"at": 225548, "to": "mm"},
9446 "name": "DB_PERFCOUNTER1_SELECT1",
9447 "type_ref": "DB_PERFCOUNTER0_SELECT1"
9448 },
9449 {
9450 "chips": ["gfx10"],
9451 "map": {"at": 217364, "to": "mm"},
9452 "name": "DB_PERFCOUNTER2_HI",
9453 "type_ref": "CB_PERFCOUNTER0_HI"
9454 },
9455 {
9456 "chips": ["gfx10"],
9457 "map": {"at": 217360, "to": "mm"},
9458 "name": "DB_PERFCOUNTER2_LO",
9459 "type_ref": "CB_PERFCOUNTER0_LO"
9460 },
9461 {
9462 "chips": ["gfx10"],
9463 "map": {"at": 225552, "to": "mm"},
9464 "name": "DB_PERFCOUNTER2_SELECT",
9465 "type_ref": "DB_PERFCOUNTER0_SELECT"
9466 },
9467 {
9468 "chips": ["gfx10"],
9469 "map": {"at": 217372, "to": "mm"},
9470 "name": "DB_PERFCOUNTER3_HI",
9471 "type_ref": "CB_PERFCOUNTER0_HI"
9472 },
9473 {
9474 "chips": ["gfx10"],
9475 "map": {"at": 217368, "to": "mm"},
9476 "name": "DB_PERFCOUNTER3_LO",
9477 "type_ref": "CB_PERFCOUNTER0_LO"
9478 },
9479 {
9480 "chips": ["gfx10"],
9481 "map": {"at": 225560, "to": "mm"},
9482 "name": "DB_PERFCOUNTER3_SELECT",
9483 "type_ref": "DB_PERFCOUNTER0_SELECT"
9484 },
9485 {
9486 "chips": ["gfx10"],
9487 "map": {"at": 166600, "to": "mm"},
9488 "name": "DB_PRELOAD_CONTROL",
9489 "type_ref": "DB_PRELOAD_CONTROL"
9490 },
9491 {
9492 "chips": ["gfx10"],
9493 "map": {"at": 163840, "to": "mm"},
9494 "name": "DB_RENDER_CONTROL",
9495 "type_ref": "DB_RENDER_CONTROL"
9496 },
9497 {
9498 "chips": ["gfx10"],
9499 "map": {"at": 163852, "to": "mm"},
9500 "name": "DB_RENDER_OVERRIDE",
9501 "type_ref": "DB_RENDER_OVERRIDE"
9502 },
9503 {
9504 "chips": ["gfx10"],
9505 "map": {"at": 163856, "to": "mm"},
9506 "name": "DB_RENDER_OVERRIDE2",
9507 "type_ref": "DB_RENDER_OVERRIDE2"
9508 },
9509 {
9510 "chips": ["gfx10"],
9511 "map": {"at": 163964, "to": "mm"},
9512 "name": "DB_RMI_L2_CACHE_CONTROL",
9513 "type_ref": "DB_RMI_L2_CACHE_CONTROL"
9514 },
9515 {
9516 "chips": ["gfx10"],
9517 "map": {"at": 165900, "to": "mm"},
9518 "name": "DB_SHADER_CONTROL",
9519 "type_ref": "DB_SHADER_CONTROL"
9520 },
9521 {
9522 "chips": ["gfx10"],
9523 "map": {"at": 166592, "to": "mm"},
9524 "name": "DB_SRESULTS_COMPARE_STATE0",
9525 "type_ref": "DB_SRESULTS_COMPARE_STATE0"
9526 },
9527 {
9528 "chips": ["gfx10"],
9529 "map": {"at": 166596, "to": "mm"},
9530 "name": "DB_SRESULTS_COMPARE_STATE1",
9531 "type_ref": "DB_SRESULTS_COMPARE_STATE1"
9532 },
9533 {
9534 "chips": ["gfx10"],
9535 "map": {"at": 164912, "to": "mm"},
9536 "name": "DB_STENCILREFMASK",
9537 "type_ref": "DB_STENCILREFMASK"
9538 },
9539 {
9540 "chips": ["gfx10"],
9541 "map": {"at": 164916, "to": "mm"},
9542 "name": "DB_STENCILREFMASK_BF",
9543 "type_ref": "DB_STENCILREFMASK_BF"
9544 },
9545 {
9546 "chips": ["gfx10"],
9547 "map": {"at": 163880, "to": "mm"},
9548 "name": "DB_STENCIL_CLEAR",
9549 "type_ref": "DB_STENCIL_CLEAR"
9550 },
9551 {
9552 "chips": ["gfx10"],
9553 "map": {"at": 164908, "to": "mm"},
9554 "name": "DB_STENCIL_CONTROL",
9555 "type_ref": "DB_STENCIL_CONTROL"
9556 },
9557 {
9558 "chips": ["gfx10"],
9559 "map": {"at": 163908, "to": "mm"},
9560 "name": "DB_STENCIL_INFO",
9561 "type_ref": "DB_STENCIL_INFO"
9562 },
9563 {
9564 "chips": ["gfx10"],
9565 "map": {"at": 163916, "to": "mm"},
9566 "name": "DB_STENCIL_READ_BASE",
9567 "type_ref": "CB_COLOR0_BASE"
9568 },
9569 {
9570 "chips": ["gfx10"],
9571 "map": {"at": 163948, "to": "mm"},
9572 "name": "DB_STENCIL_READ_BASE_HI",
9573 "type_ref": "DB_Z_READ_BASE_HI"
9574 },
9575 {
9576 "chips": ["gfx10"],
9577 "map": {"at": 163924, "to": "mm"},
9578 "name": "DB_STENCIL_WRITE_BASE",
9579 "type_ref": "CB_COLOR0_BASE"
9580 },
9581 {
9582 "chips": ["gfx10"],
9583 "map": {"at": 163956, "to": "mm"},
9584 "name": "DB_STENCIL_WRITE_BASE_HI",
9585 "type_ref": "DB_Z_READ_BASE_HI"
9586 },
9587 {
9588 "chips": ["gfx10"],
9589 "map": {"at": 200700, "to": "mm"},
9590 "name": "DB_ZPASS_COUNT_HI",
9591 "type_ref": "DB_ZPASS_COUNT_HI"
9592 },
9593 {
9594 "chips": ["gfx10"],
9595 "map": {"at": 200696, "to": "mm"},
9596 "name": "DB_ZPASS_COUNT_LOW",
9597 "type_ref": "DB_ZPASS_COUNT_LOW"
9598 },
9599 {
9600 "chips": ["gfx10"],
9601 "map": {"at": 163904, "to": "mm"},
9602 "name": "DB_Z_INFO",
9603 "type_ref": "DB_Z_INFO"
9604 },
9605 {
9606 "chips": ["gfx10"],
9607 "map": {"at": 163912, "to": "mm"},
9608 "name": "DB_Z_READ_BASE",
9609 "type_ref": "CB_COLOR0_BASE"
9610 },
9611 {
9612 "chips": ["gfx10"],
9613 "map": {"at": 163944, "to": "mm"},
9614 "name": "DB_Z_READ_BASE_HI",
9615 "type_ref": "DB_Z_READ_BASE_HI"
9616 },
9617 {
9618 "chips": ["gfx10"],
9619 "map": {"at": 163920, "to": "mm"},
9620 "name": "DB_Z_WRITE_BASE",
9621 "type_ref": "CB_COLOR0_BASE"
9622 },
9623 {
9624 "chips": ["gfx10"],
9625 "map": {"at": 163952, "to": "mm"},
9626 "name": "DB_Z_WRITE_BASE_HI",
9627 "type_ref": "DB_Z_READ_BASE_HI"
9628 },
9629 {
9630 "chips": ["gfx10"],
9631 "map": {"at": 218244, "to": "mm"},
9632 "name": "GCR_PERFCOUNTER0_HI",
9633 "type_ref": "CB_PERFCOUNTER0_HI"
9634 },
9635 {
9636 "chips": ["gfx10"],
9637 "map": {"at": 218240, "to": "mm"},
9638 "name": "GCR_PERFCOUNTER0_LO",
9639 "type_ref": "CB_PERFCOUNTER0_LO"
9640 },
9641 {
9642 "chips": ["gfx10"],
9643 "map": {"at": 226688, "to": "mm"},
9644 "name": "GCR_PERFCOUNTER0_SELECT",
9645 "type_ref": "GCR_PERFCOUNTER0_SELECT"
9646 },
9647 {
9648 "chips": ["gfx10"],
9649 "map": {"at": 226692, "to": "mm"},
9650 "name": "GCR_PERFCOUNTER0_SELECT1",
9651 "type_ref": "GCR_PERFCOUNTER0_SELECT1"
9652 },
9653 {
9654 "chips": ["gfx10"],
9655 "map": {"at": 218252, "to": "mm"},
9656 "name": "GCR_PERFCOUNTER1_HI",
9657 "type_ref": "CB_PERFCOUNTER0_HI"
9658 },
9659 {
9660 "chips": ["gfx10"],
9661 "map": {"at": 218248, "to": "mm"},
9662 "name": "GCR_PERFCOUNTER1_LO",
9663 "type_ref": "CB_PERFCOUNTER0_LO"
9664 },
9665 {
9666 "chips": ["gfx10"],
9667 "map": {"at": 226696, "to": "mm"},
9668 "name": "GCR_PERFCOUNTER1_SELECT",
9669 "type_ref": "GCR_PERFCOUNTER1_SELECT"
9670 },
9671 {
9672 "chips": ["gfx10"],
9673 "map": {"at": 200752, "to": "mm"},
9674 "name": "GDS_ATOM_BASE",
9675 "type_ref": "GDS_ATOM_BASE"
9676 },
9677 {
9678 "chips": ["gfx10"],
9679 "map": {"at": 200744, "to": "mm"},
9680 "name": "GDS_ATOM_CNTL",
9681 "type_ref": "GDS_ATOM_CNTL"
9682 },
9683 {
9684 "chips": ["gfx10"],
9685 "map": {"at": 200748, "to": "mm"},
9686 "name": "GDS_ATOM_COMPLETE",
9687 "type_ref": "GDS_ATOM_COMPLETE"
9688 },
9689 {
9690 "chips": ["gfx10"],
9691 "map": {"at": 200768, "to": "mm"},
9692 "name": "GDS_ATOM_DST",
9693 "type_ref": "GDS_ATOM_DST"
9694 },
9695 {
9696 "chips": ["gfx10"],
9697 "map": {"at": 200760, "to": "mm"},
9698 "name": "GDS_ATOM_OFFSET0",
9699 "type_ref": "GDS_ATOM_OFFSET0"
9700 },
9701 {
9702 "chips": ["gfx10"],
9703 "map": {"at": 200764, "to": "mm"},
9704 "name": "GDS_ATOM_OFFSET1",
9705 "type_ref": "GDS_ATOM_OFFSET1"
9706 },
9707 {
9708 "chips": ["gfx10"],
9709 "map": {"at": 200772, "to": "mm"},
9710 "name": "GDS_ATOM_OP",
9711 "type_ref": "GDS_ATOM_OP"
9712 },
9713 {
9714 "chips": ["gfx10"],
9715 "map": {"at": 200792, "to": "mm"},
9716 "name": "GDS_ATOM_READ0",
9717 "type_ref": "COMPUTE_PGM_LO"
9718 },
9719 {
9720 "chips": ["gfx10"],
9721 "map": {"at": 200796, "to": "mm"},
9722 "name": "GDS_ATOM_READ0_U",
9723 "type_ref": "COMPUTE_PGM_LO"
9724 },
9725 {
9726 "chips": ["gfx10"],
9727 "map": {"at": 200800, "to": "mm"},
9728 "name": "GDS_ATOM_READ1",
9729 "type_ref": "COMPUTE_PGM_LO"
9730 },
9731 {
9732 "chips": ["gfx10"],
9733 "map": {"at": 200804, "to": "mm"},
9734 "name": "GDS_ATOM_READ1_U",
9735 "type_ref": "COMPUTE_PGM_LO"
9736 },
9737 {
9738 "chips": ["gfx10"],
9739 "map": {"at": 200756, "to": "mm"},
9740 "name": "GDS_ATOM_SIZE",
9741 "type_ref": "GDS_ATOM_SIZE"
9742 },
9743 {
9744 "chips": ["gfx10"],
9745 "map": {"at": 200776, "to": "mm"},
9746 "name": "GDS_ATOM_SRC0",
9747 "type_ref": "COMPUTE_PGM_LO"
9748 },
9749 {
9750 "chips": ["gfx10"],
9751 "map": {"at": 200780, "to": "mm"},
9752 "name": "GDS_ATOM_SRC0_U",
9753 "type_ref": "COMPUTE_PGM_LO"
9754 },
9755 {
9756 "chips": ["gfx10"],
9757 "map": {"at": 200784, "to": "mm"},
9758 "name": "GDS_ATOM_SRC1",
9759 "type_ref": "COMPUTE_PGM_LO"
9760 },
9761 {
9762 "chips": ["gfx10"],
9763 "map": {"at": 200788, "to": "mm"},
9764 "name": "GDS_ATOM_SRC1_U",
9765 "type_ref": "COMPUTE_PGM_LO"
9766 },
9767 {
9768 "chips": ["gfx10"],
9769 "map": {"at": 200812, "to": "mm"},
9770 "name": "GDS_GWS_RESOURCE",
9771 "type_ref": "GDS_GWS_RESOURCE"
9772 },
9773 {
9774 "chips": ["gfx10"],
9775 "map": {"at": 200816, "to": "mm"},
9776 "name": "GDS_GWS_RESOURCE_CNT",
9777 "type_ref": "GDS_GWS_RESOURCE_CNT"
9778 },
9779 {
9780 "chips": ["gfx10"],
9781 "map": {"at": 200808, "to": "mm"},
9782 "name": "GDS_GWS_RESOURCE_CNTL",
9783 "type_ref": "GDS_GWS_RESOURCE_CNTL"
9784 },
9785 {
9786 "chips": ["gfx10"],
9787 "map": {"at": 200828, "to": "mm"},
9788 "name": "GDS_OA_ADDRESS",
9789 "type_ref": "GDS_OA_ADDRESS"
9790 },
9791 {
9792 "chips": ["gfx10"],
9793 "map": {"at": 200820, "to": "mm"},
9794 "name": "GDS_OA_CNTL",
9795 "type_ref": "GDS_OA_CNTL"
9796 },
9797 {
9798 "chips": ["gfx10"],
9799 "map": {"at": 200824, "to": "mm"},
9800 "name": "GDS_OA_COUNTER",
9801 "type_ref": "GDS_OA_COUNTER"
9802 },
9803 {
9804 "chips": ["gfx10"],
9805 "map": {"at": 200832, "to": "mm"},
9806 "name": "GDS_OA_INCDEC",
9807 "type_ref": "GDS_OA_INCDEC"
9808 },
9809 {
9810 "chips": ["gfx10"],
9811 "map": {"at": 200836, "to": "mm"},
9812 "name": "GDS_OA_RING_SIZE",
9813 "type_ref": "GDS_OA_RING_SIZE"
9814 },
9815 {
9816 "chips": ["gfx10"],
9817 "map": {"at": 215556, "to": "mm"},
9818 "name": "GDS_PERFCOUNTER0_HI",
9819 "type_ref": "CB_PERFCOUNTER0_HI"
9820 },
9821 {
9822 "chips": ["gfx10"],
9823 "map": {"at": 215552, "to": "mm"},
9824 "name": "GDS_PERFCOUNTER0_LO",
9825 "type_ref": "CB_PERFCOUNTER0_LO"
9826 },
9827 {
9828 "chips": ["gfx10"],
9829 "map": {"at": 223744, "to": "mm"},
9830 "name": "GDS_PERFCOUNTER0_SELECT",
9831 "type_ref": "DB_PERFCOUNTER0_SELECT"
9832 },
9833 {
9834 "chips": ["gfx10"],
9835 "map": {"at": 223760, "to": "mm"},
9836 "name": "GDS_PERFCOUNTER0_SELECT1",
9837 "type_ref": "DB_PERFCOUNTER0_SELECT1"
9838 },
9839 {
9840 "chips": ["gfx10"],
9841 "map": {"at": 215564, "to": "mm"},
9842 "name": "GDS_PERFCOUNTER1_HI",
9843 "type_ref": "CB_PERFCOUNTER0_HI"
9844 },
9845 {
9846 "chips": ["gfx10"],
9847 "map": {"at": 215560, "to": "mm"},
9848 "name": "GDS_PERFCOUNTER1_LO",
9849 "type_ref": "CB_PERFCOUNTER0_LO"
9850 },
9851 {
9852 "chips": ["gfx10"],
9853 "map": {"at": 223748, "to": "mm"},
9854 "name": "GDS_PERFCOUNTER1_SELECT",
9855 "type_ref": "DB_PERFCOUNTER0_SELECT"
9856 },
9857 {
9858 "chips": ["gfx10"],
9859 "map": {"at": 215572, "to": "mm"},
9860 "name": "GDS_PERFCOUNTER2_HI",
9861 "type_ref": "CB_PERFCOUNTER0_HI"
9862 },
9863 {
9864 "chips": ["gfx10"],
9865 "map": {"at": 215568, "to": "mm"},
9866 "name": "GDS_PERFCOUNTER2_LO",
9867 "type_ref": "CB_PERFCOUNTER0_LO"
9868 },
9869 {
9870 "chips": ["gfx10"],
9871 "map": {"at": 223752, "to": "mm"},
9872 "name": "GDS_PERFCOUNTER2_SELECT",
9873 "type_ref": "DB_PERFCOUNTER0_SELECT"
9874 },
9875 {
9876 "chips": ["gfx10"],
9877 "map": {"at": 215580, "to": "mm"},
9878 "name": "GDS_PERFCOUNTER3_HI",
9879 "type_ref": "CB_PERFCOUNTER0_HI"
9880 },
9881 {
9882 "chips": ["gfx10"],
9883 "map": {"at": 215576, "to": "mm"},
9884 "name": "GDS_PERFCOUNTER3_LO",
9885 "type_ref": "CB_PERFCOUNTER0_LO"
9886 },
9887 {
9888 "chips": ["gfx10"],
9889 "map": {"at": 223756, "to": "mm"},
9890 "name": "GDS_PERFCOUNTER3_SELECT",
9891 "type_ref": "DB_PERFCOUNTER0_SELECT"
9892 },
9893 {
9894 "chips": ["gfx10"],
9895 "map": {"at": 200704, "to": "mm"},
9896 "name": "GDS_RD_ADDR",
9897 "type_ref": "GDS_RD_ADDR"
9898 },
9899 {
9900 "chips": ["gfx10"],
9901 "map": {"at": 200712, "to": "mm"},
9902 "name": "GDS_RD_BURST_ADDR",
9903 "type_ref": "GDS_RD_BURST_ADDR"
9904 },
9905 {
9906 "chips": ["gfx10"],
9907 "map": {"at": 200716, "to": "mm"},
9908 "name": "GDS_RD_BURST_COUNT",
9909 "type_ref": "GDS_RD_BURST_COUNT"
9910 },
9911 {
9912 "chips": ["gfx10"],
9913 "map": {"at": 200720, "to": "mm"},
9914 "name": "GDS_RD_BURST_DATA",
9915 "type_ref": "GDS_RD_BURST_DATA"
9916 },
9917 {
9918 "chips": ["gfx10"],
9919 "map": {"at": 200708, "to": "mm"},
9920 "name": "GDS_RD_DATA",
9921 "type_ref": "GDS_RD_DATA"
9922 },
9923 {
9924 "chips": ["gfx10"],
9925 "map": {"at": 200740, "to": "mm"},
9926 "name": "GDS_WRITE_COMPLETE",
9927 "type_ref": "GDS_WRITE_COMPLETE"
9928 },
9929 {
9930 "chips": ["gfx10"],
9931 "map": {"at": 200724, "to": "mm"},
9932 "name": "GDS_WR_ADDR",
9933 "type_ref": "GDS_WR_ADDR"
9934 },
9935 {
9936 "chips": ["gfx10"],
9937 "map": {"at": 200732, "to": "mm"},
9938 "name": "GDS_WR_BURST_ADDR",
9939 "type_ref": "GDS_WR_ADDR"
9940 },
9941 {
9942 "chips": ["gfx10"],
9943 "map": {"at": 200736, "to": "mm"},
9944 "name": "GDS_WR_BURST_DATA",
9945 "type_ref": "GDS_WR_DATA"
9946 },
9947 {
9948 "chips": ["gfx10"],
9949 "map": {"at": 200728, "to": "mm"},
9950 "name": "GDS_WR_DATA",
9951 "type_ref": "GDS_WR_DATA"
9952 },
9953 {
9954 "chips": ["gfx10"],
9955 "map": {"at": 199020, "to": "mm"},
9956 "name": "GE_CNTL",
9957 "type_ref": "GE_CNTL"
9958 },
9959 {
9960 "chips": ["gfx10"],
9961 "map": {"at": 198980, "to": "mm"},
9962 "name": "GE_DMA_FIRST_INDEX",
9963 "type_ref": "GE_DMA_FIRST_INDEX"
9964 },
9965 {
9966 "chips": ["gfx10"],
9967 "map": {"at": 198952, "to": "mm"},
9968 "name": "GE_INDX_OFFSET",
9969 "type_ref": "GE_INDX_OFFSET"
9970 },
9971 {
9972 "chips": ["gfx10"],
9973 "map": {"at": 165884, "to": "mm"},
9974 "name": "GE_MAX_OUTPUT_PER_SUBGROUP",
9975 "type_ref": "GE_MAX_OUTPUT_PER_SUBGROUP"
9976 },
9977 {
9978 "chips": ["gfx10"],
9979 "map": {"at": 199012, "to": "mm"},
9980 "name": "GE_MAX_VTX_INDX",
9981 "type_ref": "GE_MAX_VTX_INDX"
9982 },
9983 {
9984 "chips": ["gfx10"],
9985 "map": {"at": 198948, "to": "mm"},
9986 "name": "GE_MIN_VTX_INDX",
9987 "type_ref": "GE_MIN_VTX_INDX"
9988 },
9989 {
9990 "chips": ["gfx10"],
9991 "map": {"at": 198956, "to": "mm"},
9992 "name": "GE_MULTI_PRIM_IB_RESET_EN",
9993 "type_ref": "GE_MULTI_PRIM_IB_RESET_EN"
9994 },
9995 {
9996 "chips": ["gfx10"],
9997 "map": {"at": 166732, "to": "mm"},
9998 "name": "GE_NGG_SUBGRP_CNTL",
9999 "type_ref": "GE_NGG_SUBGRP_CNTL"
10000 },
10001 {
10002 "chips": ["gfx10"],
10003 "map": {"at": 199040, "to": "mm"},
10004 "name": "GE_PC_ALLOC",
10005 "type_ref": "GE_PC_ALLOC"
10006 },
10007 {
10008 "chips": ["gfx10"],
10009 "map": {"at": 213508, "to": "mm"},
10010 "name": "GE_PERFCOUNTER0_HI",
10011 "type_ref": "CB_PERFCOUNTER0_HI"
10012 },
10013 {
10014 "chips": ["gfx10"],
10015 "map": {"at": 213504, "to": "mm"},
10016 "name": "GE_PERFCOUNTER0_LO",
10017 "type_ref": "CB_PERFCOUNTER0_LO"
10018 },
10019 {
10020 "chips": ["gfx10"],
10021 "map": {"at": 221696, "to": "mm"},
10022 "name": "GE_PERFCOUNTER0_SELECT",
10023 "type_ref": "GE_PERFCOUNTER0_SELECT"
10024 },
10025 {
10026 "chips": ["gfx10"],
10027 "map": {"at": 221700, "to": "mm"},
10028 "name": "GE_PERFCOUNTER0_SELECT1",
10029 "type_ref": "GE_PERFCOUNTER0_SELECT1"
10030 },
10031 {
10032 "chips": ["gfx10"],
10033 "map": {"at": 213588, "to": "mm"},
10034 "name": "GE_PERFCOUNTER10_HI",
10035 "type_ref": "CB_PERFCOUNTER0_HI"
10036 },
10037 {
10038 "chips": ["gfx10"],
10039 "map": {"at": 213584, "to": "mm"},
10040 "name": "GE_PERFCOUNTER10_LO",
10041 "type_ref": "CB_PERFCOUNTER0_LO"
10042 },
10043 {
10044 "chips": ["gfx10"],
10045 "map": {"at": 221776, "to": "mm"},
10046 "name": "GE_PERFCOUNTER10_SELECT",
10047 "type_ref": "GE_PERFCOUNTER4_SELECT"
10048 },
10049 {
10050 "chips": ["gfx10"],
10051 "map": {"at": 213596, "to": "mm"},
10052 "name": "GE_PERFCOUNTER11_HI",
10053 "type_ref": "CB_PERFCOUNTER0_HI"
10054 },
10055 {
10056 "chips": ["gfx10"],
10057 "map": {"at": 213592, "to": "mm"},
10058 "name": "GE_PERFCOUNTER11_LO",
10059 "type_ref": "CB_PERFCOUNTER0_LO"
10060 },
10061 {
10062 "chips": ["gfx10"],
10063 "map": {"at": 221784, "to": "mm"},
10064 "name": "GE_PERFCOUNTER11_SELECT",
10065 "type_ref": "GE_PERFCOUNTER4_SELECT"
10066 },
10067 {
10068 "chips": ["gfx10"],
10069 "map": {"at": 213516, "to": "mm"},
10070 "name": "GE_PERFCOUNTER1_HI",
10071 "type_ref": "CB_PERFCOUNTER0_HI"
10072 },
10073 {
10074 "chips": ["gfx10"],
10075 "map": {"at": 213512, "to": "mm"},
10076 "name": "GE_PERFCOUNTER1_LO",
10077 "type_ref": "CB_PERFCOUNTER0_LO"
10078 },
10079 {
10080 "chips": ["gfx10"],
10081 "map": {"at": 221704, "to": "mm"},
10082 "name": "GE_PERFCOUNTER1_SELECT",
10083 "type_ref": "GE_PERFCOUNTER0_SELECT"
10084 },
10085 {
10086 "chips": ["gfx10"],
10087 "map": {"at": 221708, "to": "mm"},
10088 "name": "GE_PERFCOUNTER1_SELECT1",
10089 "type_ref": "GE_PERFCOUNTER0_SELECT1"
10090 },
10091 {
10092 "chips": ["gfx10"],
10093 "map": {"at": 213524, "to": "mm"},
10094 "name": "GE_PERFCOUNTER2_HI",
10095 "type_ref": "CB_PERFCOUNTER0_HI"
10096 },
10097 {
10098 "chips": ["gfx10"],
10099 "map": {"at": 213520, "to": "mm"},
10100 "name": "GE_PERFCOUNTER2_LO",
10101 "type_ref": "CB_PERFCOUNTER0_LO"
10102 },
10103 {
10104 "chips": ["gfx10"],
10105 "map": {"at": 221712, "to": "mm"},
10106 "name": "GE_PERFCOUNTER2_SELECT",
10107 "type_ref": "GE_PERFCOUNTER0_SELECT"
10108 },
10109 {
10110 "chips": ["gfx10"],
10111 "map": {"at": 221716, "to": "mm"},
10112 "name": "GE_PERFCOUNTER2_SELECT1",
10113 "type_ref": "GE_PERFCOUNTER0_SELECT1"
10114 },
10115 {
10116 "chips": ["gfx10"],
10117 "map": {"at": 213532, "to": "mm"},
10118 "name": "GE_PERFCOUNTER3_HI",
10119 "type_ref": "CB_PERFCOUNTER0_HI"
10120 },
10121 {
10122 "chips": ["gfx10"],
10123 "map": {"at": 213528, "to": "mm"},
10124 "name": "GE_PERFCOUNTER3_LO",
10125 "type_ref": "CB_PERFCOUNTER0_LO"
10126 },
10127 {
10128 "chips": ["gfx10"],
10129 "map": {"at": 221720, "to": "mm"},
10130 "name": "GE_PERFCOUNTER3_SELECT",
10131 "type_ref": "GE_PERFCOUNTER0_SELECT"
10132 },
10133 {
10134 "chips": ["gfx10"],
10135 "map": {"at": 221724, "to": "mm"},
10136 "name": "GE_PERFCOUNTER3_SELECT1",
10137 "type_ref": "GE_PERFCOUNTER0_SELECT1"
10138 },
10139 {
10140 "chips": ["gfx10"],
10141 "map": {"at": 213540, "to": "mm"},
10142 "name": "GE_PERFCOUNTER4_HI",
10143 "type_ref": "CB_PERFCOUNTER0_HI"
10144 },
10145 {
10146 "chips": ["gfx10"],
10147 "map": {"at": 213536, "to": "mm"},
10148 "name": "GE_PERFCOUNTER4_LO",
10149 "type_ref": "CB_PERFCOUNTER0_LO"
10150 },
10151 {
10152 "chips": ["gfx10"],
10153 "map": {"at": 221728, "to": "mm"},
10154 "name": "GE_PERFCOUNTER4_SELECT",
10155 "type_ref": "GE_PERFCOUNTER4_SELECT"
10156 },
10157 {
10158 "chips": ["gfx10"],
10159 "map": {"at": 213548, "to": "mm"},
10160 "name": "GE_PERFCOUNTER5_HI",
10161 "type_ref": "CB_PERFCOUNTER0_HI"
10162 },
10163 {
10164 "chips": ["gfx10"],
10165 "map": {"at": 213544, "to": "mm"},
10166 "name": "GE_PERFCOUNTER5_LO",
10167 "type_ref": "CB_PERFCOUNTER0_LO"
10168 },
10169 {
10170 "chips": ["gfx10"],
10171 "map": {"at": 221736, "to": "mm"},
10172 "name": "GE_PERFCOUNTER5_SELECT",
10173 "type_ref": "GE_PERFCOUNTER4_SELECT"
10174 },
10175 {
10176 "chips": ["gfx10"],
10177 "map": {"at": 213556, "to": "mm"},
10178 "name": "GE_PERFCOUNTER6_HI",
10179 "type_ref": "CB_PERFCOUNTER0_HI"
10180 },
10181 {
10182 "chips": ["gfx10"],
10183 "map": {"at": 213552, "to": "mm"},
10184 "name": "GE_PERFCOUNTER6_LO",
10185 "type_ref": "CB_PERFCOUNTER0_LO"
10186 },
10187 {
10188 "chips": ["gfx10"],
10189 "map": {"at": 221744, "to": "mm"},
10190 "name": "GE_PERFCOUNTER6_SELECT",
10191 "type_ref": "GE_PERFCOUNTER4_SELECT"
10192 },
10193 {
10194 "chips": ["gfx10"],
10195 "map": {"at": 213564, "to": "mm"},
10196 "name": "GE_PERFCOUNTER7_HI",
10197 "type_ref": "CB_PERFCOUNTER0_HI"
10198 },
10199 {
10200 "chips": ["gfx10"],
10201 "map": {"at": 213560, "to": "mm"},
10202 "name": "GE_PERFCOUNTER7_LO",
10203 "type_ref": "CB_PERFCOUNTER0_LO"
10204 },
10205 {
10206 "chips": ["gfx10"],
10207 "map": {"at": 221752, "to": "mm"},
10208 "name": "GE_PERFCOUNTER7_SELECT",
10209 "type_ref": "GE_PERFCOUNTER4_SELECT"
10210 },
10211 {
10212 "chips": ["gfx10"],
10213 "map": {"at": 213572, "to": "mm"},
10214 "name": "GE_PERFCOUNTER8_HI",
10215 "type_ref": "CB_PERFCOUNTER0_HI"
10216 },
10217 {
10218 "chips": ["gfx10"],
10219 "map": {"at": 213568, "to": "mm"},
10220 "name": "GE_PERFCOUNTER8_LO",
10221 "type_ref": "CB_PERFCOUNTER0_LO"
10222 },
10223 {
10224 "chips": ["gfx10"],
10225 "map": {"at": 221760, "to": "mm"},
10226 "name": "GE_PERFCOUNTER8_SELECT",
10227 "type_ref": "GE_PERFCOUNTER4_SELECT"
10228 },
10229 {
10230 "chips": ["gfx10"],
10231 "map": {"at": 213580, "to": "mm"},
10232 "name": "GE_PERFCOUNTER9_HI",
10233 "type_ref": "CB_PERFCOUNTER0_HI"
10234 },
10235 {
10236 "chips": ["gfx10"],
10237 "map": {"at": 213576, "to": "mm"},
10238 "name": "GE_PERFCOUNTER9_LO",
10239 "type_ref": "CB_PERFCOUNTER0_LO"
10240 },
10241 {
10242 "chips": ["gfx10"],
10243 "map": {"at": 221768, "to": "mm"},
10244 "name": "GE_PERFCOUNTER9_SELECT",
10245 "type_ref": "GE_PERFCOUNTER4_SELECT"
10246 },
10247 {
10248 "chips": ["gfx10"],
10249 "map": {"at": 199036, "to": "mm"},
10250 "name": "GE_STEREO_CNTL",
10251 "type_ref": "GE_STEREO_CNTL"
10252 },
10253 {
10254 "chips": ["gfx10"],
10255 "map": {"at": 199024, "to": "mm"},
10256 "name": "GE_USER_VGPR1",
10257 "type_ref": "COMPUTE_PGM_LO"
10258 },
10259 {
10260 "chips": ["gfx10"],
10261 "map": {"at": 199028, "to": "mm"},
10262 "name": "GE_USER_VGPR2",
10263 "type_ref": "COMPUTE_PGM_LO"
10264 },
10265 {
10266 "chips": ["gfx10"],
10267 "map": {"at": 199032, "to": "mm"},
10268 "name": "GE_USER_VGPR3",
10269 "type_ref": "COMPUTE_PGM_LO"
10270 },
10271 {
10272 "chips": ["gfx10"],
10273 "map": {"at": 199048, "to": "mm"},
10274 "name": "GE_USER_VGPR_EN",
10275 "type_ref": "GE_USER_VGPR_EN"
10276 },
10277 {
10278 "chips": ["gfx10"],
10279 "map": {"at": 165840, "to": "mm"},
10280 "name": "GFX_COPY_STATE",
10281 "type_ref": "CS_COPY_STATE"
10282 },
10283 {
10284 "chips": ["gfx10"],
10285 "map": {"at": 218884, "to": "mm"},
10286 "name": "GL1A_PERFCOUNTER0_HI",
10287 "type_ref": "CB_PERFCOUNTER0_HI"
10288 },
10289 {
10290 "chips": ["gfx10"],
10291 "map": {"at": 218880, "to": "mm"},
10292 "name": "GL1A_PERFCOUNTER0_LO",
10293 "type_ref": "CB_PERFCOUNTER0_LO"
10294 },
10295 {
10296 "chips": ["gfx10"],
10297 "map": {"at": 227072, "to": "mm"},
10298 "name": "GL1A_PERFCOUNTER0_SELECT",
10299 "type_ref": "GL1A_PERFCOUNTER0_SELECT"
10300 },
10301 {
10302 "chips": ["gfx10"],
10303 "map": {"at": 227076, "to": "mm"},
10304 "name": "GL1A_PERFCOUNTER0_SELECT1",
10305 "type_ref": "GL1A_PERFCOUNTER0_SELECT1"
10306 },
10307 {
10308 "chips": ["gfx10"],
10309 "map": {"at": 218892, "to": "mm"},
10310 "name": "GL1A_PERFCOUNTER1_HI",
10311 "type_ref": "CB_PERFCOUNTER0_HI"
10312 },
10313 {
10314 "chips": ["gfx10"],
10315 "map": {"at": 218888, "to": "mm"},
10316 "name": "GL1A_PERFCOUNTER1_LO",
10317 "type_ref": "CB_PERFCOUNTER0_LO"
10318 },
10319 {
10320 "chips": ["gfx10"],
10321 "map": {"at": 227080, "to": "mm"},
10322 "name": "GL1A_PERFCOUNTER1_SELECT",
10323 "type_ref": "GL1A_PERFCOUNTER1_SELECT"
10324 },
10325 {
10326 "chips": ["gfx10"],
10327 "map": {"at": 218900, "to": "mm"},
10328 "name": "GL1A_PERFCOUNTER2_HI",
10329 "type_ref": "CB_PERFCOUNTER0_HI"
10330 },
10331 {
10332 "chips": ["gfx10"],
10333 "map": {"at": 218896, "to": "mm"},
10334 "name": "GL1A_PERFCOUNTER2_LO",
10335 "type_ref": "CB_PERFCOUNTER0_LO"
10336 },
10337 {
10338 "chips": ["gfx10"],
10339 "map": {"at": 227084, "to": "mm"},
10340 "name": "GL1A_PERFCOUNTER2_SELECT",
10341 "type_ref": "GL1A_PERFCOUNTER1_SELECT"
10342 },
10343 {
10344 "chips": ["gfx10"],
10345 "map": {"at": 218908, "to": "mm"},
10346 "name": "GL1A_PERFCOUNTER3_HI",
10347 "type_ref": "CB_PERFCOUNTER0_HI"
10348 },
10349 {
10350 "chips": ["gfx10"],
10351 "map": {"at": 218904, "to": "mm"},
10352 "name": "GL1A_PERFCOUNTER3_LO",
10353 "type_ref": "CB_PERFCOUNTER0_LO"
10354 },
10355 {
10356 "chips": ["gfx10"],
10357 "map": {"at": 227088, "to": "mm"},
10358 "name": "GL1A_PERFCOUNTER3_SELECT",
10359 "type_ref": "GL1A_PERFCOUNTER1_SELECT"
10360 },
10361 {
10362 "chips": ["gfx10"],
10363 "map": {"at": 216740, "to": "mm"},
10364 "name": "GL1CG_PERFCOUNTER0_HI",
10365 "type_ref": "CB_PERFCOUNTER0_HI"
10366 },
10367 {
10368 "chips": ["gfx10"],
10369 "map": {"at": 216736, "to": "mm"},
10370 "name": "GL1CG_PERFCOUNTER0_LO",
10371 "type_ref": "CB_PERFCOUNTER0_LO"
10372 },
10373 {
10374 "chips": ["gfx10"],
10375 "map": {"at": 224920, "to": "mm"},
10376 "name": "GL1CG_PERFCOUNTER0_SELECT",
10377 "type_ref": "GL1CG_PERFCOUNTER0_SELECT"
10378 },
10379 {
10380 "chips": ["gfx10"],
10381 "map": {"at": 224924, "to": "mm"},
10382 "name": "GL1CG_PERFCOUNTER0_SELECT1",
10383 "type_ref": "GL1CG_PERFCOUNTER0_SELECT1"
10384 },
10385 {
10386 "chips": ["gfx10"],
10387 "map": {"at": 216748, "to": "mm"},
10388 "name": "GL1CG_PERFCOUNTER1_HI",
10389 "type_ref": "CB_PERFCOUNTER0_HI"
10390 },
10391 {
10392 "chips": ["gfx10"],
10393 "map": {"at": 216744, "to": "mm"},
10394 "name": "GL1CG_PERFCOUNTER1_LO",
10395 "type_ref": "CB_PERFCOUNTER0_LO"
10396 },
10397 {
10398 "chips": ["gfx10"],
10399 "map": {"at": 224928, "to": "mm"},
10400 "name": "GL1CG_PERFCOUNTER1_SELECT",
10401 "type_ref": "GL1CG_PERFCOUNTER1_SELECT"
10402 },
10403 {
10404 "chips": ["gfx10"],
10405 "map": {"at": 216756, "to": "mm"},
10406 "name": "GL1CG_PERFCOUNTER2_HI",
10407 "type_ref": "CB_PERFCOUNTER0_HI"
10408 },
10409 {
10410 "chips": ["gfx10"],
10411 "map": {"at": 216752, "to": "mm"},
10412 "name": "GL1CG_PERFCOUNTER2_LO",
10413 "type_ref": "CB_PERFCOUNTER0_LO"
10414 },
10415 {
10416 "chips": ["gfx10"],
10417 "map": {"at": 224932, "to": "mm"},
10418 "name": "GL1CG_PERFCOUNTER2_SELECT",
10419 "type_ref": "GL1CG_PERFCOUNTER1_SELECT"
10420 },
10421 {
10422 "chips": ["gfx10"],
10423 "map": {"at": 216764, "to": "mm"},
10424 "name": "GL1CG_PERFCOUNTER3_HI",
10425 "type_ref": "CB_PERFCOUNTER0_HI"
10426 },
10427 {
10428 "chips": ["gfx10"],
10429 "map": {"at": 216760, "to": "mm"},
10430 "name": "GL1CG_PERFCOUNTER3_LO",
10431 "type_ref": "CB_PERFCOUNTER0_LO"
10432 },
10433 {
10434 "chips": ["gfx10"],
10435 "map": {"at": 224936, "to": "mm"},
10436 "name": "GL1CG_PERFCOUNTER3_SELECT",
10437 "type_ref": "GL1CG_PERFCOUNTER1_SELECT"
10438 },
10439 {
10440 "chips": ["gfx10"],
10441 "map": {"at": 216708, "to": "mm"},
10442 "name": "GL1C_PERFCOUNTER0_HI",
10443 "type_ref": "CB_PERFCOUNTER0_HI"
10444 },
10445 {
10446 "chips": ["gfx10"],
10447 "map": {"at": 216704, "to": "mm"},
10448 "name": "GL1C_PERFCOUNTER0_LO",
10449 "type_ref": "CB_PERFCOUNTER0_LO"
10450 },
10451 {
10452 "chips": ["gfx10"],
10453 "map": {"at": 224896, "to": "mm"},
10454 "name": "GL1C_PERFCOUNTER0_SELECT",
10455 "type_ref": "GL1C_PERFCOUNTER0_SELECT"
10456 },
10457 {
10458 "chips": ["gfx10"],
10459 "map": {"at": 224900, "to": "mm"},
10460 "name": "GL1C_PERFCOUNTER0_SELECT1",
10461 "type_ref": "GL1C_PERFCOUNTER0_SELECT1"
10462 },
10463 {
10464 "chips": ["gfx10"],
10465 "map": {"at": 216716, "to": "mm"},
10466 "name": "GL1C_PERFCOUNTER1_HI",
10467 "type_ref": "CB_PERFCOUNTER0_HI"
10468 },
10469 {
10470 "chips": ["gfx10"],
10471 "map": {"at": 216712, "to": "mm"},
10472 "name": "GL1C_PERFCOUNTER1_LO",
10473 "type_ref": "CB_PERFCOUNTER0_LO"
10474 },
10475 {
10476 "chips": ["gfx10"],
10477 "map": {"at": 224904, "to": "mm"},
10478 "name": "GL1C_PERFCOUNTER1_SELECT",
10479 "type_ref": "GL1C_PERFCOUNTER1_SELECT"
10480 },
10481 {
10482 "chips": ["gfx10"],
10483 "map": {"at": 216724, "to": "mm"},
10484 "name": "GL1C_PERFCOUNTER2_HI",
10485 "type_ref": "CB_PERFCOUNTER0_HI"
10486 },
10487 {
10488 "chips": ["gfx10"],
10489 "map": {"at": 216720, "to": "mm"},
10490 "name": "GL1C_PERFCOUNTER2_LO",
10491 "type_ref": "CB_PERFCOUNTER0_LO"
10492 },
10493 {
10494 "chips": ["gfx10"],
10495 "map": {"at": 224908, "to": "mm"},
10496 "name": "GL1C_PERFCOUNTER2_SELECT",
10497 "type_ref": "GL1C_PERFCOUNTER1_SELECT"
10498 },
10499 {
10500 "chips": ["gfx10"],
10501 "map": {"at": 216732, "to": "mm"},
10502 "name": "GL1C_PERFCOUNTER3_HI",
10503 "type_ref": "CB_PERFCOUNTER0_HI"
10504 },
10505 {
10506 "chips": ["gfx10"],
10507 "map": {"at": 216728, "to": "mm"},
10508 "name": "GL1C_PERFCOUNTER3_LO",
10509 "type_ref": "CB_PERFCOUNTER0_LO"
10510 },
10511 {
10512 "chips": ["gfx10"],
10513 "map": {"at": 224912, "to": "mm"},
10514 "name": "GL1C_PERFCOUNTER3_SELECT",
10515 "type_ref": "GL1C_PERFCOUNTER1_SELECT"
10516 },
10517 {
10518 "chips": ["gfx10"],
10519 "map": {"at": 216644, "to": "mm"},
10520 "name": "GL2A_PERFCOUNTER0_HI",
10521 "type_ref": "CB_PERFCOUNTER0_HI"
10522 },
10523 {
10524 "chips": ["gfx10"],
10525 "map": {"at": 216640, "to": "mm"},
10526 "name": "GL2A_PERFCOUNTER0_LO",
10527 "type_ref": "CB_PERFCOUNTER0_LO"
10528 },
10529 {
10530 "chips": ["gfx10"],
10531 "map": {"at": 224832, "to": "mm"},
10532 "name": "GL2A_PERFCOUNTER0_SELECT",
10533 "type_ref": "GL2A_PERFCOUNTER0_SELECT"
10534 },
10535 {
10536 "chips": ["gfx10"],
10537 "map": {"at": 224836, "to": "mm"},
10538 "name": "GL2A_PERFCOUNTER0_SELECT1",
10539 "type_ref": "GL2A_PERFCOUNTER0_SELECT1"
10540 },
10541 {
10542 "chips": ["gfx10"],
10543 "map": {"at": 216652, "to": "mm"},
10544 "name": "GL2A_PERFCOUNTER1_HI",
10545 "type_ref": "CB_PERFCOUNTER0_HI"
10546 },
10547 {
10548 "chips": ["gfx10"],
10549 "map": {"at": 216648, "to": "mm"},
10550 "name": "GL2A_PERFCOUNTER1_LO",
10551 "type_ref": "CB_PERFCOUNTER0_LO"
10552 },
10553 {
10554 "chips": ["gfx10"],
10555 "map": {"at": 224840, "to": "mm"},
10556 "name": "GL2A_PERFCOUNTER1_SELECT",
10557 "type_ref": "GL2A_PERFCOUNTER0_SELECT"
10558 },
10559 {
10560 "chips": ["gfx10"],
10561 "map": {"at": 224844, "to": "mm"},
10562 "name": "GL2A_PERFCOUNTER1_SELECT1",
10563 "type_ref": "GL2A_PERFCOUNTER0_SELECT1"
10564 },
10565 {
10566 "chips": ["gfx10"],
10567 "map": {"at": 216660, "to": "mm"},
10568 "name": "GL2A_PERFCOUNTER2_HI",
10569 "type_ref": "CB_PERFCOUNTER0_HI"
10570 },
10571 {
10572 "chips": ["gfx10"],
10573 "map": {"at": 216656, "to": "mm"},
10574 "name": "GL2A_PERFCOUNTER2_LO",
10575 "type_ref": "CB_PERFCOUNTER0_LO"
10576 },
10577 {
10578 "chips": ["gfx10"],
10579 "map": {"at": 224848, "to": "mm"},
10580 "name": "GL2A_PERFCOUNTER2_SELECT",
10581 "type_ref": "GL2A_PERFCOUNTER2_SELECT"
10582 },
10583 {
10584 "chips": ["gfx10"],
10585 "map": {"at": 216668, "to": "mm"},
10586 "name": "GL2A_PERFCOUNTER3_HI",
10587 "type_ref": "CB_PERFCOUNTER0_HI"
10588 },
10589 {
10590 "chips": ["gfx10"],
10591 "map": {"at": 216664, "to": "mm"},
10592 "name": "GL2A_PERFCOUNTER3_LO",
10593 "type_ref": "CB_PERFCOUNTER0_LO"
10594 },
10595 {
10596 "chips": ["gfx10"],
10597 "map": {"at": 224852, "to": "mm"},
10598 "name": "GL2A_PERFCOUNTER3_SELECT",
10599 "type_ref": "GL2A_PERFCOUNTER2_SELECT"
10600 },
10601 {
10602 "chips": ["gfx10"],
10603 "map": {"at": 216580, "to": "mm"},
10604 "name": "GL2C_PERFCOUNTER0_HI",
10605 "type_ref": "CB_PERFCOUNTER0_HI"
10606 },
10607 {
10608 "chips": ["gfx10"],
10609 "map": {"at": 216576, "to": "mm"},
10610 "name": "GL2C_PERFCOUNTER0_LO",
10611 "type_ref": "CB_PERFCOUNTER0_LO"
10612 },
10613 {
10614 "chips": ["gfx10"],
10615 "map": {"at": 224768, "to": "mm"},
10616 "name": "GL2C_PERFCOUNTER0_SELECT",
10617 "type_ref": "GL2C_PERFCOUNTER0_SELECT"
10618 },
10619 {
10620 "chips": ["gfx10"],
10621 "map": {"at": 224772, "to": "mm"},
10622 "name": "GL2C_PERFCOUNTER0_SELECT1",
10623 "type_ref": "GL2C_PERFCOUNTER0_SELECT1"
10624 },
10625 {
10626 "chips": ["gfx10"],
10627 "map": {"at": 216588, "to": "mm"},
10628 "name": "GL2C_PERFCOUNTER1_HI",
10629 "type_ref": "CB_PERFCOUNTER0_HI"
10630 },
10631 {
10632 "chips": ["gfx10"],
10633 "map": {"at": 216584, "to": "mm"},
10634 "name": "GL2C_PERFCOUNTER1_LO",
10635 "type_ref": "CB_PERFCOUNTER0_LO"
10636 },
10637 {
10638 "chips": ["gfx10"],
10639 "map": {"at": 224776, "to": "mm"},
10640 "name": "GL2C_PERFCOUNTER1_SELECT",
10641 "type_ref": "GL2C_PERFCOUNTER0_SELECT"
10642 },
10643 {
10644 "chips": ["gfx10"],
10645 "map": {"at": 224780, "to": "mm"},
10646 "name": "GL2C_PERFCOUNTER1_SELECT1",
10647 "type_ref": "GL2C_PERFCOUNTER0_SELECT1"
10648 },
10649 {
10650 "chips": ["gfx10"],
10651 "map": {"at": 216596, "to": "mm"},
10652 "name": "GL2C_PERFCOUNTER2_HI",
10653 "type_ref": "CB_PERFCOUNTER0_HI"
10654 },
10655 {
10656 "chips": ["gfx10"],
10657 "map": {"at": 216592, "to": "mm"},
10658 "name": "GL2C_PERFCOUNTER2_LO",
10659 "type_ref": "CB_PERFCOUNTER0_LO"
10660 },
10661 {
10662 "chips": ["gfx10"],
10663 "map": {"at": 224784, "to": "mm"},
10664 "name": "GL2C_PERFCOUNTER2_SELECT",
10665 "type_ref": "GL2C_PERFCOUNTER2_SELECT"
10666 },
10667 {
10668 "chips": ["gfx10"],
10669 "map": {"at": 216604, "to": "mm"},
10670 "name": "GL2C_PERFCOUNTER3_HI",
10671 "type_ref": "CB_PERFCOUNTER0_HI"
10672 },
10673 {
10674 "chips": ["gfx10"],
10675 "map": {"at": 216600, "to": "mm"},
10676 "name": "GL2C_PERFCOUNTER3_LO",
10677 "type_ref": "CB_PERFCOUNTER0_LO"
10678 },
10679 {
10680 "chips": ["gfx10"],
10681 "map": {"at": 224788, "to": "mm"},
10682 "name": "GL2C_PERFCOUNTER3_SELECT",
10683 "type_ref": "GL2C_PERFCOUNTER2_SELECT"
10684 },
10685 {
10686 "chips": ["gfx10"],
10687 "map": {"at": 198656, "to": "mm"},
10688 "name": "GRBM_GFX_INDEX",
10689 "type_ref": "GRBM_GFX_INDEX"
10690 },
10691 {
10692 "chips": ["gfx10"],
10693 "map": {"at": 213252, "to": "mm"},
10694 "name": "GRBM_PERFCOUNTER0_HI",
10695 "type_ref": "CB_PERFCOUNTER0_HI"
10696 },
10697 {
10698 "chips": ["gfx10"],
10699 "map": {"at": 213248, "to": "mm"},
10700 "name": "GRBM_PERFCOUNTER0_LO",
10701 "type_ref": "CB_PERFCOUNTER0_LO"
10702 },
10703 {
10704 "chips": ["gfx10"],
10705 "map": {"at": 221440, "to": "mm"},
10706 "name": "GRBM_PERFCOUNTER0_SELECT",
10707 "type_ref": "GRBM_PERFCOUNTER0_SELECT"
10708 },
10709 {
10710 "chips": ["gfx10"],
10711 "map": {"at": 221492, "to": "mm"},
10712 "name": "GRBM_PERFCOUNTER0_SELECT_HI",
10713 "type_ref": "GRBM_PERFCOUNTER0_SELECT_HI"
10714 },
10715 {
10716 "chips": ["gfx10"],
10717 "map": {"at": 213264, "to": "mm"},
10718 "name": "GRBM_PERFCOUNTER1_HI",
10719 "type_ref": "CB_PERFCOUNTER0_HI"
10720 },
10721 {
10722 "chips": ["gfx10"],
10723 "map": {"at": 213260, "to": "mm"},
10724 "name": "GRBM_PERFCOUNTER1_LO",
10725 "type_ref": "CB_PERFCOUNTER0_LO"
10726 },
10727 {
10728 "chips": ["gfx10"],
10729 "map": {"at": 221444, "to": "mm"},
10730 "name": "GRBM_PERFCOUNTER1_SELECT",
10731 "type_ref": "GRBM_PERFCOUNTER0_SELECT"
10732 },
10733 {
10734 "chips": ["gfx10"],
10735 "map": {"at": 221496, "to": "mm"},
10736 "name": "GRBM_PERFCOUNTER1_SELECT_HI",
10737 "type_ref": "GRBM_PERFCOUNTER0_SELECT_HI"
10738 },
10739 {
10740 "chips": ["gfx10"],
10741 "map": {"at": 213272, "to": "mm"},
10742 "name": "GRBM_SE0_PERFCOUNTER_HI",
10743 "type_ref": "CB_PERFCOUNTER0_HI"
10744 },
10745 {
10746 "chips": ["gfx10"],
10747 "map": {"at": 213268, "to": "mm"},
10748 "name": "GRBM_SE0_PERFCOUNTER_LO",
10749 "type_ref": "CB_PERFCOUNTER0_LO"
10750 },
10751 {
10752 "chips": ["gfx10"],
10753 "map": {"at": 221448, "to": "mm"},
10754 "name": "GRBM_SE0_PERFCOUNTER_SELECT",
10755 "type_ref": "GRBM_SE0_PERFCOUNTER_SELECT"
10756 },
10757 {
10758 "chips": ["gfx10"],
10759 "map": {"at": 213280, "to": "mm"},
10760 "name": "GRBM_SE1_PERFCOUNTER_HI",
10761 "type_ref": "CB_PERFCOUNTER0_HI"
10762 },
10763 {
10764 "chips": ["gfx10"],
10765 "map": {"at": 213276, "to": "mm"},
10766 "name": "GRBM_SE1_PERFCOUNTER_LO",
10767 "type_ref": "CB_PERFCOUNTER0_LO"
10768 },
10769 {
10770 "chips": ["gfx10"],
10771 "map": {"at": 221452, "to": "mm"},
10772 "name": "GRBM_SE1_PERFCOUNTER_SELECT",
10773 "type_ref": "GRBM_SE1_PERFCOUNTER_SELECT"
10774 },
10775 {
10776 "chips": ["gfx10"],
10777 "map": {"at": 213288, "to": "mm"},
10778 "name": "GRBM_SE2_PERFCOUNTER_HI",
10779 "type_ref": "CB_PERFCOUNTER0_HI"
10780 },
10781 {
10782 "chips": ["gfx10"],
10783 "map": {"at": 213284, "to": "mm"},
10784 "name": "GRBM_SE2_PERFCOUNTER_LO",
10785 "type_ref": "CB_PERFCOUNTER0_LO"
10786 },
10787 {
10788 "chips": ["gfx10"],
10789 "map": {"at": 221456, "to": "mm"},
10790 "name": "GRBM_SE2_PERFCOUNTER_SELECT",
10791 "type_ref": "GRBM_SE2_PERFCOUNTER_SELECT"
10792 },
10793 {
10794 "chips": ["gfx10"],
10795 "map": {"at": 213296, "to": "mm"},
10796 "name": "GRBM_SE3_PERFCOUNTER_HI",
10797 "type_ref": "CB_PERFCOUNTER0_HI"
10798 },
10799 {
10800 "chips": ["gfx10"],
10801 "map": {"at": 213292, "to": "mm"},
10802 "name": "GRBM_SE3_PERFCOUNTER_LO",
10803 "type_ref": "CB_PERFCOUNTER0_LO"
10804 },
10805 {
10806 "chips": ["gfx10"],
10807 "map": {"at": 221460, "to": "mm"},
10808 "name": "GRBM_SE3_PERFCOUNTER_SELECT",
10809 "type_ref": "GRBM_SE3_PERFCOUNTER_SELECT"
10810 },
10811 {
10812 "chips": ["gfx10"],
10813 "map": {"at": 196864, "to": "mm"},
10814 "name": "GUI_SCRATCH_REG0",
10815 "type_ref": "SCRATCH_REG0"
10816 },
10817 {
10818 "chips": ["gfx10"],
10819 "map": {"at": 196868, "to": "mm"},
10820 "name": "GUI_SCRATCH_REG1",
10821 "type_ref": "SCRATCH_REG1"
10822 },
10823 {
10824 "chips": ["gfx10"],
10825 "map": {"at": 196872, "to": "mm"},
10826 "name": "GUI_SCRATCH_REG2",
10827 "type_ref": "SCRATCH_REG2"
10828 },
10829 {
10830 "chips": ["gfx10"],
10831 "map": {"at": 196876, "to": "mm"},
10832 "name": "GUI_SCRATCH_REG3",
10833 "type_ref": "SCRATCH_REG3"
10834 },
10835 {
10836 "chips": ["gfx10"],
10837 "map": {"at": 196880, "to": "mm"},
10838 "name": "GUI_SCRATCH_REG4",
10839 "type_ref": "SCRATCH_REG4"
10840 },
10841 {
10842 "chips": ["gfx10"],
10843 "map": {"at": 196884, "to": "mm"},
10844 "name": "GUI_SCRATCH_REG5",
10845 "type_ref": "SCRATCH_REG5"
10846 },
10847 {
10848 "chips": ["gfx10"],
10849 "map": {"at": 196888, "to": "mm"},
10850 "name": "GUI_SCRATCH_REG6",
10851 "type_ref": "SCRATCH_REG6"
10852 },
10853 {
10854 "chips": ["gfx10"],
10855 "map": {"at": 196892, "to": "mm"},
10856 "name": "GUI_SCRATCH_REG7",
10857 "type_ref": "SCRATCH_REG7"
10858 },
10859 {
10860 "chips": ["gfx10"],
10861 "map": {"at": 166512, "to": "mm"},
10862 "name": "IA_ENHANCE",
10863 "type_ref": "IA_ENHANCE"
10864 },
10865 {
10866 "chips": ["gfx10"],
10867 "map": {"at": 166568, "to": "mm"},
10868 "name": "IA_MULTI_VGT_PARAM",
10869 "type_ref": "IA_MULTI_VGT_PARAM"
10870 },
10871 {
10872 "chips": ["gfx10"],
10873 "map": {"at": 199008, "to": "mm"},
10874 "name": "IA_MULTI_VGT_PARAM_PIPED",
10875 "type_ref": "IA_MULTI_VGT_PARAM_PIPED"
10876 },
10877 {
10878 "chips": ["gfx10"],
10879 "map": {"at": 165904, "to": "mm"},
10880 "name": "PA_CL_CLIP_CNTL",
10881 "type_ref": "PA_CL_CLIP_CNTL"
10882 },
10883 {
10884 "chips": ["gfx10"],
10885 "map": {"at": 166896, "to": "mm"},
10886 "name": "PA_CL_GB_HORZ_CLIP_ADJ",
10887 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
10888 },
10889 {
10890 "chips": ["gfx10"],
10891 "map": {"at": 166900, "to": "mm"},
10892 "name": "PA_CL_GB_HORZ_DISC_ADJ",
10893 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
10894 },
10895 {
10896 "chips": ["gfx10"],
10897 "map": {"at": 166888, "to": "mm"},
10898 "name": "PA_CL_GB_VERT_CLIP_ADJ",
10899 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
10900 },
10901 {
10902 "chips": ["gfx10"],
10903 "map": {"at": 166892, "to": "mm"},
10904 "name": "PA_CL_GB_VERT_DISC_ADJ",
10905 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
10906 },
10907 {
10908 "chips": ["gfx10"],
10909 "map": {"at": 165920, "to": "mm"},
10910 "name": "PA_CL_NANINF_CNTL",
10911 "type_ref": "PA_CL_NANINF_CNTL"
10912 },
10913 {
10914 "chips": ["gfx10"],
10915 "map": {"at": 165944, "to": "mm"},
10916 "name": "PA_CL_NGG_CNTL",
10917 "type_ref": "PA_CL_NGG_CNTL"
10918 },
10919 {
10920 "chips": ["gfx10"],
10921 "map": {"at": 165940, "to": "mm"},
10922 "name": "PA_CL_OBJPRIM_ID_CNTL",
10923 "type_ref": "PA_CL_OBJPRIM_ID_CNTL"
10924 },
10925 {
10926 "chips": ["gfx10"],
10927 "map": {"at": 165856, "to": "mm"},
10928 "name": "PA_CL_POINT_CULL_RAD",
10929 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
10930 },
10931 {
10932 "chips": ["gfx10"],
10933 "map": {"at": 165852, "to": "mm"},
10934 "name": "PA_CL_POINT_SIZE",
10935 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
10936 },
10937 {
10938 "chips": ["gfx10"],
10939 "map": {"at": 165844, "to": "mm"},
10940 "name": "PA_CL_POINT_X_RAD",
10941 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
10942 },
10943 {
10944 "chips": ["gfx10"],
10945 "map": {"at": 165848, "to": "mm"},
10946 "name": "PA_CL_POINT_Y_RAD",
10947 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
10948 },
10949 {
10950 "chips": ["gfx10"],
10951 "map": {"at": 165404, "to": "mm"},
10952 "name": "PA_CL_PROG_NEAR_CLIP_Z",
10953 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
10954 },
10955 {
10956 "chips": ["gfx10"],
10957 "map": {"at": 165320, "to": "mm"},
10958 "name": "PA_CL_UCP_0_W",
10959 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
10960 },
10961 {
10962 "chips": ["gfx10"],
10963 "map": {"at": 165308, "to": "mm"},
10964 "name": "PA_CL_UCP_0_X",
10965 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
10966 },
10967 {
10968 "chips": ["gfx10"],
10969 "map": {"at": 165312, "to": "mm"},
10970 "name": "PA_CL_UCP_0_Y",
10971 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
10972 },
10973 {
10974 "chips": ["gfx10"],
10975 "map": {"at": 165316, "to": "mm"},
10976 "name": "PA_CL_UCP_0_Z",
10977 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
10978 },
10979 {
10980 "chips": ["gfx10"],
10981 "map": {"at": 165336, "to": "mm"},
10982 "name": "PA_CL_UCP_1_W",
10983 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
10984 },
10985 {
10986 "chips": ["gfx10"],
10987 "map": {"at": 165324, "to": "mm"},
10988 "name": "PA_CL_UCP_1_X",
10989 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
10990 },
10991 {
10992 "chips": ["gfx10"],
10993 "map": {"at": 165328, "to": "mm"},
10994 "name": "PA_CL_UCP_1_Y",
10995 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
10996 },
10997 {
10998 "chips": ["gfx10"],
10999 "map": {"at": 165332, "to": "mm"},
11000 "name": "PA_CL_UCP_1_Z",
11001 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11002 },
11003 {
11004 "chips": ["gfx10"],
11005 "map": {"at": 165352, "to": "mm"},
11006 "name": "PA_CL_UCP_2_W",
11007 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11008 },
11009 {
11010 "chips": ["gfx10"],
11011 "map": {"at": 165340, "to": "mm"},
11012 "name": "PA_CL_UCP_2_X",
11013 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11014 },
11015 {
11016 "chips": ["gfx10"],
11017 "map": {"at": 165344, "to": "mm"},
11018 "name": "PA_CL_UCP_2_Y",
11019 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11020 },
11021 {
11022 "chips": ["gfx10"],
11023 "map": {"at": 165348, "to": "mm"},
11024 "name": "PA_CL_UCP_2_Z",
11025 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11026 },
11027 {
11028 "chips": ["gfx10"],
11029 "map": {"at": 165368, "to": "mm"},
11030 "name": "PA_CL_UCP_3_W",
11031 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11032 },
11033 {
11034 "chips": ["gfx10"],
11035 "map": {"at": 165356, "to": "mm"},
11036 "name": "PA_CL_UCP_3_X",
11037 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11038 },
11039 {
11040 "chips": ["gfx10"],
11041 "map": {"at": 165360, "to": "mm"},
11042 "name": "PA_CL_UCP_3_Y",
11043 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11044 },
11045 {
11046 "chips": ["gfx10"],
11047 "map": {"at": 165364, "to": "mm"},
11048 "name": "PA_CL_UCP_3_Z",
11049 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11050 },
11051 {
11052 "chips": ["gfx10"],
11053 "map": {"at": 165384, "to": "mm"},
11054 "name": "PA_CL_UCP_4_W",
11055 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11056 },
11057 {
11058 "chips": ["gfx10"],
11059 "map": {"at": 165372, "to": "mm"},
11060 "name": "PA_CL_UCP_4_X",
11061 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11062 },
11063 {
11064 "chips": ["gfx10"],
11065 "map": {"at": 165376, "to": "mm"},
11066 "name": "PA_CL_UCP_4_Y",
11067 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11068 },
11069 {
11070 "chips": ["gfx10"],
11071 "map": {"at": 165380, "to": "mm"},
11072 "name": "PA_CL_UCP_4_Z",
11073 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11074 },
11075 {
11076 "chips": ["gfx10"],
11077 "map": {"at": 165400, "to": "mm"},
11078 "name": "PA_CL_UCP_5_W",
11079 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11080 },
11081 {
11082 "chips": ["gfx10"],
11083 "map": {"at": 165388, "to": "mm"},
11084 "name": "PA_CL_UCP_5_X",
11085 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11086 },
11087 {
11088 "chips": ["gfx10"],
11089 "map": {"at": 165392, "to": "mm"},
11090 "name": "PA_CL_UCP_5_Y",
11091 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11092 },
11093 {
11094 "chips": ["gfx10"],
11095 "map": {"at": 165396, "to": "mm"},
11096 "name": "PA_CL_UCP_5_Z",
11097 "type_ref": "PA_CL_GB_VERT_CLIP_ADJ"
11098 },
11099 {
11100 "chips": ["gfx10"],
11101 "map": {"at": 164928, "to": "mm"},
11102 "name": "PA_CL_VPORT_XOFFSET",
11103 "type_ref": "PA_CL_VPORT_XOFFSET"
11104 },
11105 {
11106 "chips": ["gfx10"],
11107 "map": {"at": 164952, "to": "mm"},
11108 "name": "PA_CL_VPORT_XOFFSET_1",
11109 "type_ref": "PA_CL_VPORT_XOFFSET"
11110 },
11111 {
11112 "chips": ["gfx10"],
11113 "map": {"at": 165168, "to": "mm"},
11114 "name": "PA_CL_VPORT_XOFFSET_10",
11115 "type_ref": "PA_CL_VPORT_XOFFSET"
11116 },
11117 {
11118 "chips": ["gfx10"],
11119 "map": {"at": 165192, "to": "mm"},
11120 "name": "PA_CL_VPORT_XOFFSET_11",
11121 "type_ref": "PA_CL_VPORT_XOFFSET"
11122 },
11123 {
11124 "chips": ["gfx10"],
11125 "map": {"at": 165216, "to": "mm"},
11126 "name": "PA_CL_VPORT_XOFFSET_12",
11127 "type_ref": "PA_CL_VPORT_XOFFSET"
11128 },
11129 {
11130 "chips": ["gfx10"],
11131 "map": {"at": 165240, "to": "mm"},
11132 "name": "PA_CL_VPORT_XOFFSET_13",
11133 "type_ref": "PA_CL_VPORT_XOFFSET"
11134 },
11135 {
11136 "chips": ["gfx10"],
11137 "map": {"at": 165264, "to": "mm"},
11138 "name": "PA_CL_VPORT_XOFFSET_14",
11139 "type_ref": "PA_CL_VPORT_XOFFSET"
11140 },
11141 {
11142 "chips": ["gfx10"],
11143 "map": {"at": 165288, "to": "mm"},
11144 "name": "PA_CL_VPORT_XOFFSET_15",
11145 "type_ref": "PA_CL_VPORT_XOFFSET"
11146 },
11147 {
11148 "chips": ["gfx10"],
11149 "map": {"at": 164976, "to": "mm"},
11150 "name": "PA_CL_VPORT_XOFFSET_2",
11151 "type_ref": "PA_CL_VPORT_XOFFSET"
11152 },
11153 {
11154 "chips": ["gfx10"],
11155 "map": {"at": 165000, "to": "mm"},
11156 "name": "PA_CL_VPORT_XOFFSET_3",
11157 "type_ref": "PA_CL_VPORT_XOFFSET"
11158 },
11159 {
11160 "chips": ["gfx10"],
11161 "map": {"at": 165024, "to": "mm"},
11162 "name": "PA_CL_VPORT_XOFFSET_4",
11163 "type_ref": "PA_CL_VPORT_XOFFSET"
11164 },
11165 {
11166 "chips": ["gfx10"],
11167 "map": {"at": 165048, "to": "mm"},
11168 "name": "PA_CL_VPORT_XOFFSET_5",
11169 "type_ref": "PA_CL_VPORT_XOFFSET"
11170 },
11171 {
11172 "chips": ["gfx10"],
11173 "map": {"at": 165072, "to": "mm"},
11174 "name": "PA_CL_VPORT_XOFFSET_6",
11175 "type_ref": "PA_CL_VPORT_XOFFSET"
11176 },
11177 {
11178 "chips": ["gfx10"],
11179 "map": {"at": 165096, "to": "mm"},
11180 "name": "PA_CL_VPORT_XOFFSET_7",
11181 "type_ref": "PA_CL_VPORT_XOFFSET"
11182 },
11183 {
11184 "chips": ["gfx10"],
11185 "map": {"at": 165120, "to": "mm"},
11186 "name": "PA_CL_VPORT_XOFFSET_8",
11187 "type_ref": "PA_CL_VPORT_XOFFSET"
11188 },
11189 {
11190 "chips": ["gfx10"],
11191 "map": {"at": 165144, "to": "mm"},
11192 "name": "PA_CL_VPORT_XOFFSET_9",
11193 "type_ref": "PA_CL_VPORT_XOFFSET"
11194 },
11195 {
11196 "chips": ["gfx10"],
11197 "map": {"at": 164924, "to": "mm"},
11198 "name": "PA_CL_VPORT_XSCALE",
11199 "type_ref": "PA_CL_VPORT_XSCALE"
11200 },
11201 {
11202 "chips": ["gfx10"],
11203 "map": {"at": 164948, "to": "mm"},
11204 "name": "PA_CL_VPORT_XSCALE_1",
11205 "type_ref": "PA_CL_VPORT_XSCALE"
11206 },
11207 {
11208 "chips": ["gfx10"],
11209 "map": {"at": 165164, "to": "mm"},
11210 "name": "PA_CL_VPORT_XSCALE_10",
11211 "type_ref": "PA_CL_VPORT_XSCALE"
11212 },
11213 {
11214 "chips": ["gfx10"],
11215 "map": {"at": 165188, "to": "mm"},
11216 "name": "PA_CL_VPORT_XSCALE_11",
11217 "type_ref": "PA_CL_VPORT_XSCALE"
11218 },
11219 {
11220 "chips": ["gfx10"],
11221 "map": {"at": 165212, "to": "mm"},
11222 "name": "PA_CL_VPORT_XSCALE_12",
11223 "type_ref": "PA_CL_VPORT_XSCALE"
11224 },
11225 {
11226 "chips": ["gfx10"],
11227 "map": {"at": 165236, "to": "mm"},
11228 "name": "PA_CL_VPORT_XSCALE_13",
11229 "type_ref": "PA_CL_VPORT_XSCALE"
11230 },
11231 {
11232 "chips": ["gfx10"],
11233 "map": {"at": 165260, "to": "mm"},
11234 "name": "PA_CL_VPORT_XSCALE_14",
11235 "type_ref": "PA_CL_VPORT_XSCALE"
11236 },
11237 {
11238 "chips": ["gfx10"],
11239 "map": {"at": 165284, "to": "mm"},
11240 "name": "PA_CL_VPORT_XSCALE_15",
11241 "type_ref": "PA_CL_VPORT_XSCALE"
11242 },
11243 {
11244 "chips": ["gfx10"],
11245 "map": {"at": 164972, "to": "mm"},
11246 "name": "PA_CL_VPORT_XSCALE_2",
11247 "type_ref": "PA_CL_VPORT_XSCALE"
11248 },
11249 {
11250 "chips": ["gfx10"],
11251 "map": {"at": 164996, "to": "mm"},
11252 "name": "PA_CL_VPORT_XSCALE_3",
11253 "type_ref": "PA_CL_VPORT_XSCALE"
11254 },
11255 {
11256 "chips": ["gfx10"],
11257 "map": {"at": 165020, "to": "mm"},
11258 "name": "PA_CL_VPORT_XSCALE_4",
11259 "type_ref": "PA_CL_VPORT_XSCALE"
11260 },
11261 {
11262 "chips": ["gfx10"],
11263 "map": {"at": 165044, "to": "mm"},
11264 "name": "PA_CL_VPORT_XSCALE_5",
11265 "type_ref": "PA_CL_VPORT_XSCALE"
11266 },
11267 {
11268 "chips": ["gfx10"],
11269 "map": {"at": 165068, "to": "mm"},
11270 "name": "PA_CL_VPORT_XSCALE_6",
11271 "type_ref": "PA_CL_VPORT_XSCALE"
11272 },
11273 {
11274 "chips": ["gfx10"],
11275 "map": {"at": 165092, "to": "mm"},
11276 "name": "PA_CL_VPORT_XSCALE_7",
11277 "type_ref": "PA_CL_VPORT_XSCALE"
11278 },
11279 {
11280 "chips": ["gfx10"],
11281 "map": {"at": 165116, "to": "mm"},
11282 "name": "PA_CL_VPORT_XSCALE_8",
11283 "type_ref": "PA_CL_VPORT_XSCALE"
11284 },
11285 {
11286 "chips": ["gfx10"],
11287 "map": {"at": 165140, "to": "mm"},
11288 "name": "PA_CL_VPORT_XSCALE_9",
11289 "type_ref": "PA_CL_VPORT_XSCALE"
11290 },
11291 {
11292 "chips": ["gfx10"],
11293 "map": {"at": 164936, "to": "mm"},
11294 "name": "PA_CL_VPORT_YOFFSET",
11295 "type_ref": "PA_CL_VPORT_YOFFSET"
11296 },
11297 {
11298 "chips": ["gfx10"],
11299 "map": {"at": 164960, "to": "mm"},
11300 "name": "PA_CL_VPORT_YOFFSET_1",
11301 "type_ref": "PA_CL_VPORT_YOFFSET"
11302 },
11303 {
11304 "chips": ["gfx10"],
11305 "map": {"at": 165176, "to": "mm"},
11306 "name": "PA_CL_VPORT_YOFFSET_10",
11307 "type_ref": "PA_CL_VPORT_YOFFSET"
11308 },
11309 {
11310 "chips": ["gfx10"],
11311 "map": {"at": 165200, "to": "mm"},
11312 "name": "PA_CL_VPORT_YOFFSET_11",
11313 "type_ref": "PA_CL_VPORT_YOFFSET"
11314 },
11315 {
11316 "chips": ["gfx10"],
11317 "map": {"at": 165224, "to": "mm"},
11318 "name": "PA_CL_VPORT_YOFFSET_12",
11319 "type_ref": "PA_CL_VPORT_YOFFSET"
11320 },
11321 {
11322 "chips": ["gfx10"],
11323 "map": {"at": 165248, "to": "mm"},
11324 "name": "PA_CL_VPORT_YOFFSET_13",
11325 "type_ref": "PA_CL_VPORT_YOFFSET"
11326 },
11327 {
11328 "chips": ["gfx10"],
11329 "map": {"at": 165272, "to": "mm"},
11330 "name": "PA_CL_VPORT_YOFFSET_14",
11331 "type_ref": "PA_CL_VPORT_YOFFSET"
11332 },
11333 {
11334 "chips": ["gfx10"],
11335 "map": {"at": 165296, "to": "mm"},
11336 "name": "PA_CL_VPORT_YOFFSET_15",
11337 "type_ref": "PA_CL_VPORT_YOFFSET"
11338 },
11339 {
11340 "chips": ["gfx10"],
11341 "map": {"at": 164984, "to": "mm"},
11342 "name": "PA_CL_VPORT_YOFFSET_2",
11343 "type_ref": "PA_CL_VPORT_YOFFSET"
11344 },
11345 {
11346 "chips": ["gfx10"],
11347 "map": {"at": 165008, "to": "mm"},
11348 "name": "PA_CL_VPORT_YOFFSET_3",
11349 "type_ref": "PA_CL_VPORT_YOFFSET"
11350 },
11351 {
11352 "chips": ["gfx10"],
11353 "map": {"at": 165032, "to": "mm"},
11354 "name": "PA_CL_VPORT_YOFFSET_4",
11355 "type_ref": "PA_CL_VPORT_YOFFSET"
11356 },
11357 {
11358 "chips": ["gfx10"],
11359 "map": {"at": 165056, "to": "mm"},
11360 "name": "PA_CL_VPORT_YOFFSET_5",
11361 "type_ref": "PA_CL_VPORT_YOFFSET"
11362 },
11363 {
11364 "chips": ["gfx10"],
11365 "map": {"at": 165080, "to": "mm"},
11366 "name": "PA_CL_VPORT_YOFFSET_6",
11367 "type_ref": "PA_CL_VPORT_YOFFSET"
11368 },
11369 {
11370 "chips": ["gfx10"],
11371 "map": {"at": 165104, "to": "mm"},
11372 "name": "PA_CL_VPORT_YOFFSET_7",
11373 "type_ref": "PA_CL_VPORT_YOFFSET"
11374 },
11375 {
11376 "chips": ["gfx10"],
11377 "map": {"at": 165128, "to": "mm"},
11378 "name": "PA_CL_VPORT_YOFFSET_8",
11379 "type_ref": "PA_CL_VPORT_YOFFSET"
11380 },
11381 {
11382 "chips": ["gfx10"],
11383 "map": {"at": 165152, "to": "mm"},
11384 "name": "PA_CL_VPORT_YOFFSET_9",
11385 "type_ref": "PA_CL_VPORT_YOFFSET"
11386 },
11387 {
11388 "chips": ["gfx10"],
11389 "map": {"at": 164932, "to": "mm"},
11390 "name": "PA_CL_VPORT_YSCALE",
11391 "type_ref": "PA_CL_VPORT_YSCALE"
11392 },
11393 {
11394 "chips": ["gfx10"],
11395 "map": {"at": 164956, "to": "mm"},
11396 "name": "PA_CL_VPORT_YSCALE_1",
11397 "type_ref": "PA_CL_VPORT_YSCALE"
11398 },
11399 {
11400 "chips": ["gfx10"],
11401 "map": {"at": 165172, "to": "mm"},
11402 "name": "PA_CL_VPORT_YSCALE_10",
11403 "type_ref": "PA_CL_VPORT_YSCALE"
11404 },
11405 {
11406 "chips": ["gfx10"],
11407 "map": {"at": 165196, "to": "mm"},
11408 "name": "PA_CL_VPORT_YSCALE_11",
11409 "type_ref": "PA_CL_VPORT_YSCALE"
11410 },
11411 {
11412 "chips": ["gfx10"],
11413 "map": {"at": 165220, "to": "mm"},
11414 "name": "PA_CL_VPORT_YSCALE_12",
11415 "type_ref": "PA_CL_VPORT_YSCALE"
11416 },
11417 {
11418 "chips": ["gfx10"],
11419 "map": {"at": 165244, "to": "mm"},
11420 "name": "PA_CL_VPORT_YSCALE_13",
11421 "type_ref": "PA_CL_VPORT_YSCALE"
11422 },
11423 {
11424 "chips": ["gfx10"],
11425 "map": {"at": 165268, "to": "mm"},
11426 "name": "PA_CL_VPORT_YSCALE_14",
11427 "type_ref": "PA_CL_VPORT_YSCALE"
11428 },
11429 {
11430 "chips": ["gfx10"],
11431 "map": {"at": 165292, "to": "mm"},
11432 "name": "PA_CL_VPORT_YSCALE_15",
11433 "type_ref": "PA_CL_VPORT_YSCALE"
11434 },
11435 {
11436 "chips": ["gfx10"],
11437 "map": {"at": 164980, "to": "mm"},
11438 "name": "PA_CL_VPORT_YSCALE_2",
11439 "type_ref": "PA_CL_VPORT_YSCALE"
11440 },
11441 {
11442 "chips": ["gfx10"],
11443 "map": {"at": 165004, "to": "mm"},
11444 "name": "PA_CL_VPORT_YSCALE_3",
11445 "type_ref": "PA_CL_VPORT_YSCALE"
11446 },
11447 {
11448 "chips": ["gfx10"],
11449 "map": {"at": 165028, "to": "mm"},
11450 "name": "PA_CL_VPORT_YSCALE_4",
11451 "type_ref": "PA_CL_VPORT_YSCALE"
11452 },
11453 {
11454 "chips": ["gfx10"],
11455 "map": {"at": 165052, "to": "mm"},
11456 "name": "PA_CL_VPORT_YSCALE_5",
11457 "type_ref": "PA_CL_VPORT_YSCALE"
11458 },
11459 {
11460 "chips": ["gfx10"],
11461 "map": {"at": 165076, "to": "mm"},
11462 "name": "PA_CL_VPORT_YSCALE_6",
11463 "type_ref": "PA_CL_VPORT_YSCALE"
11464 },
11465 {
11466 "chips": ["gfx10"],
11467 "map": {"at": 165100, "to": "mm"},
11468 "name": "PA_CL_VPORT_YSCALE_7",
11469 "type_ref": "PA_CL_VPORT_YSCALE"
11470 },
11471 {
11472 "chips": ["gfx10"],
11473 "map": {"at": 165124, "to": "mm"},
11474 "name": "PA_CL_VPORT_YSCALE_8",
11475 "type_ref": "PA_CL_VPORT_YSCALE"
11476 },
11477 {
11478 "chips": ["gfx10"],
11479 "map": {"at": 165148, "to": "mm"},
11480 "name": "PA_CL_VPORT_YSCALE_9",
11481 "type_ref": "PA_CL_VPORT_YSCALE"
11482 },
11483 {
11484 "chips": ["gfx10"],
11485 "map": {"at": 164944, "to": "mm"},
11486 "name": "PA_CL_VPORT_ZOFFSET",
11487 "type_ref": "PA_CL_VPORT_ZOFFSET"
11488 },
11489 {
11490 "chips": ["gfx10"],
11491 "map": {"at": 164968, "to": "mm"},
11492 "name": "PA_CL_VPORT_ZOFFSET_1",
11493 "type_ref": "PA_CL_VPORT_ZOFFSET"
11494 },
11495 {
11496 "chips": ["gfx10"],
11497 "map": {"at": 165184, "to": "mm"},
11498 "name": "PA_CL_VPORT_ZOFFSET_10",
11499 "type_ref": "PA_CL_VPORT_ZOFFSET"
11500 },
11501 {
11502 "chips": ["gfx10"],
11503 "map": {"at": 165208, "to": "mm"},
11504 "name": "PA_CL_VPORT_ZOFFSET_11",
11505 "type_ref": "PA_CL_VPORT_ZOFFSET"
11506 },
11507 {
11508 "chips": ["gfx10"],
11509 "map": {"at": 165232, "to": "mm"},
11510 "name": "PA_CL_VPORT_ZOFFSET_12",
11511 "type_ref": "PA_CL_VPORT_ZOFFSET"
11512 },
11513 {
11514 "chips": ["gfx10"],
11515 "map": {"at": 165256, "to": "mm"},
11516 "name": "PA_CL_VPORT_ZOFFSET_13",
11517 "type_ref": "PA_CL_VPORT_ZOFFSET"
11518 },
11519 {
11520 "chips": ["gfx10"],
11521 "map": {"at": 165280, "to": "mm"},
11522 "name": "PA_CL_VPORT_ZOFFSET_14",
11523 "type_ref": "PA_CL_VPORT_ZOFFSET"
11524 },
11525 {
11526 "chips": ["gfx10"],
11527 "map": {"at": 165304, "to": "mm"},
11528 "name": "PA_CL_VPORT_ZOFFSET_15",
11529 "type_ref": "PA_CL_VPORT_ZOFFSET"
11530 },
11531 {
11532 "chips": ["gfx10"],
11533 "map": {"at": 164992, "to": "mm"},
11534 "name": "PA_CL_VPORT_ZOFFSET_2",
11535 "type_ref": "PA_CL_VPORT_ZOFFSET"
11536 },
11537 {
11538 "chips": ["gfx10"],
11539 "map": {"at": 165016, "to": "mm"},
11540 "name": "PA_CL_VPORT_ZOFFSET_3",
11541 "type_ref": "PA_CL_VPORT_ZOFFSET"
11542 },
11543 {
11544 "chips": ["gfx10"],
11545 "map": {"at": 165040, "to": "mm"},
11546 "name": "PA_CL_VPORT_ZOFFSET_4",
11547 "type_ref": "PA_CL_VPORT_ZOFFSET"
11548 },
11549 {
11550 "chips": ["gfx10"],
11551 "map": {"at": 165064, "to": "mm"},
11552 "name": "PA_CL_VPORT_ZOFFSET_5",
11553 "type_ref": "PA_CL_VPORT_ZOFFSET"
11554 },
11555 {
11556 "chips": ["gfx10"],
11557 "map": {"at": 165088, "to": "mm"},
11558 "name": "PA_CL_VPORT_ZOFFSET_6",
11559 "type_ref": "PA_CL_VPORT_ZOFFSET"
11560 },
11561 {
11562 "chips": ["gfx10"],
11563 "map": {"at": 165112, "to": "mm"},
11564 "name": "PA_CL_VPORT_ZOFFSET_7",
11565 "type_ref": "PA_CL_VPORT_ZOFFSET"
11566 },
11567 {
11568 "chips": ["gfx10"],
11569 "map": {"at": 165136, "to": "mm"},
11570 "name": "PA_CL_VPORT_ZOFFSET_8",
11571 "type_ref": "PA_CL_VPORT_ZOFFSET"
11572 },
11573 {
11574 "chips": ["gfx10"],
11575 "map": {"at": 165160, "to": "mm"},
11576 "name": "PA_CL_VPORT_ZOFFSET_9",
11577 "type_ref": "PA_CL_VPORT_ZOFFSET"
11578 },
11579 {
11580 "chips": ["gfx10"],
11581 "map": {"at": 164940, "to": "mm"},
11582 "name": "PA_CL_VPORT_ZSCALE",
11583 "type_ref": "PA_CL_VPORT_ZSCALE"
11584 },
11585 {
11586 "chips": ["gfx10"],
11587 "map": {"at": 164964, "to": "mm"},
11588 "name": "PA_CL_VPORT_ZSCALE_1",
11589 "type_ref": "PA_CL_VPORT_ZSCALE"
11590 },
11591 {
11592 "chips": ["gfx10"],
11593 "map": {"at": 165180, "to": "mm"},
11594 "name": "PA_CL_VPORT_ZSCALE_10",
11595 "type_ref": "PA_CL_VPORT_ZSCALE"
11596 },
11597 {
11598 "chips": ["gfx10"],
11599 "map": {"at": 165204, "to": "mm"},
11600 "name": "PA_CL_VPORT_ZSCALE_11",
11601 "type_ref": "PA_CL_VPORT_ZSCALE"
11602 },
11603 {
11604 "chips": ["gfx10"],
11605 "map": {"at": 165228, "to": "mm"},
11606 "name": "PA_CL_VPORT_ZSCALE_12",
11607 "type_ref": "PA_CL_VPORT_ZSCALE"
11608 },
11609 {
11610 "chips": ["gfx10"],
11611 "map": {"at": 165252, "to": "mm"},
11612 "name": "PA_CL_VPORT_ZSCALE_13",
11613 "type_ref": "PA_CL_VPORT_ZSCALE"
11614 },
11615 {
11616 "chips": ["gfx10"],
11617 "map": {"at": 165276, "to": "mm"},
11618 "name": "PA_CL_VPORT_ZSCALE_14",
11619 "type_ref": "PA_CL_VPORT_ZSCALE"
11620 },
11621 {
11622 "chips": ["gfx10"],
11623 "map": {"at": 165300, "to": "mm"},
11624 "name": "PA_CL_VPORT_ZSCALE_15",
11625 "type_ref": "PA_CL_VPORT_ZSCALE"
11626 },
11627 {
11628 "chips": ["gfx10"],
11629 "map": {"at": 164988, "to": "mm"},
11630 "name": "PA_CL_VPORT_ZSCALE_2",
11631 "type_ref": "PA_CL_VPORT_ZSCALE"
11632 },
11633 {
11634 "chips": ["gfx10"],
11635 "map": {"at": 165012, "to": "mm"},
11636 "name": "PA_CL_VPORT_ZSCALE_3",
11637 "type_ref": "PA_CL_VPORT_ZSCALE"
11638 },
11639 {
11640 "chips": ["gfx10"],
11641 "map": {"at": 165036, "to": "mm"},
11642 "name": "PA_CL_VPORT_ZSCALE_4",
11643 "type_ref": "PA_CL_VPORT_ZSCALE"
11644 },
11645 {
11646 "chips": ["gfx10"],
11647 "map": {"at": 165060, "to": "mm"},
11648 "name": "PA_CL_VPORT_ZSCALE_5",
11649 "type_ref": "PA_CL_VPORT_ZSCALE"
11650 },
11651 {
11652 "chips": ["gfx10"],
11653 "map": {"at": 165084, "to": "mm"},
11654 "name": "PA_CL_VPORT_ZSCALE_6",
11655 "type_ref": "PA_CL_VPORT_ZSCALE"
11656 },
11657 {
11658 "chips": ["gfx10"],
11659 "map": {"at": 165108, "to": "mm"},
11660 "name": "PA_CL_VPORT_ZSCALE_7",
11661 "type_ref": "PA_CL_VPORT_ZSCALE"
11662 },
11663 {
11664 "chips": ["gfx10"],
11665 "map": {"at": 165132, "to": "mm"},
11666 "name": "PA_CL_VPORT_ZSCALE_8",
11667 "type_ref": "PA_CL_VPORT_ZSCALE"
11668 },
11669 {
11670 "chips": ["gfx10"],
11671 "map": {"at": 165156, "to": "mm"},
11672 "name": "PA_CL_VPORT_ZSCALE_9",
11673 "type_ref": "PA_CL_VPORT_ZSCALE"
11674 },
11675 {
11676 "chips": ["gfx10"],
11677 "map": {"at": 165916, "to": "mm"},
11678 "name": "PA_CL_VS_OUT_CNTL",
11679 "type_ref": "PA_CL_VS_OUT_CNTL"
11680 },
11681 {
11682 "chips": ["gfx10"],
11683 "map": {"at": 165912, "to": "mm"},
11684 "name": "PA_CL_VTE_CNTL",
11685 "type_ref": "PA_CL_VTE_CNTL"
11686 },
11687 {
11688 "chips": ["gfx10"],
11689 "map": {"at": 218628, "to": "mm"},
11690 "name": "PA_PH_PERFCOUNTER0_HI",
11691 "type_ref": "CB_PERFCOUNTER0_HI"
11692 },
11693 {
11694 "chips": ["gfx10"],
11695 "map": {"at": 218624, "to": "mm"},
11696 "name": "PA_PH_PERFCOUNTER0_LO",
11697 "type_ref": "CB_PERFCOUNTER0_LO"
11698 },
11699 {
11700 "chips": ["gfx10"],
11701 "map": {"at": 226816, "to": "mm"},
11702 "name": "PA_PH_PERFCOUNTER0_SELECT",
11703 "type_ref": "PA_PH_PERFCOUNTER0_SELECT"
11704 },
11705 {
11706 "chips": ["gfx10"],
11707 "map": {"at": 226820, "to": "mm"},
11708 "name": "PA_PH_PERFCOUNTER0_SELECT1",
11709 "type_ref": "PA_PH_PERFCOUNTER0_SELECT1"
11710 },
11711 {
11712 "chips": ["gfx10"],
11713 "map": {"at": 218636, "to": "mm"},
11714 "name": "PA_PH_PERFCOUNTER1_HI",
11715 "type_ref": "CB_PERFCOUNTER0_HI"
11716 },
11717 {
11718 "chips": ["gfx10"],
11719 "map": {"at": 218632, "to": "mm"},
11720 "name": "PA_PH_PERFCOUNTER1_LO",
11721 "type_ref": "CB_PERFCOUNTER0_LO"
11722 },
11723 {
11724 "chips": ["gfx10"],
11725 "map": {"at": 226824, "to": "mm"},
11726 "name": "PA_PH_PERFCOUNTER1_SELECT",
11727 "type_ref": "PA_PH_PERFCOUNTER0_SELECT"
11728 },
11729 {
11730 "chips": ["gfx10"],
11731 "map": {"at": 226880, "to": "mm"},
11732 "name": "PA_PH_PERFCOUNTER1_SELECT1",
11733 "type_ref": "PA_PH_PERFCOUNTER0_SELECT1"
11734 },
11735 {
11736 "chips": ["gfx10"],
11737 "map": {"at": 218644, "to": "mm"},
11738 "name": "PA_PH_PERFCOUNTER2_HI",
11739 "type_ref": "CB_PERFCOUNTER0_HI"
11740 },
11741 {
11742 "chips": ["gfx10"],
11743 "map": {"at": 218640, "to": "mm"},
11744 "name": "PA_PH_PERFCOUNTER2_LO",
11745 "type_ref": "CB_PERFCOUNTER0_LO"
11746 },
11747 {
11748 "chips": ["gfx10"],
11749 "map": {"at": 226828, "to": "mm"},
11750 "name": "PA_PH_PERFCOUNTER2_SELECT",
11751 "type_ref": "PA_PH_PERFCOUNTER0_SELECT"
11752 },
11753 {
11754 "chips": ["gfx10"],
11755 "map": {"at": 226884, "to": "mm"},
11756 "name": "PA_PH_PERFCOUNTER2_SELECT1",
11757 "type_ref": "PA_PH_PERFCOUNTER0_SELECT1"
11758 },
11759 {
11760 "chips": ["gfx10"],
11761 "map": {"at": 218652, "to": "mm"},
11762 "name": "PA_PH_PERFCOUNTER3_HI",
11763 "type_ref": "CB_PERFCOUNTER0_HI"
11764 },
11765 {
11766 "chips": ["gfx10"],
11767 "map": {"at": 218648, "to": "mm"},
11768 "name": "PA_PH_PERFCOUNTER3_LO",
11769 "type_ref": "CB_PERFCOUNTER0_LO"
11770 },
11771 {
11772 "chips": ["gfx10"],
11773 "map": {"at": 226832, "to": "mm"},
11774 "name": "PA_PH_PERFCOUNTER3_SELECT",
11775 "type_ref": "PA_PH_PERFCOUNTER0_SELECT"
11776 },
11777 {
11778 "chips": ["gfx10"],
11779 "map": {"at": 226888, "to": "mm"},
11780 "name": "PA_PH_PERFCOUNTER3_SELECT1",
11781 "type_ref": "PA_PH_PERFCOUNTER0_SELECT1"
11782 },
11783 {
11784 "chips": ["gfx10"],
11785 "map": {"at": 218660, "to": "mm"},
11786 "name": "PA_PH_PERFCOUNTER4_HI",
11787 "type_ref": "CB_PERFCOUNTER0_HI"
11788 },
11789 {
11790 "chips": ["gfx10"],
11791 "map": {"at": 218656, "to": "mm"},
11792 "name": "PA_PH_PERFCOUNTER4_LO",
11793 "type_ref": "CB_PERFCOUNTER0_LO"
11794 },
11795 {
11796 "chips": ["gfx10"],
11797 "map": {"at": 226836, "to": "mm"},
11798 "name": "PA_PH_PERFCOUNTER4_SELECT",
11799 "type_ref": "PA_PH_PERFCOUNTER4_SELECT"
11800 },
11801 {
11802 "chips": ["gfx10"],
11803 "map": {"at": 218668, "to": "mm"},
11804 "name": "PA_PH_PERFCOUNTER5_HI",
11805 "type_ref": "CB_PERFCOUNTER0_HI"
11806 },
11807 {
11808 "chips": ["gfx10"],
11809 "map": {"at": 218664, "to": "mm"},
11810 "name": "PA_PH_PERFCOUNTER5_LO",
11811 "type_ref": "CB_PERFCOUNTER0_LO"
11812 },
11813 {
11814 "chips": ["gfx10"],
11815 "map": {"at": 226840, "to": "mm"},
11816 "name": "PA_PH_PERFCOUNTER5_SELECT",
11817 "type_ref": "PA_PH_PERFCOUNTER4_SELECT"
11818 },
11819 {
11820 "chips": ["gfx10"],
11821 "map": {"at": 218676, "to": "mm"},
11822 "name": "PA_PH_PERFCOUNTER6_HI",
11823 "type_ref": "CB_PERFCOUNTER0_HI"
11824 },
11825 {
11826 "chips": ["gfx10"],
11827 "map": {"at": 218672, "to": "mm"},
11828 "name": "PA_PH_PERFCOUNTER6_LO",
11829 "type_ref": "CB_PERFCOUNTER0_LO"
11830 },
11831 {
11832 "chips": ["gfx10"],
11833 "map": {"at": 226844, "to": "mm"},
11834 "name": "PA_PH_PERFCOUNTER6_SELECT",
11835 "type_ref": "PA_PH_PERFCOUNTER4_SELECT"
11836 },
11837 {
11838 "chips": ["gfx10"],
11839 "map": {"at": 218684, "to": "mm"},
11840 "name": "PA_PH_PERFCOUNTER7_HI",
11841 "type_ref": "CB_PERFCOUNTER0_HI"
11842 },
11843 {
11844 "chips": ["gfx10"],
11845 "map": {"at": 218680, "to": "mm"},
11846 "name": "PA_PH_PERFCOUNTER7_LO",
11847 "type_ref": "CB_PERFCOUNTER0_LO"
11848 },
11849 {
11850 "chips": ["gfx10"],
11851 "map": {"at": 226848, "to": "mm"},
11852 "name": "PA_PH_PERFCOUNTER7_SELECT",
11853 "type_ref": "PA_PH_PERFCOUNTER4_SELECT"
11854 },
11855 {
11856 "chips": ["gfx10"],
11857 "map": {"at": 166880, "to": "mm"},
11858 "name": "PA_SC_AA_CONFIG",
11859 "type_ref": "PA_SC_AA_CONFIG"
11860 },
11861 {
11862 "chips": ["gfx10"],
11863 "map": {"at": 166968, "to": "mm"},
11864 "name": "PA_SC_AA_MASK_X0Y0_X1Y0",
11865 "type_ref": "PA_SC_AA_MASK_X0Y0_X1Y0"
11866 },
11867 {
11868 "chips": ["gfx10"],
11869 "map": {"at": 166972, "to": "mm"},
11870 "name": "PA_SC_AA_MASK_X0Y1_X1Y1",
11871 "type_ref": "PA_SC_AA_MASK_X0Y1_X1Y1"
11872 },
11873 {
11874 "chips": ["gfx10"],
11875 "map": {"at": 166904, "to": "mm"},
11876 "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_0",
11877 "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_0"
11878 },
11879 {
11880 "chips": ["gfx10"],
11881 "map": {"at": 166908, "to": "mm"},
11882 "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_1",
11883 "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_1"
11884 },
11885 {
11886 "chips": ["gfx10"],
11887 "map": {"at": 166912, "to": "mm"},
11888 "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_2",
11889 "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_2"
11890 },
11891 {
11892 "chips": ["gfx10"],
11893 "map": {"at": 166916, "to": "mm"},
11894 "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_3",
11895 "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_3"
11896 },
11897 {
11898 "chips": ["gfx10"],
11899 "map": {"at": 166936, "to": "mm"},
11900 "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y1_0",
11901 "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_0"
11902 },
11903 {
11904 "chips": ["gfx10"],
11905 "map": {"at": 166940, "to": "mm"},
11906 "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y1_1",
11907 "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_1"
11908 },
11909 {
11910 "chips": ["gfx10"],
11911 "map": {"at": 166944, "to": "mm"},
11912 "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y1_2",
11913 "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_2"
11914 },
11915 {
11916 "chips": ["gfx10"],
11917 "map": {"at": 166948, "to": "mm"},
11918 "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y1_3",
11919 "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_3"
11920 },
11921 {
11922 "chips": ["gfx10"],
11923 "map": {"at": 166920, "to": "mm"},
11924 "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X1Y0_0",
11925 "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_0"
11926 },
11927 {
11928 "chips": ["gfx10"],
11929 "map": {"at": 166924, "to": "mm"},
11930 "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X1Y0_1",
11931 "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_1"
11932 },
11933 {
11934 "chips": ["gfx10"],
11935 "map": {"at": 166928, "to": "mm"},
11936 "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X1Y0_2",
11937 "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_2"
11938 },
11939 {
11940 "chips": ["gfx10"],
11941 "map": {"at": 166932, "to": "mm"},
11942 "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X1Y0_3",
11943 "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_3"
11944 },
11945 {
11946 "chips": ["gfx10"],
11947 "map": {"at": 166952, "to": "mm"},
11948 "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X1Y1_0",
11949 "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_0"
11950 },
11951 {
11952 "chips": ["gfx10"],
11953 "map": {"at": 166956, "to": "mm"},
11954 "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X1Y1_1",
11955 "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_1"
11956 },
11957 {
11958 "chips": ["gfx10"],
11959 "map": {"at": 166960, "to": "mm"},
11960 "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X1Y1_2",
11961 "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_2"
11962 },
11963 {
11964 "chips": ["gfx10"],
11965 "map": {"at": 166964, "to": "mm"},
11966 "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X1Y1_3",
11967 "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_3"
11968 },
11969 {
11970 "chips": ["gfx10"],
11971 "map": {"at": 166980, "to": "mm"},
11972 "name": "PA_SC_BINNER_CNTL_0",
11973 "type_ref": "PA_SC_BINNER_CNTL_0"
11974 },
11975 {
11976 "chips": ["gfx10"],
11977 "map": {"at": 166984, "to": "mm"},
11978 "name": "PA_SC_BINNER_CNTL_1",
11979 "type_ref": "PA_SC_BINNER_CNTL_1"
11980 },
11981 {
11982 "chips": ["gfx10"],
11983 "map": {"at": 166868, "to": "mm"},
11984 "name": "PA_SC_CENTROID_PRIORITY_0",
11985 "type_ref": "PA_SC_CENTROID_PRIORITY_0"
11986 },
11987 {
11988 "chips": ["gfx10"],
11989 "map": {"at": 166872, "to": "mm"},
11990 "name": "PA_SC_CENTROID_PRIORITY_1",
11991 "type_ref": "PA_SC_CENTROID_PRIORITY_1"
11992 },
11993 {
11994 "chips": ["gfx10"],
11995 "map": {"at": 164372, "to": "mm"},
11996 "name": "PA_SC_CLIPRECT_0_BR",
11997 "type_ref": "PA_SC_CLIPRECT_0_BR"
11998 },
11999 {
12000 "chips": ["gfx10"],
12001 "map": {"at": 164368, "to": "mm"},
12002 "name": "PA_SC_CLIPRECT_0_TL",
12003 "type_ref": "PA_SC_CLIPRECT_0_TL"
12004 },
12005 {
12006 "chips": ["gfx10"],
12007 "map": {"at": 164380, "to": "mm"},
12008 "name": "PA_SC_CLIPRECT_1_BR",
12009 "type_ref": "PA_SC_CLIPRECT_0_BR"
12010 },
12011 {
12012 "chips": ["gfx10"],
12013 "map": {"at": 164376, "to": "mm"},
12014 "name": "PA_SC_CLIPRECT_1_TL",
12015 "type_ref": "PA_SC_CLIPRECT_0_TL"
12016 },
12017 {
12018 "chips": ["gfx10"],
12019 "map": {"at": 164388, "to": "mm"},
12020 "name": "PA_SC_CLIPRECT_2_BR",
12021 "type_ref": "PA_SC_CLIPRECT_0_BR"
12022 },
12023 {
12024 "chips": ["gfx10"],
12025 "map": {"at": 164384, "to": "mm"},
12026 "name": "PA_SC_CLIPRECT_2_TL",
12027 "type_ref": "PA_SC_CLIPRECT_0_TL"
12028 },
12029 {
12030 "chips": ["gfx10"],
12031 "map": {"at": 164396, "to": "mm"},
12032 "name": "PA_SC_CLIPRECT_3_BR",
12033 "type_ref": "PA_SC_CLIPRECT_0_BR"
12034 },
12035 {
12036 "chips": ["gfx10"],
12037 "map": {"at": 164392, "to": "mm"},
12038 "name": "PA_SC_CLIPRECT_3_TL",
12039 "type_ref": "PA_SC_CLIPRECT_0_TL"
12040 },
12041 {
12042 "chips": ["gfx10"],
12043 "map": {"at": 164364, "to": "mm"},
12044 "name": "PA_SC_CLIPRECT_RULE",
12045 "type_ref": "PA_SC_CLIPRECT_RULE"
12046 },
12047 {
12048 "chips": ["gfx10"],
12049 "map": {"at": 166988, "to": "mm"},
12050 "name": "PA_SC_CONSERVATIVE_RASTERIZATION_CNTL",
12051 "type_ref": "PA_SC_CONSERVATIVE_RASTERIZATION_CNTL"
12052 },
12053 {
12054 "chips": ["gfx10"],
12055 "map": {"at": 164400, "to": "mm"},
12056 "name": "PA_SC_EDGERULE",
12057 "type_ref": "PA_SC_EDGERULE"
12058 },
12059 {
12060 "chips": ["gfx10"],
12061 "map": {"at": 164420, "to": "mm"},
12062 "name": "PA_SC_GENERIC_SCISSOR_BR",
12063 "type_ref": "PA_SC_CLIPRECT_0_BR"
12064 },
12065 {
12066 "chips": ["gfx10"],
12067 "map": {"at": 164416, "to": "mm"},
12068 "name": "PA_SC_GENERIC_SCISSOR_TL",
12069 "type_ref": "PA_SC_GENERIC_SCISSOR_TL"
12070 },
12071 {
12072 "chips": ["gfx10"],
12073 "map": {"at": 164776, "to": "mm"},
12074 "name": "PA_SC_HORIZ_GRID",
12075 "type_ref": "PA_SC_HORIZ_GRID"
12076 },
12077 {
12078 "chips": ["gfx10"],
12079 "map": {"at": 199344, "to": "mm"},
12080 "name": "PA_SC_HP3D_TRAP_SCREEN_COUNT",
12081 "type_ref": "CP_GFX_DDID_INFLIGHT_COUNT"
12082 },
12083 {
12084 "chips": ["gfx10"],
12085 "map": {"at": 199332, "to": "mm"},
12086 "name": "PA_SC_HP3D_TRAP_SCREEN_H",
12087 "type_ref": "PA_SC_P3D_TRAP_SCREEN_H"
12088 },
12089 {
12090 "chips": ["gfx10"],
12091 "map": {"at": 199328, "to": "mm"},
12092 "name": "PA_SC_HP3D_TRAP_SCREEN_HV_EN",
12093 "type_ref": "PA_SC_P3D_TRAP_SCREEN_HV_EN"
12094 },
12095 {
12096 "chips": ["gfx10"],
12097 "map": {"at": 199340, "to": "mm"},
12098 "name": "PA_SC_HP3D_TRAP_SCREEN_OCCURRENCE",
12099 "type_ref": "CP_GFX_DDID_INFLIGHT_COUNT"
12100 },
12101 {
12102 "chips": ["gfx10"],
12103 "map": {"at": 199336, "to": "mm"},
12104 "name": "PA_SC_HP3D_TRAP_SCREEN_V",
12105 "type_ref": "PA_SC_P3D_TRAP_SCREEN_V"
12106 },
12107 {
12108 "chips": ["gfx10"],
12109 "map": {"at": 164772, "to": "mm"},
12110 "name": "PA_SC_LEFT_VERT_GRID",
12111 "type_ref": "PA_SC_RIGHT_VERT_GRID"
12112 },
12113 {
12114 "chips": ["gfx10"],
12115 "map": {"at": 166876, "to": "mm"},
12116 "name": "PA_SC_LINE_CNTL",
12117 "type_ref": "PA_SC_LINE_CNTL"
12118 },
12119 {
12120 "chips": ["gfx10"],
12121 "map": {"at": 166412, "to": "mm"},
12122 "name": "PA_SC_LINE_STIPPLE",
12123 "type_ref": "PA_SC_LINE_STIPPLE"
12124 },
12125 {
12126 "chips": ["gfx10"],
12127 "map": {"at": 199172, "to": "mm"},
12128 "name": "PA_SC_LINE_STIPPLE_STATE",
12129 "type_ref": "PA_SC_LINE_STIPPLE_STATE"
12130 },
12131 {
12132 "chips": ["gfx10"],
12133 "map": {"at": 166472, "to": "mm"},
12134 "name": "PA_SC_MODE_CNTL_0",
12135 "type_ref": "PA_SC_MODE_CNTL_0"
12136 },
12137 {
12138 "chips": ["gfx10"],
12139 "map": {"at": 166476, "to": "mm"},
12140 "name": "PA_SC_MODE_CNTL_1",
12141 "type_ref": "PA_SC_MODE_CNTL_1"
12142 },
12143 {
12144 "chips": ["gfx10"],
12145 "map": {"at": 166992, "to": "mm"},
12146 "name": "PA_SC_NGG_MODE_CNTL",
12147 "type_ref": "PA_SC_NGG_MODE_CNTL"
12148 },
12149 {
12150 "chips": ["gfx10"],
12151 "map": {"at": 199312, "to": "mm"},
12152 "name": "PA_SC_P3D_TRAP_SCREEN_COUNT",
12153 "type_ref": "CP_GFX_DDID_INFLIGHT_COUNT"
12154 },
12155 {
12156 "chips": ["gfx10"],
12157 "map": {"at": 199300, "to": "mm"},
12158 "name": "PA_SC_P3D_TRAP_SCREEN_H",
12159 "type_ref": "PA_SC_P3D_TRAP_SCREEN_H"
12160 },
12161 {
12162 "chips": ["gfx10"],
12163 "map": {"at": 199296, "to": "mm"},
12164 "name": "PA_SC_P3D_TRAP_SCREEN_HV_EN",
12165 "type_ref": "PA_SC_P3D_TRAP_SCREEN_HV_EN"
12166 },
12167 {
12168 "chips": ["gfx10"],
12169 "map": {"at": 199308, "to": "mm"},
12170 "name": "PA_SC_P3D_TRAP_SCREEN_OCCURRENCE",
12171 "type_ref": "CP_GFX_DDID_INFLIGHT_COUNT"
12172 },
12173 {
12174 "chips": ["gfx10"],
12175 "map": {"at": 199304, "to": "mm"},
12176 "name": "PA_SC_P3D_TRAP_SCREEN_V",
12177 "type_ref": "PA_SC_P3D_TRAP_SCREEN_V"
12178 },
12179 {
12180 "chips": ["gfx10"],
12181 "map": {"at": 214276, "to": "mm"},
12182 "name": "PA_SC_PERFCOUNTER0_HI",
12183 "type_ref": "CB_PERFCOUNTER0_HI"
12184 },
12185 {
12186 "chips": ["gfx10"],
12187 "map": {"at": 214272, "to": "mm"},
12188 "name": "PA_SC_PERFCOUNTER0_LO",
12189 "type_ref": "CB_PERFCOUNTER0_LO"
12190 },
12191 {
12192 "chips": ["gfx10"],
12193 "map": {"at": 222464, "to": "mm"},
12194 "name": "PA_SC_PERFCOUNTER0_SELECT",
12195 "type_ref": "PA_SC_PERFCOUNTER0_SELECT"
12196 },
12197 {
12198 "chips": ["gfx10"],
12199 "map": {"at": 222468, "to": "mm"},
12200 "name": "PA_SC_PERFCOUNTER0_SELECT1",
12201 "type_ref": "PA_SC_PERFCOUNTER0_SELECT1"
12202 },
12203 {
12204 "chips": ["gfx10"],
12205 "map": {"at": 214284, "to": "mm"},
12206 "name": "PA_SC_PERFCOUNTER1_HI",
12207 "type_ref": "CB_PERFCOUNTER0_HI"
12208 },
12209 {
12210 "chips": ["gfx10"],
12211 "map": {"at": 214280, "to": "mm"},
12212 "name": "PA_SC_PERFCOUNTER1_LO",
12213 "type_ref": "CB_PERFCOUNTER0_LO"
12214 },
12215 {
12216 "chips": ["gfx10"],
12217 "map": {"at": 222472, "to": "mm"},
12218 "name": "PA_SC_PERFCOUNTER1_SELECT",
12219 "type_ref": "PA_SC_PERFCOUNTER1_SELECT"
12220 },
12221 {
12222 "chips": ["gfx10"],
12223 "map": {"at": 214292, "to": "mm"},
12224 "name": "PA_SC_PERFCOUNTER2_HI",
12225 "type_ref": "CB_PERFCOUNTER0_HI"
12226 },
12227 {
12228 "chips": ["gfx10"],
12229 "map": {"at": 214288, "to": "mm"},
12230 "name": "PA_SC_PERFCOUNTER2_LO",
12231 "type_ref": "CB_PERFCOUNTER0_LO"
12232 },
12233 {
12234 "chips": ["gfx10"],
12235 "map": {"at": 222476, "to": "mm"},
12236 "name": "PA_SC_PERFCOUNTER2_SELECT",
12237 "type_ref": "PA_SC_PERFCOUNTER1_SELECT"
12238 },
12239 {
12240 "chips": ["gfx10"],
12241 "map": {"at": 214300, "to": "mm"},
12242 "name": "PA_SC_PERFCOUNTER3_HI",
12243 "type_ref": "CB_PERFCOUNTER0_HI"
12244 },
12245 {
12246 "chips": ["gfx10"],
12247 "map": {"at": 214296, "to": "mm"},
12248 "name": "PA_SC_PERFCOUNTER3_LO",
12249 "type_ref": "CB_PERFCOUNTER0_LO"
12250 },
12251 {
12252 "chips": ["gfx10"],
12253 "map": {"at": 222480, "to": "mm"},
12254 "name": "PA_SC_PERFCOUNTER3_SELECT",
12255 "type_ref": "PA_SC_PERFCOUNTER1_SELECT"
12256 },
12257 {
12258 "chips": ["gfx10"],
12259 "map": {"at": 214308, "to": "mm"},
12260 "name": "PA_SC_PERFCOUNTER4_HI",
12261 "type_ref": "CB_PERFCOUNTER0_HI"
12262 },
12263 {
12264 "chips": ["gfx10"],
12265 "map": {"at": 214304, "to": "mm"},
12266 "name": "PA_SC_PERFCOUNTER4_LO",
12267 "type_ref": "CB_PERFCOUNTER0_LO"
12268 },
12269 {
12270 "chips": ["gfx10"],
12271 "map": {"at": 222484, "to": "mm"},
12272 "name": "PA_SC_PERFCOUNTER4_SELECT",
12273 "type_ref": "PA_SC_PERFCOUNTER1_SELECT"
12274 },
12275 {
12276 "chips": ["gfx10"],
12277 "map": {"at": 214316, "to": "mm"},
12278 "name": "PA_SC_PERFCOUNTER5_HI",
12279 "type_ref": "CB_PERFCOUNTER0_HI"
12280 },
12281 {
12282 "chips": ["gfx10"],
12283 "map": {"at": 214312, "to": "mm"},
12284 "name": "PA_SC_PERFCOUNTER5_LO",
12285 "type_ref": "CB_PERFCOUNTER0_LO"
12286 },
12287 {
12288 "chips": ["gfx10"],
12289 "map": {"at": 222488, "to": "mm"},
12290 "name": "PA_SC_PERFCOUNTER5_SELECT",
12291 "type_ref": "PA_SC_PERFCOUNTER1_SELECT"
12292 },
12293 {
12294 "chips": ["gfx10"],
12295 "map": {"at": 214324, "to": "mm"},
12296 "name": "PA_SC_PERFCOUNTER6_HI",
12297 "type_ref": "CB_PERFCOUNTER0_HI"
12298 },
12299 {
12300 "chips": ["gfx10"],
12301 "map": {"at": 214320, "to": "mm"},
12302 "name": "PA_SC_PERFCOUNTER6_LO",
12303 "type_ref": "CB_PERFCOUNTER0_LO"
12304 },
12305 {
12306 "chips": ["gfx10"],
12307 "map": {"at": 222492, "to": "mm"},
12308 "name": "PA_SC_PERFCOUNTER6_SELECT",
12309 "type_ref": "PA_SC_PERFCOUNTER1_SELECT"
12310 },
12311 {
12312 "chips": ["gfx10"],
12313 "map": {"at": 214332, "to": "mm"},
12314 "name": "PA_SC_PERFCOUNTER7_HI",
12315 "type_ref": "CB_PERFCOUNTER0_HI"
12316 },
12317 {
12318 "chips": ["gfx10"],
12319 "map": {"at": 214328, "to": "mm"},
12320 "name": "PA_SC_PERFCOUNTER7_LO",
12321 "type_ref": "CB_PERFCOUNTER0_LO"
12322 },
12323 {
12324 "chips": ["gfx10"],
12325 "map": {"at": 222496, "to": "mm"},
12326 "name": "PA_SC_PERFCOUNTER7_SELECT",
12327 "type_ref": "PA_SC_PERFCOUNTER1_SELECT"
12328 },
12329 {
12330 "chips": ["gfx10"],
12331 "map": {"at": 164688, "to": "mm"},
12332 "name": "PA_SC_RASTER_CONFIG",
12333 "type_ref": "PA_SC_RASTER_CONFIG"
12334 },
12335 {
12336 "chips": ["gfx10"],
12337 "map": {"at": 164692, "to": "mm"},
12338 "name": "PA_SC_RASTER_CONFIG_1",
12339 "type_ref": "PA_SC_RASTER_CONFIG_1"
12340 },
12341 {
12342 "chips": ["gfx10"],
12343 "map": {"at": 164768, "to": "mm"},
12344 "name": "PA_SC_RIGHT_VERT_GRID",
12345 "type_ref": "PA_SC_RIGHT_VERT_GRID"
12346 },
12347 {
12348 "chips": ["gfx10"],
12349 "map": {"at": 164696, "to": "mm"},
12350 "name": "PA_SC_SCREEN_EXTENT_CONTROL",
12351 "type_ref": "PA_SC_SCREEN_EXTENT_CONTROL"
12352 },
12353 {
12354 "chips": ["gfx10"],
12355 "map": {"at": 199188, "to": "mm"},
12356 "name": "PA_SC_SCREEN_EXTENT_MAX_0",
12357 "type_ref": "PA_SC_SCREEN_EXTENT_MIN_0"
12358 },
12359 {
12360 "chips": ["gfx10"],
12361 "map": {"at": 199212, "to": "mm"},
12362 "name": "PA_SC_SCREEN_EXTENT_MAX_1",
12363 "type_ref": "PA_SC_SCREEN_EXTENT_MIN_0"
12364 },
12365 {
12366 "chips": ["gfx10"],
12367 "map": {"at": 199184, "to": "mm"},
12368 "name": "PA_SC_SCREEN_EXTENT_MIN_0",
12369 "type_ref": "PA_SC_SCREEN_EXTENT_MIN_0"
12370 },
12371 {
12372 "chips": ["gfx10"],
12373 "map": {"at": 199192, "to": "mm"},
12374 "name": "PA_SC_SCREEN_EXTENT_MIN_1",
12375 "type_ref": "PA_SC_SCREEN_EXTENT_MIN_0"
12376 },
12377 {
12378 "chips": ["gfx10"],
12379 "map": {"at": 163892, "to": "mm"},
12380 "name": "PA_SC_SCREEN_SCISSOR_BR",
12381 "type_ref": "PA_SC_SCREEN_SCISSOR_BR"
12382 },
12383 {
12384 "chips": ["gfx10"],
12385 "map": {"at": 163888, "to": "mm"},
12386 "name": "PA_SC_SCREEN_SCISSOR_TL",
12387 "type_ref": "PA_SC_SCREEN_SCISSOR_TL"
12388 },
12389 {
12390 "chips": ["gfx10"],
12391 "map": {"at": 166976, "to": "mm"},
12392 "name": "PA_SC_SHADER_CONTROL",
12393 "type_ref": "PA_SC_SHADER_CONTROL"
12394 },
12395 {
12396 "chips": ["gfx10"],
12397 "map": {"at": 164700, "to": "mm"},
12398 "name": "PA_SC_TILE_STEERING_OVERRIDE",
12399 "type_ref": "PA_SC_TILE_STEERING_OVERRIDE"
12400 },
12401 {
12402 "chips": ["gfx10"],
12403 "map": {"at": 199376, "to": "mm"},
12404 "name": "PA_SC_TRAP_SCREEN_COUNT",
12405 "type_ref": "CP_GFX_DDID_INFLIGHT_COUNT"
12406 },
12407 {
12408 "chips": ["gfx10"],
12409 "map": {"at": 199364, "to": "mm"},
12410 "name": "PA_SC_TRAP_SCREEN_H",
12411 "type_ref": "PA_SC_P3D_TRAP_SCREEN_H"
12412 },
12413 {
12414 "chips": ["gfx10"],
12415 "map": {"at": 199360, "to": "mm"},
12416 "name": "PA_SC_TRAP_SCREEN_HV_EN",
12417 "type_ref": "PA_SC_P3D_TRAP_SCREEN_HV_EN"
12418 },
12419 {
12420 "chips": ["gfx10"],
12421 "map": {"at": 199372, "to": "mm"},
12422 "name": "PA_SC_TRAP_SCREEN_OCCURRENCE",
12423 "type_ref": "CP_GFX_DDID_INFLIGHT_COUNT"
12424 },
12425 {
12426 "chips": ["gfx10"],
12427 "map": {"at": 199368, "to": "mm"},
12428 "name": "PA_SC_TRAP_SCREEN_V",
12429 "type_ref": "PA_SC_P3D_TRAP_SCREEN_V"
12430 },
12431 {
12432 "chips": ["gfx10"],
12433 "map": {"at": 164436, "to": "mm"},
12434 "name": "PA_SC_VPORT_SCISSOR_0_BR",
12435 "type_ref": "PA_SC_CLIPRECT_0_BR"
12436 },
12437 {
12438 "chips": ["gfx10"],
12439 "map": {"at": 164432, "to": "mm"},
12440 "name": "PA_SC_VPORT_SCISSOR_0_TL",
12441 "type_ref": "PA_SC_GENERIC_SCISSOR_TL"
12442 },
12443 {
12444 "chips": ["gfx10"],
12445 "map": {"at": 164516, "to": "mm"},
12446 "name": "PA_SC_VPORT_SCISSOR_10_BR",
12447 "type_ref": "PA_SC_CLIPRECT_0_BR"
12448 },
12449 {
12450 "chips": ["gfx10"],
12451 "map": {"at": 164512, "to": "mm"},
12452 "name": "PA_SC_VPORT_SCISSOR_10_TL",
12453 "type_ref": "PA_SC_GENERIC_SCISSOR_TL"
12454 },
12455 {
12456 "chips": ["gfx10"],
12457 "map": {"at": 164524, "to": "mm"},
12458 "name": "PA_SC_VPORT_SCISSOR_11_BR",
12459 "type_ref": "PA_SC_CLIPRECT_0_BR"
12460 },
12461 {
12462 "chips": ["gfx10"],
12463 "map": {"at": 164520, "to": "mm"},
12464 "name": "PA_SC_VPORT_SCISSOR_11_TL",
12465 "type_ref": "PA_SC_GENERIC_SCISSOR_TL"
12466 },
12467 {
12468 "chips": ["gfx10"],
12469 "map": {"at": 164532, "to": "mm"},
12470 "name": "PA_SC_VPORT_SCISSOR_12_BR",
12471 "type_ref": "PA_SC_CLIPRECT_0_BR"
12472 },
12473 {
12474 "chips": ["gfx10"],
12475 "map": {"at": 164528, "to": "mm"},
12476 "name": "PA_SC_VPORT_SCISSOR_12_TL",
12477 "type_ref": "PA_SC_GENERIC_SCISSOR_TL"
12478 },
12479 {
12480 "chips": ["gfx10"],
12481 "map": {"at": 164540, "to": "mm"},
12482 "name": "PA_SC_VPORT_SCISSOR_13_BR",
12483 "type_ref": "PA_SC_CLIPRECT_0_BR"
12484 },
12485 {
12486 "chips": ["gfx10"],
12487 "map": {"at": 164536, "to": "mm"},
12488 "name": "PA_SC_VPORT_SCISSOR_13_TL",
12489 "type_ref": "PA_SC_GENERIC_SCISSOR_TL"
12490 },
12491 {
12492 "chips": ["gfx10"],
12493 "map": {"at": 164548, "to": "mm"},
12494 "name": "PA_SC_VPORT_SCISSOR_14_BR",
12495 "type_ref": "PA_SC_CLIPRECT_0_BR"
12496 },
12497 {
12498 "chips": ["gfx10"],
12499 "map": {"at": 164544, "to": "mm"},
12500 "name": "PA_SC_VPORT_SCISSOR_14_TL",
12501 "type_ref": "PA_SC_GENERIC_SCISSOR_TL"
12502 },
12503 {
12504 "chips": ["gfx10"],
12505 "map": {"at": 164556, "to": "mm"},
12506 "name": "PA_SC_VPORT_SCISSOR_15_BR",
12507 "type_ref": "PA_SC_CLIPRECT_0_BR"
12508 },
12509 {
12510 "chips": ["gfx10"],
12511 "map": {"at": 164552, "to": "mm"},
12512 "name": "PA_SC_VPORT_SCISSOR_15_TL",
12513 "type_ref": "PA_SC_GENERIC_SCISSOR_TL"
12514 },
12515 {
12516 "chips": ["gfx10"],
12517 "map": {"at": 164444, "to": "mm"},
12518 "name": "PA_SC_VPORT_SCISSOR_1_BR",
12519 "type_ref": "PA_SC_CLIPRECT_0_BR"
12520 },
12521 {
12522 "chips": ["gfx10"],
12523 "map": {"at": 164440, "to": "mm"},
12524 "name": "PA_SC_VPORT_SCISSOR_1_TL",
12525 "type_ref": "PA_SC_GENERIC_SCISSOR_TL"
12526 },
12527 {
12528 "chips": ["gfx10"],
12529 "map": {"at": 164452, "to": "mm"},
12530 "name": "PA_SC_VPORT_SCISSOR_2_BR",
12531 "type_ref": "PA_SC_CLIPRECT_0_BR"
12532 },
12533 {
12534 "chips": ["gfx10"],
12535 "map": {"at": 164448, "to": "mm"},
12536 "name": "PA_SC_VPORT_SCISSOR_2_TL",
12537 "type_ref": "PA_SC_GENERIC_SCISSOR_TL"
12538 },
12539 {
12540 "chips": ["gfx10"],
12541 "map": {"at": 164460, "to": "mm"},
12542 "name": "PA_SC_VPORT_SCISSOR_3_BR",
12543 "type_ref": "PA_SC_CLIPRECT_0_BR"
12544 },
12545 {
12546 "chips": ["gfx10"],
12547 "map": {"at": 164456, "to": "mm"},
12548 "name": "PA_SC_VPORT_SCISSOR_3_TL",
12549 "type_ref": "PA_SC_GENERIC_SCISSOR_TL"
12550 },
12551 {
12552 "chips": ["gfx10"],
12553 "map": {"at": 164468, "to": "mm"},
12554 "name": "PA_SC_VPORT_SCISSOR_4_BR",
12555 "type_ref": "PA_SC_CLIPRECT_0_BR"
12556 },
12557 {
12558 "chips": ["gfx10"],
12559 "map": {"at": 164464, "to": "mm"},
12560 "name": "PA_SC_VPORT_SCISSOR_4_TL",
12561 "type_ref": "PA_SC_GENERIC_SCISSOR_TL"
12562 },
12563 {
12564 "chips": ["gfx10"],
12565 "map": {"at": 164476, "to": "mm"},
12566 "name": "PA_SC_VPORT_SCISSOR_5_BR",
12567 "type_ref": "PA_SC_CLIPRECT_0_BR"
12568 },
12569 {
12570 "chips": ["gfx10"],
12571 "map": {"at": 164472, "to": "mm"},
12572 "name": "PA_SC_VPORT_SCISSOR_5_TL",
12573 "type_ref": "PA_SC_GENERIC_SCISSOR_TL"
12574 },
12575 {
12576 "chips": ["gfx10"],
12577 "map": {"at": 164484, "to": "mm"},
12578 "name": "PA_SC_VPORT_SCISSOR_6_BR",
12579 "type_ref": "PA_SC_CLIPRECT_0_BR"
12580 },
12581 {
12582 "chips": ["gfx10"],
12583 "map": {"at": 164480, "to": "mm"},
12584 "name": "PA_SC_VPORT_SCISSOR_6_TL",
12585 "type_ref": "PA_SC_GENERIC_SCISSOR_TL"
12586 },
12587 {
12588 "chips": ["gfx10"],
12589 "map": {"at": 164492, "to": "mm"},
12590 "name": "PA_SC_VPORT_SCISSOR_7_BR",
12591 "type_ref": "PA_SC_CLIPRECT_0_BR"
12592 },
12593 {
12594 "chips": ["gfx10"],
12595 "map": {"at": 164488, "to": "mm"},
12596 "name": "PA_SC_VPORT_SCISSOR_7_TL",
12597 "type_ref": "PA_SC_GENERIC_SCISSOR_TL"
12598 },
12599 {
12600 "chips": ["gfx10"],
12601 "map": {"at": 164500, "to": "mm"},
12602 "name": "PA_SC_VPORT_SCISSOR_8_BR",
12603 "type_ref": "PA_SC_CLIPRECT_0_BR"
12604 },
12605 {
12606 "chips": ["gfx10"],
12607 "map": {"at": 164496, "to": "mm"},
12608 "name": "PA_SC_VPORT_SCISSOR_8_TL",
12609 "type_ref": "PA_SC_GENERIC_SCISSOR_TL"
12610 },
12611 {
12612 "chips": ["gfx10"],
12613 "map": {"at": 164508, "to": "mm"},
12614 "name": "PA_SC_VPORT_SCISSOR_9_BR",
12615 "type_ref": "PA_SC_CLIPRECT_0_BR"
12616 },
12617 {
12618 "chips": ["gfx10"],
12619 "map": {"at": 164504, "to": "mm"},
12620 "name": "PA_SC_VPORT_SCISSOR_9_TL",
12621 "type_ref": "PA_SC_GENERIC_SCISSOR_TL"
12622 },
12623 {
12624 "chips": ["gfx10"],
12625 "map": {"at": 164564, "to": "mm"},
12626 "name": "PA_SC_VPORT_ZMAX_0",
12627 "type_ref": "PA_SC_VPORT_ZMAX_0"
12628 },
12629 {
12630 "chips": ["gfx10"],
12631 "map": {"at": 164572, "to": "mm"},
12632 "name": "PA_SC_VPORT_ZMAX_1",
12633 "type_ref": "PA_SC_VPORT_ZMAX_0"
12634 },
12635 {
12636 "chips": ["gfx10"],
12637 "map": {"at": 164644, "to": "mm"},
12638 "name": "PA_SC_VPORT_ZMAX_10",
12639 "type_ref": "PA_SC_VPORT_ZMAX_0"
12640 },
12641 {
12642 "chips": ["gfx10"],
12643 "map": {"at": 164652, "to": "mm"},
12644 "name": "PA_SC_VPORT_ZMAX_11",
12645 "type_ref": "PA_SC_VPORT_ZMAX_0"
12646 },
12647 {
12648 "chips": ["gfx10"],
12649 "map": {"at": 164660, "to": "mm"},
12650 "name": "PA_SC_VPORT_ZMAX_12",
12651 "type_ref": "PA_SC_VPORT_ZMAX_0"
12652 },
12653 {
12654 "chips": ["gfx10"],
12655 "map": {"at": 164668, "to": "mm"},
12656 "name": "PA_SC_VPORT_ZMAX_13",
12657 "type_ref": "PA_SC_VPORT_ZMAX_0"
12658 },
12659 {
12660 "chips": ["gfx10"],
12661 "map": {"at": 164676, "to": "mm"},
12662 "name": "PA_SC_VPORT_ZMAX_14",
12663 "type_ref": "PA_SC_VPORT_ZMAX_0"
12664 },
12665 {
12666 "chips": ["gfx10"],
12667 "map": {"at": 164684, "to": "mm"},
12668 "name": "PA_SC_VPORT_ZMAX_15",
12669 "type_ref": "PA_SC_VPORT_ZMAX_0"
12670 },
12671 {
12672 "chips": ["gfx10"],
12673 "map": {"at": 164580, "to": "mm"},
12674 "name": "PA_SC_VPORT_ZMAX_2",
12675 "type_ref": "PA_SC_VPORT_ZMAX_0"
12676 },
12677 {
12678 "chips": ["gfx10"],
12679 "map": {"at": 164588, "to": "mm"},
12680 "name": "PA_SC_VPORT_ZMAX_3",
12681 "type_ref": "PA_SC_VPORT_ZMAX_0"
12682 },
12683 {
12684 "chips": ["gfx10"],
12685 "map": {"at": 164596, "to": "mm"},
12686 "name": "PA_SC_VPORT_ZMAX_4",
12687 "type_ref": "PA_SC_VPORT_ZMAX_0"
12688 },
12689 {
12690 "chips": ["gfx10"],
12691 "map": {"at": 164604, "to": "mm"},
12692 "name": "PA_SC_VPORT_ZMAX_5",
12693 "type_ref": "PA_SC_VPORT_ZMAX_0"
12694 },
12695 {
12696 "chips": ["gfx10"],
12697 "map": {"at": 164612, "to": "mm"},
12698 "name": "PA_SC_VPORT_ZMAX_6",
12699 "type_ref": "PA_SC_VPORT_ZMAX_0"
12700 },
12701 {
12702 "chips": ["gfx10"],
12703 "map": {"at": 164620, "to": "mm"},
12704 "name": "PA_SC_VPORT_ZMAX_7",
12705 "type_ref": "PA_SC_VPORT_ZMAX_0"
12706 },
12707 {
12708 "chips": ["gfx10"],
12709 "map": {"at": 164628, "to": "mm"},
12710 "name": "PA_SC_VPORT_ZMAX_8",
12711 "type_ref": "PA_SC_VPORT_ZMAX_0"
12712 },
12713 {
12714 "chips": ["gfx10"],
12715 "map": {"at": 164636, "to": "mm"},
12716 "name": "PA_SC_VPORT_ZMAX_9",
12717 "type_ref": "PA_SC_VPORT_ZMAX_0"
12718 },
12719 {
12720 "chips": ["gfx10"],
12721 "map": {"at": 164560, "to": "mm"},
12722 "name": "PA_SC_VPORT_ZMIN_0",
12723 "type_ref": "PA_SC_VPORT_ZMIN_0"
12724 },
12725 {
12726 "chips": ["gfx10"],
12727 "map": {"at": 164568, "to": "mm"},
12728 "name": "PA_SC_VPORT_ZMIN_1",
12729 "type_ref": "PA_SC_VPORT_ZMIN_0"
12730 },
12731 {
12732 "chips": ["gfx10"],
12733 "map": {"at": 164640, "to": "mm"},
12734 "name": "PA_SC_VPORT_ZMIN_10",
12735 "type_ref": "PA_SC_VPORT_ZMIN_0"
12736 },
12737 {
12738 "chips": ["gfx10"],
12739 "map": {"at": 164648, "to": "mm"},
12740 "name": "PA_SC_VPORT_ZMIN_11",
12741 "type_ref": "PA_SC_VPORT_ZMIN_0"
12742 },
12743 {
12744 "chips": ["gfx10"],
12745 "map": {"at": 164656, "to": "mm"},
12746 "name": "PA_SC_VPORT_ZMIN_12",
12747 "type_ref": "PA_SC_VPORT_ZMIN_0"
12748 },
12749 {
12750 "chips": ["gfx10"],
12751 "map": {"at": 164664, "to": "mm"},
12752 "name": "PA_SC_VPORT_ZMIN_13",
12753 "type_ref": "PA_SC_VPORT_ZMIN_0"
12754 },
12755 {
12756 "chips": ["gfx10"],
12757 "map": {"at": 164672, "to": "mm"},
12758 "name": "PA_SC_VPORT_ZMIN_14",
12759 "type_ref": "PA_SC_VPORT_ZMIN_0"
12760 },
12761 {
12762 "chips": ["gfx10"],
12763 "map": {"at": 164680, "to": "mm"},
12764 "name": "PA_SC_VPORT_ZMIN_15",
12765 "type_ref": "PA_SC_VPORT_ZMIN_0"
12766 },
12767 {
12768 "chips": ["gfx10"],
12769 "map": {"at": 164576, "to": "mm"},
12770 "name": "PA_SC_VPORT_ZMIN_2",
12771 "type_ref": "PA_SC_VPORT_ZMIN_0"
12772 },
12773 {
12774 "chips": ["gfx10"],
12775 "map": {"at": 164584, "to": "mm"},
12776 "name": "PA_SC_VPORT_ZMIN_3",
12777 "type_ref": "PA_SC_VPORT_ZMIN_0"
12778 },
12779 {
12780 "chips": ["gfx10"],
12781 "map": {"at": 164592, "to": "mm"},
12782 "name": "PA_SC_VPORT_ZMIN_4",
12783 "type_ref": "PA_SC_VPORT_ZMIN_0"
12784 },
12785 {
12786 "chips": ["gfx10"],
12787 "map": {"at": 164600, "to": "mm"},
12788 "name": "PA_SC_VPORT_ZMIN_5",
12789 "type_ref": "PA_SC_VPORT_ZMIN_0"
12790 },
12791 {
12792 "chips": ["gfx10"],
12793 "map": {"at": 164608, "to": "mm"},
12794 "name": "PA_SC_VPORT_ZMIN_6",
12795 "type_ref": "PA_SC_VPORT_ZMIN_0"
12796 },
12797 {
12798 "chips": ["gfx10"],
12799 "map": {"at": 164616, "to": "mm"},
12800 "name": "PA_SC_VPORT_ZMIN_7",
12801 "type_ref": "PA_SC_VPORT_ZMIN_0"
12802 },
12803 {
12804 "chips": ["gfx10"],
12805 "map": {"at": 164624, "to": "mm"},
12806 "name": "PA_SC_VPORT_ZMIN_8",
12807 "type_ref": "PA_SC_VPORT_ZMIN_0"
12808 },
12809 {
12810 "chips": ["gfx10"],
12811 "map": {"at": 164632, "to": "mm"},
12812 "name": "PA_SC_VPORT_ZMIN_9",
12813 "type_ref": "PA_SC_VPORT_ZMIN_0"
12814 },
12815 {
12816 "chips": ["gfx10"],
12817 "map": {"at": 164352, "to": "mm"},
12818 "name": "PA_SC_WINDOW_OFFSET",
12819 "type_ref": "PA_SC_WINDOW_OFFSET"
12820 },
12821 {
12822 "chips": ["gfx10"],
12823 "map": {"at": 164360, "to": "mm"},
12824 "name": "PA_SC_WINDOW_SCISSOR_BR",
12825 "type_ref": "PA_SC_CLIPRECT_0_BR"
12826 },
12827 {
12828 "chips": ["gfx10"],
12829 "map": {"at": 164356, "to": "mm"},
12830 "name": "PA_SC_WINDOW_SCISSOR_TL",
12831 "type_ref": "PA_SC_GENERIC_SCISSOR_TL"
12832 },
12833 {
12834 "chips": ["gfx10"],
12835 "map": {"at": 165956, "to": "mm"},
12836 "name": "PA_STATE_STEREO_X",
12837 "type_ref": "PA_STATE_STEREO_X"
12838 },
12839 {
12840 "chips": ["gfx10"],
12841 "map": {"at": 165952, "to": "mm"},
12842 "name": "PA_STEREO_CNTL",
12843 "type_ref": "PA_STEREO_CNTL"
12844 },
12845 {
12846 "chips": ["gfx10"],
12847 "map": {"at": 164404, "to": "mm"},
12848 "name": "PA_SU_HARDWARE_SCREEN_OFFSET",
12849 "type_ref": "PA_SU_HARDWARE_SCREEN_OFFSET"
12850 },
12851 {
12852 "chips": ["gfx10"],
12853 "map": {"at": 166408, "to": "mm"},
12854 "name": "PA_SU_LINE_CNTL",
12855 "type_ref": "PA_SU_LINE_CNTL"
12856 },
12857 {
12858 "chips": ["gfx10"],
12859 "map": {"at": 165924, "to": "mm"},
12860 "name": "PA_SU_LINE_STIPPLE_CNTL",
12861 "type_ref": "PA_SU_LINE_STIPPLE_CNTL"
12862 },
12863 {
12864 "chips": ["gfx10"],
12865 "map": {"at": 165928, "to": "mm"},
12866 "name": "PA_SU_LINE_STIPPLE_SCALE",
12867 "type_ref": "PA_SU_LINE_STIPPLE_SCALE"
12868 },
12869 {
12870 "chips": ["gfx10"],
12871 "map": {"at": 199168, "to": "mm"},
12872 "name": "PA_SU_LINE_STIPPLE_VALUE",
12873 "type_ref": "PA_SU_LINE_STIPPLE_VALUE"
12874 },
12875 {
12876 "chips": ["gfx10"],
12877 "map": {"at": 165948, "to": "mm"},
12878 "name": "PA_SU_OVER_RASTERIZATION_CNTL",
12879 "type_ref": "PA_SU_OVER_RASTERIZATION_CNTL"
12880 },
12881 {
12882 "chips": ["gfx10"],
12883 "map": {"at": 214020, "to": "mm"},
12884 "name": "PA_SU_PERFCOUNTER0_HI",
12885 "type_ref": "PA_SU_PERFCOUNTER0_HI"
12886 },
12887 {
12888 "chips": ["gfx10"],
12889 "map": {"at": 214016, "to": "mm"},
12890 "name": "PA_SU_PERFCOUNTER0_LO",
12891 "type_ref": "CB_PERFCOUNTER0_LO"
12892 },
12893 {
12894 "chips": ["gfx10"],
12895 "map": {"at": 222208, "to": "mm"},
12896 "name": "PA_SU_PERFCOUNTER0_SELECT",
12897 "type_ref": "PA_SU_PERFCOUNTER0_SELECT"
12898 },
12899 {
12900 "chips": ["gfx10"],
12901 "map": {"at": 222212, "to": "mm"},
12902 "name": "PA_SU_PERFCOUNTER0_SELECT1",
12903 "type_ref": "PA_SU_PERFCOUNTER0_SELECT1"
12904 },
12905 {
12906 "chips": ["gfx10"],
12907 "map": {"at": 214028, "to": "mm"},
12908 "name": "PA_SU_PERFCOUNTER1_HI",
12909 "type_ref": "PA_SU_PERFCOUNTER0_HI"
12910 },
12911 {
12912 "chips": ["gfx10"],
12913 "map": {"at": 214024, "to": "mm"},
12914 "name": "PA_SU_PERFCOUNTER1_LO",
12915 "type_ref": "CB_PERFCOUNTER0_LO"
12916 },
12917 {
12918 "chips": ["gfx10"],
12919 "map": {"at": 222216, "to": "mm"},
12920 "name": "PA_SU_PERFCOUNTER1_SELECT",
12921 "type_ref": "PA_SU_PERFCOUNTER0_SELECT"
12922 },
12923 {
12924 "chips": ["gfx10"],
12925 "map": {"at": 222220, "to": "mm"},
12926 "name": "PA_SU_PERFCOUNTER1_SELECT1",
12927 "type_ref": "PA_SU_PERFCOUNTER0_SELECT1"
12928 },
12929 {
12930 "chips": ["gfx10"],
12931 "map": {"at": 214036, "to": "mm"},
12932 "name": "PA_SU_PERFCOUNTER2_HI",
12933 "type_ref": "PA_SU_PERFCOUNTER0_HI"
12934 },
12935 {
12936 "chips": ["gfx10"],
12937 "map": {"at": 214032, "to": "mm"},
12938 "name": "PA_SU_PERFCOUNTER2_LO",
12939 "type_ref": "CB_PERFCOUNTER0_LO"
12940 },
12941 {
12942 "chips": ["gfx10"],
12943 "map": {"at": 222224, "to": "mm"},
12944 "name": "PA_SU_PERFCOUNTER2_SELECT",
12945 "type_ref": "PA_SU_PERFCOUNTER0_SELECT"
12946 },
12947 {
12948 "chips": ["gfx10"],
12949 "map": {"at": 222228, "to": "mm"},
12950 "name": "PA_SU_PERFCOUNTER2_SELECT1",
12951 "type_ref": "PA_SU_PERFCOUNTER0_SELECT1"
12952 },
12953 {
12954 "chips": ["gfx10"],
12955 "map": {"at": 214044, "to": "mm"},
12956 "name": "PA_SU_PERFCOUNTER3_HI",
12957 "type_ref": "PA_SU_PERFCOUNTER0_HI"
12958 },
12959 {
12960 "chips": ["gfx10"],
12961 "map": {"at": 214040, "to": "mm"},
12962 "name": "PA_SU_PERFCOUNTER3_LO",
12963 "type_ref": "CB_PERFCOUNTER0_LO"
12964 },
12965 {
12966 "chips": ["gfx10"],
12967 "map": {"at": 222232, "to": "mm"},
12968 "name": "PA_SU_PERFCOUNTER3_SELECT",
12969 "type_ref": "PA_SU_PERFCOUNTER0_SELECT"
12970 },
12971 {
12972 "chips": ["gfx10"],
12973 "map": {"at": 222236, "to": "mm"},
12974 "name": "PA_SU_PERFCOUNTER3_SELECT1",
12975 "type_ref": "PA_SU_PERFCOUNTER0_SELECT1"
12976 },
12977 {
12978 "chips": ["gfx10"],
12979 "map": {"at": 166404, "to": "mm"},
12980 "name": "PA_SU_POINT_MINMAX",
12981 "type_ref": "PA_SU_POINT_MINMAX"
12982 },
12983 {
12984 "chips": ["gfx10"],
12985 "map": {"at": 166400, "to": "mm"},
12986 "name": "PA_SU_POINT_SIZE",
12987 "type_ref": "PA_SU_POINT_SIZE"
12988 },
12989 {
12990 "chips": ["gfx10"],
12991 "map": {"at": 166796, "to": "mm"},
12992 "name": "PA_SU_POLY_OFFSET_BACK_OFFSET",
12993 "type_ref": "CP_HQD_PQ_WPTR_LO"
12994 },
12995 {
12996 "chips": ["gfx10"],
12997 "map": {"at": 166792, "to": "mm"},
12998 "name": "PA_SU_POLY_OFFSET_BACK_SCALE",
12999 "type_ref": "PA_SU_POLY_OFFSET_FRONT_SCALE"
13000 },
13001 {
13002 "chips": ["gfx10"],
13003 "map": {"at": 166780, "to": "mm"},
13004 "name": "PA_SU_POLY_OFFSET_CLAMP",
13005 "type_ref": "PA_SU_POLY_OFFSET_CLAMP"
13006 },
13007 {
13008 "chips": ["gfx10"],
13009 "map": {"at": 166776, "to": "mm"},
13010 "name": "PA_SU_POLY_OFFSET_DB_FMT_CNTL",
13011 "type_ref": "PA_SU_POLY_OFFSET_DB_FMT_CNTL"
13012 },
13013 {
13014 "chips": ["gfx10"],
13015 "map": {"at": 166788, "to": "mm"},
13016 "name": "PA_SU_POLY_OFFSET_FRONT_OFFSET",
13017 "type_ref": "CP_HQD_PQ_WPTR_LO"
13018 },
13019 {
13020 "chips": ["gfx10"],
13021 "map": {"at": 166784, "to": "mm"},
13022 "name": "PA_SU_POLY_OFFSET_FRONT_SCALE",
13023 "type_ref": "PA_SU_POLY_OFFSET_FRONT_SCALE"
13024 },
13025 {
13026 "chips": ["gfx10"],
13027 "map": {"at": 165932, "to": "mm"},
13028 "name": "PA_SU_PRIM_FILTER_CNTL",
13029 "type_ref": "PA_SU_PRIM_FILTER_CNTL"
13030 },
13031 {
13032 "chips": ["gfx10"],
13033 "map": {"at": 165908, "to": "mm"},
13034 "name": "PA_SU_SC_MODE_CNTL",
13035 "type_ref": "PA_SU_SC_MODE_CNTL"
13036 },
13037 {
13038 "chips": ["gfx10"],
13039 "map": {"at": 165936, "to": "mm"},
13040 "name": "PA_SU_SMALL_PRIM_FILTER_CNTL",
13041 "type_ref": "PA_SU_SMALL_PRIM_FILTER_CNTL"
13042 },
13043 {
13044 "chips": ["gfx10"],
13045 "map": {"at": 166884, "to": "mm"},
13046 "name": "PA_SU_VTX_CNTL",
13047 "type_ref": "PA_SU_VTX_CNTL"
13048 },
13049 {
13050 "chips": ["gfx10"],
13051 "map": {"at": 197888, "to": "mm"},
13052 "name": "RLC_GPM_PERF_COUNT_0",
13053 "type_ref": "RLC_GPM_PERF_COUNT_0"
13054 },
13055 {
13056 "chips": ["gfx10"],
13057 "map": {"at": 197892, "to": "mm"},
13058 "name": "RLC_GPM_PERF_COUNT_1",
13059 "type_ref": "RLC_GPM_PERF_COUNT_0"
13060 },
13061 {
13062 "chips": ["gfx10"],
13063 "map": {"at": 226060, "to": "mm"},
13064 "name": "RLC_GPU_IOV_PERF_CNT_CNTL",
13065 "type_ref": "RLC_GPU_IOV_PERF_CNT_CNTL"
13066 },
13067 {
13068 "chips": ["gfx10"],
13069 "map": {"at": 226072, "to": "mm"},
13070 "name": "RLC_GPU_IOV_PERF_CNT_RD_ADDR",
13071 "type_ref": "RLC_GPU_IOV_PERF_CNT_WR_ADDR"
13072 },
13073 {
13074 "chips": ["gfx10"],
13075 "map": {"at": 226076, "to": "mm"},
13076 "name": "RLC_GPU_IOV_PERF_CNT_RD_DATA",
13077 "type_ref": "COMPUTE_PGM_LO"
13078 },
13079 {
13080 "chips": ["gfx10"],
13081 "map": {"at": 226064, "to": "mm"},
13082 "name": "RLC_GPU_IOV_PERF_CNT_WR_ADDR",
13083 "type_ref": "RLC_GPU_IOV_PERF_CNT_WR_ADDR"
13084 },
13085 {
13086 "chips": ["gfx10"],
13087 "map": {"at": 226068, "to": "mm"},
13088 "name": "RLC_GPU_IOV_PERF_CNT_WR_DATA",
13089 "type_ref": "COMPUTE_PGM_LO"
13090 },
13091 {
13092 "chips": ["gfx10"],
13093 "map": {"at": 217604, "to": "mm"},
13094 "name": "RLC_PERFCOUNTER0_HI",
13095 "type_ref": "CB_PERFCOUNTER0_HI"
13096 },
13097 {
13098 "chips": ["gfx10"],
13099 "map": {"at": 217600, "to": "mm"},
13100 "name": "RLC_PERFCOUNTER0_LO",
13101 "type_ref": "CB_PERFCOUNTER0_LO"
13102 },
13103 {
13104 "chips": ["gfx10"],
13105 "map": {"at": 226052, "to": "mm"},
13106 "name": "RLC_PERFCOUNTER0_SELECT",
13107 "type_ref": "RLC_PERFCOUNTER0_SELECT"
13108 },
13109 {
13110 "chips": ["gfx10"],
13111 "map": {"at": 217612, "to": "mm"},
13112 "name": "RLC_PERFCOUNTER1_HI",
13113 "type_ref": "CB_PERFCOUNTER0_HI"
13114 },
13115 {
13116 "chips": ["gfx10"],
13117 "map": {"at": 217608, "to": "mm"},
13118 "name": "RLC_PERFCOUNTER1_LO",
13119 "type_ref": "CB_PERFCOUNTER0_LO"
13120 },
13121 {
13122 "chips": ["gfx10"],
13123 "map": {"at": 226056, "to": "mm"},
13124 "name": "RLC_PERFCOUNTER1_SELECT",
13125 "type_ref": "RLC_PERFCOUNTER0_SELECT"
13126 },
13127 {
13128 "chips": ["gfx10"],
13129 "map": {"at": 226192, "to": "mm"},
13130 "name": "RLC_PERFMON_CLK_CNTL",
13131 "type_ref": "RLC_PERFMON_CLK_CNTL"
13132 },
13133 {
13134 "chips": ["gfx10"],
13135 "map": {"at": 226196, "to": "mm"},
13136 "name": "RLC_PERFMON_CLK_CNTL_UCODE",
13137 "type_ref": "RLC_PERFMON_CLK_CNTL"
13138 },
13139 {
13140 "chips": ["gfx10"],
13141 "map": {"at": 226048, "to": "mm"},
13142 "name": "RLC_PERFMON_CNTL",
13143 "type_ref": "RLC_PERFMON_CNTL"
13144 },
13145 {
13146 "chips": ["gfx10"],
13147 "map": {"at": 225892, "to": "mm"},
13148 "name": "RLC_SPM_ACCUM_CTRL",
13149 "type_ref": "RLC_SPM_ACCUM_CTRL"
13150 },
13151 {
13152 "chips": ["gfx10"],
13153 "map": {"at": 225880, "to": "mm"},
13154 "name": "RLC_SPM_ACCUM_CTRLRAM_ADDR",
13155 "type_ref": "RLC_SPM_ACCUM_CTRLRAM_ADDR"
13156 },
13157 {
13158 "chips": ["gfx10"],
13159 "map": {"at": 225884, "to": "mm"},
13160 "name": "RLC_SPM_ACCUM_CTRLRAM_DATA",
13161 "type_ref": "RLC_SPM_ACCUM_CTRLRAM_DATA"
13162 },
13163 {
13164 "chips": ["gfx10"],
13165 "map": {"at": 225872, "to": "mm"},
13166 "name": "RLC_SPM_ACCUM_DATARAM_ADDR",
13167 "type_ref": "RLC_SPM_ACCUM_DATARAM_ADDR"
13168 },
13169 {
13170 "chips": ["gfx10"],
13171 "map": {"at": 225876, "to": "mm"},
13172 "name": "RLC_SPM_ACCUM_DATARAM_DATA",
13173 "type_ref": "RLC_SPM_ACCUM_DATARAM_DATA"
13174 },
13175 {
13176 "chips": ["gfx10"],
13177 "map": {"at": 225908, "to": "mm"},
13178 "name": "RLC_SPM_ACCUM_DATARAM_WRCOUNT",
13179 "type_ref": "RLC_SPM_ACCUM_DATARAM_WRCOUNT"
13180 },
13181 {
13182 "chips": ["gfx10"],
13183 "map": {"at": 225896, "to": "mm"},
13184 "name": "RLC_SPM_ACCUM_MODE",
13185 "type_ref": "RLC_SPM_ACCUM_MODE"
13186 },
13187 {
13188 "chips": ["gfx10"],
13189 "map": {"at": 225904, "to": "mm"},
13190 "name": "RLC_SPM_ACCUM_SAMPLES_REQUESTED",
13191 "type_ref": "RLC_SPM_ACCUM_SAMPLES_REQUESTED"
13192 },
13193 {
13194 "chips": ["gfx10"],
13195 "map": {"at": 225888, "to": "mm"},
13196 "name": "RLC_SPM_ACCUM_STATUS",
13197 "type_ref": "RLC_SPM_ACCUM_STATUS"
13198 },
13199 {
13200 "chips": ["gfx10"],
13201 "map": {"at": 225900, "to": "mm"},
13202 "name": "RLC_SPM_ACCUM_THRESHOLD",
13203 "type_ref": "RLC_SPM_ACCUM_THRESHOLD"
13204 },
13205 {
13206 "chips": ["gfx10"],
13207 "map": {"at": 225836, "to": "mm"},
13208 "name": "RLC_SPM_DESER_START_SKEW",
13209 "type_ref": "RLC_SPM_DESER_START_SKEW"
13210 },
13211 {
13212 "chips": ["gfx10"],
13213 "map": {"at": 225856, "to": "mm"},
13214 "name": "RLC_SPM_GLB_SAMPLEDELAY_IND_ADDR",
13215 "type_ref": "RLC_SPM_GLB_SAMPLEDELAY_IND_ADDR"
13216 },
13217 {
13218 "chips": ["gfx10"],
13219 "map": {"at": 225860, "to": "mm"},
13220 "name": "RLC_SPM_GLB_SAMPLEDELAY_IND_DATA",
13221 "type_ref": "RLC_SPM_GLB_SAMPLEDELAY_IND_DATA"
13222 },
13223 {
13224 "chips": ["gfx10"],
13225 "map": {"at": 225844, "to": "mm"},
13226 "name": "RLC_SPM_GLOBALS_MUXSEL_SKEW",
13227 "type_ref": "RLC_SPM_GLOBALS_MUXSEL_SKEW"
13228 },
13229 {
13230 "chips": ["gfx10"],
13231 "map": {"at": 225840, "to": "mm"},
13232 "name": "RLC_SPM_GLOBALS_SAMPLE_SKEW",
13233 "type_ref": "RLC_SPM_GLOBALS_SAMPLE_SKEW"
13234 },
13235 {
13236 "chips": ["gfx10"],
13237 "map": {"at": 225828, "to": "mm"},
13238 "name": "RLC_SPM_GLOBAL_MUXSEL_ADDR",
13239 "type_ref": "RLC_SPM_GLOBAL_MUXSEL_ADDR"
13240 },
13241 {
13242 "chips": ["gfx10"],
13243 "map": {"at": 225832, "to": "mm"},
13244 "name": "RLC_SPM_GLOBAL_MUXSEL_DATA",
13245 "type_ref": "RLC_SPM_SE_MUXSEL_DATA"
13246 },
13247 {
13248 "chips": ["gfx10"],
13249 "map": {"at": 225792, "to": "mm"},
13250 "name": "RLC_SPM_PERFMON_CNTL",
13251 "type_ref": "RLC_SPM_PERFMON_CNTL"
13252 },
13253 {
13254 "chips": ["gfx10"],
13255 "map": {"at": 225916, "to": "mm"},
13256 "name": "RLC_SPM_PERFMON_GLB_SEGMENT_SIZE",
13257 "type_ref": "RLC_SPM_PERFMON_GLB_SEGMENT_SIZE"
13258 },
13259 {
13260 "chips": ["gfx10"],
13261 "map": {"at": 225800, "to": "mm"},
13262 "name": "RLC_SPM_PERFMON_RING_BASE_HI",
13263 "type_ref": "RLC_SPM_PERFMON_RING_BASE_HI"
13264 },
13265 {
13266 "chips": ["gfx10"],
13267 "map": {"at": 225796, "to": "mm"},
13268 "name": "RLC_SPM_PERFMON_RING_BASE_LO",
13269 "type_ref": "RLC_SPM_PERFMON_RING_BASE_LO"
13270 },
13271 {
13272 "chips": ["gfx10"],
13273 "map": {"at": 225804, "to": "mm"},
13274 "name": "RLC_SPM_PERFMON_RING_SIZE",
13275 "type_ref": "RLC_SPM_PERFMON_RING_SIZE"
13276 },
13277 {
13278 "chips": ["gfx10"],
13279 "map": {"at": 225912, "to": "mm"},
13280 "name": "RLC_SPM_PERFMON_SE3TO0_SEGMENT_SIZE",
13281 "type_ref": "RLC_SPM_PERFMON_SE3TO0_SEGMENT_SIZE"
13282 },
13283 {
13284 "chips": ["gfx10"],
13285 "map": {"at": 225808, "to": "mm"},
13286 "name": "RLC_SPM_PERFMON_SEGMENT_SIZE",
13287 "type_ref": "RLC_SPM_PERFMON_SEGMENT_SIZE"
13288 },
13289 {
13290 "chips": ["gfx10"],
13291 "map": {"at": 225812, "to": "mm"},
13292 "name": "RLC_SPM_RING_RDPTR",
13293 "type_ref": "RLC_SPM_RING_RDPTR"
13294 },
13295 {
13296 "chips": ["gfx10"],
13297 "map": {"at": 225816, "to": "mm"},
13298 "name": "RLC_SPM_SEGMENT_THRESHOLD",
13299 "type_ref": "RLC_SPM_SEGMENT_THRESHOLD"
13300 },
13301 {
13302 "chips": ["gfx10"],
13303 "map": {"at": 225820, "to": "mm"},
13304 "name": "RLC_SPM_SE_MUXSEL_ADDR",
13305 "type_ref": "RLC_SPM_SE_MUXSEL_ADDR"
13306 },
13307 {
13308 "chips": ["gfx10"],
13309 "map": {"at": 225824, "to": "mm"},
13310 "name": "RLC_SPM_SE_MUXSEL_DATA",
13311 "type_ref": "RLC_SPM_SE_MUXSEL_DATA"
13312 },
13313 {
13314 "chips": ["gfx10"],
13315 "map": {"at": 225852, "to": "mm"},
13316 "name": "RLC_SPM_SE_MUXSEL_SKEW",
13317 "type_ref": "RLC_SPM_SE_MUXSEL_SKEW"
13318 },
13319 {
13320 "chips": ["gfx10"],
13321 "map": {"at": 225864, "to": "mm"},
13322 "name": "RLC_SPM_SE_SAMPLEDELAY_IND_ADDR",
13323 "type_ref": "RLC_SPM_SE_SAMPLEDELAY_IND_ADDR"
13324 },
13325 {
13326 "chips": ["gfx10"],
13327 "map": {"at": 225868, "to": "mm"},
13328 "name": "RLC_SPM_SE_SAMPLEDELAY_IND_DATA",
13329 "type_ref": "RLC_SPM_GLB_SAMPLEDELAY_IND_DATA"
13330 },
13331 {
13332 "chips": ["gfx10"],
13333 "map": {"at": 225848, "to": "mm"},
13334 "name": "RLC_SPM_SE_SAMPLE_SKEW",
13335 "type_ref": "RLC_SPM_SE_SAMPLE_SKEW"
13336 },
13337 {
13338 "chips": ["gfx10"],
13339 "map": {"at": 217860, "to": "mm"},
13340 "name": "RMI_PERFCOUNTER0_HI",
13341 "type_ref": "CB_PERFCOUNTER0_HI"
13342 },
13343 {
13344 "chips": ["gfx10"],
13345 "map": {"at": 217856, "to": "mm"},
13346 "name": "RMI_PERFCOUNTER0_LO",
13347 "type_ref": "CB_PERFCOUNTER0_LO"
13348 },
13349 {
13350 "chips": ["gfx10"],
13351 "map": {"at": 226304, "to": "mm"},
13352 "name": "RMI_PERFCOUNTER0_SELECT",
13353 "type_ref": "RMI_PERFCOUNTER0_SELECT"
13354 },
13355 {
13356 "chips": ["gfx10"],
13357 "map": {"at": 226308, "to": "mm"},
13358 "name": "RMI_PERFCOUNTER0_SELECT1",
13359 "type_ref": "RMI_PERFCOUNTER0_SELECT1"
13360 },
13361 {
13362 "chips": ["gfx10"],
13363 "map": {"at": 217868, "to": "mm"},
13364 "name": "RMI_PERFCOUNTER1_HI",
13365 "type_ref": "CB_PERFCOUNTER0_HI"
13366 },
13367 {
13368 "chips": ["gfx10"],
13369 "map": {"at": 217864, "to": "mm"},
13370 "name": "RMI_PERFCOUNTER1_LO",
13371 "type_ref": "CB_PERFCOUNTER0_LO"
13372 },
13373 {
13374 "chips": ["gfx10"],
13375 "map": {"at": 226312, "to": "mm"},
13376 "name": "RMI_PERFCOUNTER1_SELECT",
13377 "type_ref": "RMI_PERFCOUNTER1_SELECT"
13378 },
13379 {
13380 "chips": ["gfx10"],
13381 "map": {"at": 217876, "to": "mm"},
13382 "name": "RMI_PERFCOUNTER2_HI",
13383 "type_ref": "CB_PERFCOUNTER0_HI"
13384 },
13385 {
13386 "chips": ["gfx10"],
13387 "map": {"at": 217872, "to": "mm"},
13388 "name": "RMI_PERFCOUNTER2_LO",
13389 "type_ref": "CB_PERFCOUNTER0_LO"
13390 },
13391 {
13392 "chips": ["gfx10"],
13393 "map": {"at": 226316, "to": "mm"},
13394 "name": "RMI_PERFCOUNTER2_SELECT",
13395 "type_ref": "RMI_PERFCOUNTER0_SELECT"
13396 },
13397 {
13398 "chips": ["gfx10"],
13399 "map": {"at": 226320, "to": "mm"},
13400 "name": "RMI_PERFCOUNTER2_SELECT1",
13401 "type_ref": "RMI_PERFCOUNTER0_SELECT1"
13402 },
13403 {
13404 "chips": ["gfx10"],
13405 "map": {"at": 217884, "to": "mm"},
13406 "name": "RMI_PERFCOUNTER3_HI",
13407 "type_ref": "CB_PERFCOUNTER0_HI"
13408 },
13409 {
13410 "chips": ["gfx10"],
13411 "map": {"at": 217880, "to": "mm"},
13412 "name": "RMI_PERFCOUNTER3_LO",
13413 "type_ref": "CB_PERFCOUNTER0_LO"
13414 },
13415 {
13416 "chips": ["gfx10"],
13417 "map": {"at": 226324, "to": "mm"},
13418 "name": "RMI_PERFCOUNTER3_SELECT",
13419 "type_ref": "RMI_PERFCOUNTER1_SELECT"
13420 },
13421 {
13422 "chips": ["gfx10"],
13423 "map": {"at": 226328, "to": "mm"},
13424 "name": "RMI_PERF_COUNTER_CNTL",
13425 "type_ref": "RMI_PERF_COUNTER_CNTL"
13426 },
13427 {
13428 "chips": ["gfx10"],
13429 "map": {"at": 196932, "to": "mm"},
13430 "name": "SCRATCH_ADDR",
13431 "type_ref": "SCRATCH_ADDR"
13432 },
13433 {
13434 "chips": ["gfx10"],
13435 "map": {"at": 196864, "to": "mm"},
13436 "name": "SCRATCH_REG0",
13437 "type_ref": "SCRATCH_REG0"
13438 },
13439 {
13440 "chips": ["gfx10"],
13441 "map": {"at": 196868, "to": "mm"},
13442 "name": "SCRATCH_REG1",
13443 "type_ref": "SCRATCH_REG1"
13444 },
13445 {
13446 "chips": ["gfx10"],
13447 "map": {"at": 196872, "to": "mm"},
13448 "name": "SCRATCH_REG2",
13449 "type_ref": "SCRATCH_REG2"
13450 },
13451 {
13452 "chips": ["gfx10"],
13453 "map": {"at": 196876, "to": "mm"},
13454 "name": "SCRATCH_REG3",
13455 "type_ref": "SCRATCH_REG3"
13456 },
13457 {
13458 "chips": ["gfx10"],
13459 "map": {"at": 196880, "to": "mm"},
13460 "name": "SCRATCH_REG4",
13461 "type_ref": "SCRATCH_REG4"
13462 },
13463 {
13464 "chips": ["gfx10"],
13465 "map": {"at": 196884, "to": "mm"},
13466 "name": "SCRATCH_REG5",
13467 "type_ref": "SCRATCH_REG5"
13468 },
13469 {
13470 "chips": ["gfx10"],
13471 "map": {"at": 196888, "to": "mm"},
13472 "name": "SCRATCH_REG6",
13473 "type_ref": "SCRATCH_REG6"
13474 },
13475 {
13476 "chips": ["gfx10"],
13477 "map": {"at": 196892, "to": "mm"},
13478 "name": "SCRATCH_REG7",
13479 "type_ref": "SCRATCH_REG7"
13480 },
13481 {
13482 "chips": ["gfx10"],
13483 "map": {"at": 196928, "to": "mm"},
13484 "name": "SCRATCH_UMSK",
13485 "type_ref": "SCRATCH_UMSK"
13486 },
13487 {
13488 "chips": ["gfx10"],
13489 "map": {"at": 37144, "to": "mm"},
13490 "name": "SPIRA_DEBUG_READ",
13491 "type_ref": "COMPUTE_PGM_LO"
13492 },
13493 {
13494 "chips": ["gfx10"],
13495 "map": {"at": 37800, "to": "mm"},
13496 "name": "SPIS_DEBUG_READ",
13497 "type_ref": "COMPUTE_PGM_LO"
13498 },
13499 {
13500 "chips": ["gfx10"],
13501 "map": {"at": 165600, "to": "mm"},
13502 "name": "SPI_BARYC_CNTL",
13503 "type_ref": "SPI_BARYC_CNTL"
13504 },
13505 {
13506 "chips": ["gfx10"],
13507 "map": {"at": 37120, "to": "mm"},
13508 "name": "SPI_CONFIG_CNTL",
13509 "type_ref": "SPI_CONFIG_CNTL"
13510 },
13511 {
13512 "chips": ["gfx10"],
13513 "map": {"at": 37180, "to": "mm"},
13514 "name": "SPI_CONFIG_CNTL_1",
13515 "type_ref": "SPI_CONFIG_CNTL_1"
13516 },
13517 {
13518 "chips": ["gfx10"],
13519 "map": {"at": 200964, "to": "mm"},
13520 "name": "SPI_CONFIG_CNTL_1_REMAP",
13521 "type_ref": "SPI_CONFIG_CNTL_REMAP"
13522 },
13523 {
13524 "chips": ["gfx10"],
13525 "map": {"at": 37176, "to": "mm"},
13526 "name": "SPI_CONFIG_CNTL_2",
13527 "type_ref": "SPI_CONFIG_CNTL_2"
13528 },
13529 {
13530 "chips": ["gfx10"],
13531 "map": {"at": 200968, "to": "mm"},
13532 "name": "SPI_CONFIG_CNTL_2_REMAP",
13533 "type_ref": "SPI_CONFIG_CNTL_REMAP"
13534 },
13535 {
13536 "chips": ["gfx10"],
13537 "map": {"at": 200960, "to": "mm"},
13538 "name": "SPI_CONFIG_CNTL_REMAP",
13539 "type_ref": "SPI_CONFIG_CNTL_REMAP"
13540 },
13541 {
13542 "chips": ["gfx10"],
13543 "map": {"at": 37744, "to": "mm"},
13544 "name": "SPI_CSQ_WF_ACTIVE_COUNT_0",
13545 "type_ref": "SPI_CSQ_WF_ACTIVE_COUNT_0"
13546 },
13547 {
13548 "chips": ["gfx10"],
13549 "map": {"at": 37748, "to": "mm"},
13550 "name": "SPI_CSQ_WF_ACTIVE_COUNT_1",
13551 "type_ref": "SPI_CSQ_WF_ACTIVE_COUNT_0"
13552 },
13553 {
13554 "chips": ["gfx10"],
13555 "map": {"at": 37752, "to": "mm"},
13556 "name": "SPI_CSQ_WF_ACTIVE_COUNT_2",
13557 "type_ref": "SPI_CSQ_WF_ACTIVE_COUNT_0"
13558 },
13559 {
13560 "chips": ["gfx10"],
13561 "map": {"at": 37756, "to": "mm"},
13562 "name": "SPI_CSQ_WF_ACTIVE_COUNT_3",
13563 "type_ref": "SPI_CSQ_WF_ACTIVE_COUNT_0"
13564 },
13565 {
13566 "chips": ["gfx10"],
13567 "map": {"at": 37760, "to": "mm"},
13568 "name": "SPI_CSQ_WF_ACTIVE_COUNT_4",
13569 "type_ref": "SPI_CSQ_WF_ACTIVE_COUNT_0"
13570 },
13571 {
13572 "chips": ["gfx10"],
13573 "map": {"at": 37764, "to": "mm"},
13574 "name": "SPI_CSQ_WF_ACTIVE_COUNT_5",
13575 "type_ref": "SPI_CSQ_WF_ACTIVE_COUNT_0"
13576 },
13577 {
13578 "chips": ["gfx10"],
13579 "map": {"at": 37768, "to": "mm"},
13580 "name": "SPI_CSQ_WF_ACTIVE_COUNT_6",
13581 "type_ref": "SPI_CSQ_WF_ACTIVE_COUNT_0"
13582 },
13583 {
13584 "chips": ["gfx10"],
13585 "map": {"at": 37772, "to": "mm"},
13586 "name": "SPI_CSQ_WF_ACTIVE_COUNT_7",
13587 "type_ref": "SPI_CSQ_WF_ACTIVE_COUNT_0"
13588 },
13589 {
13590 "chips": ["gfx10"],
13591 "map": {"at": 37740, "to": "mm"},
13592 "name": "SPI_CSQ_WF_ACTIVE_STATUS",
13593 "type_ref": "SPI_CSQ_WF_ACTIVE_STATUS"
13594 },
13595 {
13596 "chips": ["gfx10"],
13597 "map": {"at": 37184, "to": "mm"},
13598 "name": "SPI_DEBUG_BUSY",
13599 "type_ref": "SPI_DEBUG_BUSY"
13600 },
13601 {
13602 "chips": ["gfx10"],
13603 "map": {"at": 37124, "to": "mm"},
13604 "name": "SPI_DEBUG_CNTL",
13605 "type_ref": "SPI_DEBUG_CNTL"
13606 },
13607 {
13608 "chips": ["gfx10"],
13609 "map": {"at": 37112, "to": "mm"},
13610 "name": "SPI_DEBUG_CNTL_2",
13611 "type_ref": "SPI_DEBUG_CNTL_2"
13612 },
13613 {
13614 "chips": ["gfx10"],
13615 "map": {"at": 37128, "to": "mm"},
13616 "name": "SPI_DEBUG_READ",
13617 "type_ref": "COMPUTE_PGM_LO"
13618 },
13619 {
13620 "chips": ["gfx10"],
13621 "map": {"at": 37132, "to": "mm"},
13622 "name": "SPI_DSM_CNTL",
13623 "type_ref": "SPI_DSM_CNTL"
13624 },
13625 {
13626 "chips": ["gfx10"],
13627 "map": {"at": 37136, "to": "mm"},
13628 "name": "SPI_DSM_CNTL2",
13629 "type_ref": "SPI_DSM_CNTL2"
13630 },
13631 {
13632 "chips": ["gfx10"],
13633 "map": {"at": 37140, "to": "mm"},
13634 "name": "SPI_EDC_CNT",
13635 "type_ref": "SPI_EDC_CNT"
13636 },
13637 {
13638 "chips": ["gfx10"],
13639 "map": {"at": 37728, "to": "mm"},
13640 "name": "SPI_GDS_CREDITS",
13641 "type_ref": "SPI_GDS_CREDITS"
13642 },
13643 {
13644 "chips": ["gfx10"],
13645 "map": {"at": 37104, "to": "mm"},
13646 "name": "SPI_GFX_CNTL",
13647 "type_ref": "SPI_GFX_CNTL"
13648 },
13649 {
13650 "chips": ["gfx10"],
13651 "map": {"at": 165588, "to": "mm"},
13652 "name": "SPI_INTERP_CONTROL_0",
13653 "type_ref": "SPI_INTERP_CONTROL_0"
13654 },
13655 {
13656 "chips": ["gfx10"],
13657 "map": {"at": 37712, "to": "mm"},
13658 "name": "SPI_LB_CTR_CTRL",
13659 "type_ref": "SPI_LB_CTR_CTRL"
13660 },
13661 {
13662 "chips": ["gfx10"],
13663 "map": {"at": 37788, "to": "mm"},
13664 "name": "SPI_LB_DATA_PERWGP_WAVE_CS",
13665 "type_ref": "SPI_LB_DATA_PERWGP_WAVE_CS"
13666 },
13667 {
13668 "chips": ["gfx10"],
13669 "map": {"at": 37780, "to": "mm"},
13670 "name": "SPI_LB_DATA_PERWGP_WAVE_HSGS",
13671 "type_ref": "SPI_LB_DATA_PERWGP_WAVE_HSGS"
13672 },
13673 {
13674 "chips": ["gfx10"],
13675 "map": {"at": 37784, "to": "mm"},
13676 "name": "SPI_LB_DATA_PERWGP_WAVE_VSPS",
13677 "type_ref": "SPI_LB_DATA_PERWGP_WAVE_VSPS"
13678 },
13679 {
13680 "chips": ["gfx10"],
13681 "map": {"at": 37720, "to": "mm"},
13682 "name": "SPI_LB_DATA_REG",
13683 "type_ref": "SPI_LB_DATA_REG"
13684 },
13685 {
13686 "chips": ["gfx10"],
13687 "map": {"at": 37776, "to": "mm"},
13688 "name": "SPI_LB_DATA_WAVES",
13689 "type_ref": "SPI_LB_DATA_WAVES"
13690 },
13691 {
13692 "chips": ["gfx10"],
13693 "map": {"at": 37716, "to": "mm"},
13694 "name": "SPI_LB_WGP_MASK",
13695 "type_ref": "SPI_LB_WGP_MASK"
13696 },
13697 {
13698 "chips": ["gfx10"],
13699 "map": {"at": 37824, "to": "mm"},
13700 "name": "SPI_P0_TRAP_SCREEN_GPR_MIN",
13701 "type_ref": "SPI_P0_TRAP_SCREEN_GPR_MIN"
13702 },
13703 {
13704 "chips": ["gfx10"],
13705 "map": {"at": 37812, "to": "mm"},
13706 "name": "SPI_P0_TRAP_SCREEN_PSBA_HI",
13707 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_HI"
13708 },
13709 {
13710 "chips": ["gfx10"],
13711 "map": {"at": 37808, "to": "mm"},
13712 "name": "SPI_P0_TRAP_SCREEN_PSBA_LO",
13713 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO"
13714 },
13715 {
13716 "chips": ["gfx10"],
13717 "map": {"at": 37820, "to": "mm"},
13718 "name": "SPI_P0_TRAP_SCREEN_PSMA_HI",
13719 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_HI"
13720 },
13721 {
13722 "chips": ["gfx10"],
13723 "map": {"at": 37816, "to": "mm"},
13724 "name": "SPI_P0_TRAP_SCREEN_PSMA_LO",
13725 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO"
13726 },
13727 {
13728 "chips": ["gfx10"],
13729 "map": {"at": 37844, "to": "mm"},
13730 "name": "SPI_P1_TRAP_SCREEN_GPR_MIN",
13731 "type_ref": "SPI_P0_TRAP_SCREEN_GPR_MIN"
13732 },
13733 {
13734 "chips": ["gfx10"],
13735 "map": {"at": 37832, "to": "mm"},
13736 "name": "SPI_P1_TRAP_SCREEN_PSBA_HI",
13737 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_HI"
13738 },
13739 {
13740 "chips": ["gfx10"],
13741 "map": {"at": 37828, "to": "mm"},
13742 "name": "SPI_P1_TRAP_SCREEN_PSBA_LO",
13743 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO"
13744 },
13745 {
13746 "chips": ["gfx10"],
13747 "map": {"at": 37840, "to": "mm"},
13748 "name": "SPI_P1_TRAP_SCREEN_PSMA_HI",
13749 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_HI"
13750 },
13751 {
13752 "chips": ["gfx10"],
13753 "map": {"at": 37836, "to": "mm"},
13754 "name": "SPI_P1_TRAP_SCREEN_PSMA_LO",
13755 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO"
13756 },
13757 {
13758 "chips": ["gfx10"],
13759 "map": {"at": 214528, "to": "mm"},
13760 "name": "SPI_PERFCOUNTER0_HI",
13761 "type_ref": "CB_PERFCOUNTER0_HI"
13762 },
13763 {
13764 "chips": ["gfx10"],
13765 "map": {"at": 214532, "to": "mm"},
13766 "name": "SPI_PERFCOUNTER0_LO",
13767 "type_ref": "CB_PERFCOUNTER0_LO"
13768 },
13769 {
13770 "chips": ["gfx10"],
13771 "map": {"at": 222720, "to": "mm"},
13772 "name": "SPI_PERFCOUNTER0_SELECT",
13773 "type_ref": "SPI_PERFCOUNTER0_SELECT"
13774 },
13775 {
13776 "chips": ["gfx10"],
13777 "map": {"at": 222736, "to": "mm"},
13778 "name": "SPI_PERFCOUNTER0_SELECT1",
13779 "type_ref": "SPI_PERFCOUNTER0_SELECT1"
13780 },
13781 {
13782 "chips": ["gfx10"],
13783 "map": {"at": 214536, "to": "mm"},
13784 "name": "SPI_PERFCOUNTER1_HI",
13785 "type_ref": "CB_PERFCOUNTER0_HI"
13786 },
13787 {
13788 "chips": ["gfx10"],
13789 "map": {"at": 214540, "to": "mm"},
13790 "name": "SPI_PERFCOUNTER1_LO",
13791 "type_ref": "CB_PERFCOUNTER0_LO"
13792 },
13793 {
13794 "chips": ["gfx10"],
13795 "map": {"at": 222724, "to": "mm"},
13796 "name": "SPI_PERFCOUNTER1_SELECT",
13797 "type_ref": "SPI_PERFCOUNTER0_SELECT"
13798 },
13799 {
13800 "chips": ["gfx10"],
13801 "map": {"at": 222740, "to": "mm"},
13802 "name": "SPI_PERFCOUNTER1_SELECT1",
13803 "type_ref": "SPI_PERFCOUNTER0_SELECT1"
13804 },
13805 {
13806 "chips": ["gfx10"],
13807 "map": {"at": 214544, "to": "mm"},
13808 "name": "SPI_PERFCOUNTER2_HI",
13809 "type_ref": "CB_PERFCOUNTER0_HI"
13810 },
13811 {
13812 "chips": ["gfx10"],
13813 "map": {"at": 214548, "to": "mm"},
13814 "name": "SPI_PERFCOUNTER2_LO",
13815 "type_ref": "CB_PERFCOUNTER0_LO"
13816 },
13817 {
13818 "chips": ["gfx10"],
13819 "map": {"at": 222728, "to": "mm"},
13820 "name": "SPI_PERFCOUNTER2_SELECT",
13821 "type_ref": "SPI_PERFCOUNTER0_SELECT"
13822 },
13823 {
13824 "chips": ["gfx10"],
13825 "map": {"at": 222744, "to": "mm"},
13826 "name": "SPI_PERFCOUNTER2_SELECT1",
13827 "type_ref": "SPI_PERFCOUNTER0_SELECT1"
13828 },
13829 {
13830 "chips": ["gfx10"],
13831 "map": {"at": 214552, "to": "mm"},
13832 "name": "SPI_PERFCOUNTER3_HI",
13833 "type_ref": "CB_PERFCOUNTER0_HI"
13834 },
13835 {
13836 "chips": ["gfx10"],
13837 "map": {"at": 214556, "to": "mm"},
13838 "name": "SPI_PERFCOUNTER3_LO",
13839 "type_ref": "CB_PERFCOUNTER0_LO"
13840 },
13841 {
13842 "chips": ["gfx10"],
13843 "map": {"at": 222732, "to": "mm"},
13844 "name": "SPI_PERFCOUNTER3_SELECT",
13845 "type_ref": "SPI_PERFCOUNTER0_SELECT"
13846 },
13847 {
13848 "chips": ["gfx10"],
13849 "map": {"at": 222748, "to": "mm"},
13850 "name": "SPI_PERFCOUNTER3_SELECT1",
13851 "type_ref": "SPI_PERFCOUNTER0_SELECT1"
13852 },
13853 {
13854 "chips": ["gfx10"],
13855 "map": {"at": 214560, "to": "mm"},
13856 "name": "SPI_PERFCOUNTER4_HI",
13857 "type_ref": "CB_PERFCOUNTER0_HI"
13858 },
13859 {
13860 "chips": ["gfx10"],
13861 "map": {"at": 214564, "to": "mm"},
13862 "name": "SPI_PERFCOUNTER4_LO",
13863 "type_ref": "CB_PERFCOUNTER0_LO"
13864 },
13865 {
13866 "chips": ["gfx10"],
13867 "map": {"at": 222752, "to": "mm"},
13868 "name": "SPI_PERFCOUNTER4_SELECT",
13869 "type_ref": "SPI_PERFCOUNTER4_SELECT"
13870 },
13871 {
13872 "chips": ["gfx10"],
13873 "map": {"at": 214568, "to": "mm"},
13874 "name": "SPI_PERFCOUNTER5_HI",
13875 "type_ref": "CB_PERFCOUNTER0_HI"
13876 },
13877 {
13878 "chips": ["gfx10"],
13879 "map": {"at": 214572, "to": "mm"},
13880 "name": "SPI_PERFCOUNTER5_LO",
13881 "type_ref": "CB_PERFCOUNTER0_LO"
13882 },
13883 {
13884 "chips": ["gfx10"],
13885 "map": {"at": 222756, "to": "mm"},
13886 "name": "SPI_PERFCOUNTER5_SELECT",
13887 "type_ref": "SPI_PERFCOUNTER4_SELECT"
13888 },
13889 {
13890 "chips": ["gfx10"],
13891 "map": {"at": 222760, "to": "mm"},
13892 "name": "SPI_PERFCOUNTER_BINS",
13893 "type_ref": "SPI_PERFCOUNTER_BINS"
13894 },
13895 {
13896 "chips": ["gfx10"],
13897 "map": {"at": 37724, "to": "mm"},
13898 "name": "SPI_PG_ENABLE_STATIC_WGP_MASK",
13899 "type_ref": "SPI_LB_WGP_MASK"
13900 },
13901 {
13902 "chips": ["gfx10"],
13903 "map": {"at": 165584, "to": "mm"},
13904 "name": "SPI_PS_INPUT_ADDR",
13905 "type_ref": "SPI_PS_INPUT_ENA"
13906 },
13907 {
13908 "chips": ["gfx10"],
13909 "map": {"at": 165444, "to": "mm"},
13910 "name": "SPI_PS_INPUT_CNTL_0",
13911 "type_ref": "SPI_PS_INPUT_CNTL_0"
13912 },
13913 {
13914 "chips": ["gfx10"],
13915 "map": {"at": 165448, "to": "mm"},
13916 "name": "SPI_PS_INPUT_CNTL_1",
13917 "type_ref": "SPI_PS_INPUT_CNTL_0"
13918 },
13919 {
13920 "chips": ["gfx10"],
13921 "map": {"at": 165484, "to": "mm"},
13922 "name": "SPI_PS_INPUT_CNTL_10",
13923 "type_ref": "SPI_PS_INPUT_CNTL_0"
13924 },
13925 {
13926 "chips": ["gfx10"],
13927 "map": {"at": 165488, "to": "mm"},
13928 "name": "SPI_PS_INPUT_CNTL_11",
13929 "type_ref": "SPI_PS_INPUT_CNTL_0"
13930 },
13931 {
13932 "chips": ["gfx10"],
13933 "map": {"at": 165492, "to": "mm"},
13934 "name": "SPI_PS_INPUT_CNTL_12",
13935 "type_ref": "SPI_PS_INPUT_CNTL_0"
13936 },
13937 {
13938 "chips": ["gfx10"],
13939 "map": {"at": 165496, "to": "mm"},
13940 "name": "SPI_PS_INPUT_CNTL_13",
13941 "type_ref": "SPI_PS_INPUT_CNTL_0"
13942 },
13943 {
13944 "chips": ["gfx10"],
13945 "map": {"at": 165500, "to": "mm"},
13946 "name": "SPI_PS_INPUT_CNTL_14",
13947 "type_ref": "SPI_PS_INPUT_CNTL_0"
13948 },
13949 {
13950 "chips": ["gfx10"],
13951 "map": {"at": 165504, "to": "mm"},
13952 "name": "SPI_PS_INPUT_CNTL_15",
13953 "type_ref": "SPI_PS_INPUT_CNTL_0"
13954 },
13955 {
13956 "chips": ["gfx10"],
13957 "map": {"at": 165508, "to": "mm"},
13958 "name": "SPI_PS_INPUT_CNTL_16",
13959 "type_ref": "SPI_PS_INPUT_CNTL_0"
13960 },
13961 {
13962 "chips": ["gfx10"],
13963 "map": {"at": 165512, "to": "mm"},
13964 "name": "SPI_PS_INPUT_CNTL_17",
13965 "type_ref": "SPI_PS_INPUT_CNTL_0"
13966 },
13967 {
13968 "chips": ["gfx10"],
13969 "map": {"at": 165516, "to": "mm"},
13970 "name": "SPI_PS_INPUT_CNTL_18",
13971 "type_ref": "SPI_PS_INPUT_CNTL_0"
13972 },
13973 {
13974 "chips": ["gfx10"],
13975 "map": {"at": 165520, "to": "mm"},
13976 "name": "SPI_PS_INPUT_CNTL_19",
13977 "type_ref": "SPI_PS_INPUT_CNTL_0"
13978 },
13979 {
13980 "chips": ["gfx10"],
13981 "map": {"at": 165452, "to": "mm"},
13982 "name": "SPI_PS_INPUT_CNTL_2",
13983 "type_ref": "SPI_PS_INPUT_CNTL_0"
13984 },
13985 {
13986 "chips": ["gfx10"],
13987 "map": {"at": 165524, "to": "mm"},
13988 "name": "SPI_PS_INPUT_CNTL_20",
13989 "type_ref": "SPI_PS_INPUT_CNTL_20"
13990 },
13991 {
13992 "chips": ["gfx10"],
13993 "map": {"at": 165528, "to": "mm"},
13994 "name": "SPI_PS_INPUT_CNTL_21",
13995 "type_ref": "SPI_PS_INPUT_CNTL_20"
13996 },
13997 {
13998 "chips": ["gfx10"],
13999 "map": {"at": 165532, "to": "mm"},
14000 "name": "SPI_PS_INPUT_CNTL_22",
14001 "type_ref": "SPI_PS_INPUT_CNTL_20"
14002 },
14003 {
14004 "chips": ["gfx10"],
14005 "map": {"at": 165536, "to": "mm"},
14006 "name": "SPI_PS_INPUT_CNTL_23",
14007 "type_ref": "SPI_PS_INPUT_CNTL_20"
14008 },
14009 {
14010 "chips": ["gfx10"],
14011 "map": {"at": 165540, "to": "mm"},
14012 "name": "SPI_PS_INPUT_CNTL_24",
14013 "type_ref": "SPI_PS_INPUT_CNTL_20"
14014 },
14015 {
14016 "chips": ["gfx10"],
14017 "map": {"at": 165544, "to": "mm"},
14018 "name": "SPI_PS_INPUT_CNTL_25",
14019 "type_ref": "SPI_PS_INPUT_CNTL_20"
14020 },
14021 {
14022 "chips": ["gfx10"],
14023 "map": {"at": 165548, "to": "mm"},
14024 "name": "SPI_PS_INPUT_CNTL_26",
14025 "type_ref": "SPI_PS_INPUT_CNTL_20"
14026 },
14027 {
14028 "chips": ["gfx10"],
14029 "map": {"at": 165552, "to": "mm"},
14030 "name": "SPI_PS_INPUT_CNTL_27",
14031 "type_ref": "SPI_PS_INPUT_CNTL_20"
14032 },
14033 {
14034 "chips": ["gfx10"],
14035 "map": {"at": 165556, "to": "mm"},
14036 "name": "SPI_PS_INPUT_CNTL_28",
14037 "type_ref": "SPI_PS_INPUT_CNTL_20"
14038 },
14039 {
14040 "chips": ["gfx10"],
14041 "map": {"at": 165560, "to": "mm"},
14042 "name": "SPI_PS_INPUT_CNTL_29",
14043 "type_ref": "SPI_PS_INPUT_CNTL_20"
14044 },
14045 {
14046 "chips": ["gfx10"],
14047 "map": {"at": 165456, "to": "mm"},
14048 "name": "SPI_PS_INPUT_CNTL_3",
14049 "type_ref": "SPI_PS_INPUT_CNTL_0"
14050 },
14051 {
14052 "chips": ["gfx10"],
14053 "map": {"at": 165564, "to": "mm"},
14054 "name": "SPI_PS_INPUT_CNTL_30",
14055 "type_ref": "SPI_PS_INPUT_CNTL_20"
14056 },
14057 {
14058 "chips": ["gfx10"],
14059 "map": {"at": 165568, "to": "mm"},
14060 "name": "SPI_PS_INPUT_CNTL_31",
14061 "type_ref": "SPI_PS_INPUT_CNTL_20"
14062 },
14063 {
14064 "chips": ["gfx10"],
14065 "map": {"at": 165460, "to": "mm"},
14066 "name": "SPI_PS_INPUT_CNTL_4",
14067 "type_ref": "SPI_PS_INPUT_CNTL_0"
14068 },
14069 {
14070 "chips": ["gfx10"],
14071 "map": {"at": 165464, "to": "mm"},
14072 "name": "SPI_PS_INPUT_CNTL_5",
14073 "type_ref": "SPI_PS_INPUT_CNTL_0"
14074 },
14075 {
14076 "chips": ["gfx10"],
14077 "map": {"at": 165468, "to": "mm"},
14078 "name": "SPI_PS_INPUT_CNTL_6",
14079 "type_ref": "SPI_PS_INPUT_CNTL_0"
14080 },
14081 {
14082 "chips": ["gfx10"],
14083 "map": {"at": 165472, "to": "mm"},
14084 "name": "SPI_PS_INPUT_CNTL_7",
14085 "type_ref": "SPI_PS_INPUT_CNTL_0"
14086 },
14087 {
14088 "chips": ["gfx10"],
14089 "map": {"at": 165476, "to": "mm"},
14090 "name": "SPI_PS_INPUT_CNTL_8",
14091 "type_ref": "SPI_PS_INPUT_CNTL_0"
14092 },
14093 {
14094 "chips": ["gfx10"],
14095 "map": {"at": 165480, "to": "mm"},
14096 "name": "SPI_PS_INPUT_CNTL_9",
14097 "type_ref": "SPI_PS_INPUT_CNTL_0"
14098 },
14099 {
14100 "chips": ["gfx10"],
14101 "map": {"at": 165580, "to": "mm"},
14102 "name": "SPI_PS_INPUT_ENA",
14103 "type_ref": "SPI_PS_INPUT_ENA"
14104 },
14105 {
14106 "chips": ["gfx10"],
14107 "map": {"at": 165592, "to": "mm"},
14108 "name": "SPI_PS_IN_CONTROL",
14109 "type_ref": "SPI_PS_IN_CONTROL"
14110 },
14111 {
14112 "chips": ["gfx10"],
14113 "map": {"at": 37096, "to": "mm"},
14114 "name": "SPI_PS_MAX_WAVE_ID",
14115 "type_ref": "SPI_PS_MAX_WAVE_ID"
14116 },
14117 {
14118 "chips": ["gfx10"],
14119 "map": {"at": 165652, "to": "mm"},
14120 "name": "SPI_SHADER_COL_FORMAT",
14121 "type_ref": "SPI_SHADER_COL_FORMAT"
14122 },
14123 {
14124 "chips": ["gfx10"],
14125 "map": {"at": 165640, "to": "mm"},
14126 "name": "SPI_SHADER_IDX_FORMAT",
14127 "type_ref": "SPI_SHADER_IDX_FORMAT"
14128 },
14129 {
14130 "chips": ["gfx10"],
14131 "map": {"at": 45340, "to": "mm"},
14132 "name": "SPI_SHADER_LATE_ALLOC_VS",
14133 "type_ref": "SPI_SHADER_LATE_ALLOC_VS"
14134 },
14135 {
14136 "chips": ["gfx10"],
14137 "map": {"at": 45568, "to": "mm"},
14138 "name": "SPI_SHADER_PGM_CHKSUM_GS",
14139 "type_ref": "COMPUTE_SHADER_CHKSUM"
14140 },
14141 {
14142 "chips": ["gfx10"],
14143 "map": {"at": 46080, "to": "mm"},
14144 "name": "SPI_SHADER_PGM_CHKSUM_HS",
14145 "type_ref": "COMPUTE_SHADER_CHKSUM"
14146 },
14147 {
14148 "chips": ["gfx10"],
14149 "map": {"at": 45080, "to": "mm"},
14150 "name": "SPI_SHADER_PGM_CHKSUM_PS",
14151 "type_ref": "COMPUTE_SHADER_CHKSUM"
14152 },
14153 {
14154 "chips": ["gfx10"],
14155 "map": {"at": 45332, "to": "mm"},
14156 "name": "SPI_SHADER_PGM_CHKSUM_VS",
14157 "type_ref": "COMPUTE_SHADER_CHKSUM"
14158 },
14159 {
14160 "chips": ["gfx10"],
14161 "map": {"at": 45860, "to": "mm"},
14162 "name": "SPI_SHADER_PGM_HI_ES",
14163 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_HI"
14164 },
14165 {
14166 "chips": ["gfx10"],
14167 "map": {"at": 45588, "to": "mm"},
14168 "name": "SPI_SHADER_PGM_HI_ES_GS",
14169 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_HI"
14170 },
14171 {
14172 "chips": ["gfx10"],
14173 "map": {"at": 45604, "to": "mm"},
14174 "name": "SPI_SHADER_PGM_HI_GS",
14175 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_HI"
14176 },
14177 {
14178 "chips": ["gfx10"],
14179 "map": {"at": 46116, "to": "mm"},
14180 "name": "SPI_SHADER_PGM_HI_HS",
14181 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_HI"
14182 },
14183 {
14184 "chips": ["gfx10"],
14185 "map": {"at": 46372, "to": "mm"},
14186 "name": "SPI_SHADER_PGM_HI_LS",
14187 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_HI"
14188 },
14189 {
14190 "chips": ["gfx10"],
14191 "map": {"at": 46100, "to": "mm"},
14192 "name": "SPI_SHADER_PGM_HI_LS_HS",
14193 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_HI"
14194 },
14195 {
14196 "chips": ["gfx10"],
14197 "map": {"at": 45092, "to": "mm"},
14198 "name": "SPI_SHADER_PGM_HI_PS",
14199 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_HI"
14200 },
14201 {
14202 "chips": ["gfx10"],
14203 "map": {"at": 45348, "to": "mm"},
14204 "name": "SPI_SHADER_PGM_HI_VS",
14205 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_HI"
14206 },
14207 {
14208 "chips": ["gfx10"],
14209 "map": {"at": 45856, "to": "mm"},
14210 "name": "SPI_SHADER_PGM_LO_ES",
14211 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO"
14212 },
14213 {
14214 "chips": ["gfx10"],
14215 "map": {"at": 45584, "to": "mm"},
14216 "name": "SPI_SHADER_PGM_LO_ES_GS",
14217 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO"
14218 },
14219 {
14220 "chips": ["gfx10"],
14221 "map": {"at": 45600, "to": "mm"},
14222 "name": "SPI_SHADER_PGM_LO_GS",
14223 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO"
14224 },
14225 {
14226 "chips": ["gfx10"],
14227 "map": {"at": 46112, "to": "mm"},
14228 "name": "SPI_SHADER_PGM_LO_HS",
14229 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO"
14230 },
14231 {
14232 "chips": ["gfx10"],
14233 "map": {"at": 46368, "to": "mm"},
14234 "name": "SPI_SHADER_PGM_LO_LS",
14235 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO"
14236 },
14237 {
14238 "chips": ["gfx10"],
14239 "map": {"at": 46096, "to": "mm"},
14240 "name": "SPI_SHADER_PGM_LO_LS_HS",
14241 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO"
14242 },
14243 {
14244 "chips": ["gfx10"],
14245 "map": {"at": 45088, "to": "mm"},
14246 "name": "SPI_SHADER_PGM_LO_PS",
14247 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO"
14248 },
14249 {
14250 "chips": ["gfx10"],
14251 "map": {"at": 45344, "to": "mm"},
14252 "name": "SPI_SHADER_PGM_LO_VS",
14253 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO"
14254 },
14255 {
14256 "chips": ["gfx10"],
14257 "map": {"at": 45864, "to": "mm"},
14258 "name": "SPI_SHADER_PGM_RSRC1_ES",
14259 "type_ref": "SPI_SHADER_PGM_RSRC1_ES"
14260 },
14261 {
14262 "chips": ["gfx10"],
14263 "map": {"at": 45608, "to": "mm"},
14264 "name": "SPI_SHADER_PGM_RSRC1_GS",
14265 "type_ref": "SPI_SHADER_PGM_RSRC1_GS"
14266 },
14267 {
14268 "chips": ["gfx10"],
14269 "map": {"at": 46120, "to": "mm"},
14270 "name": "SPI_SHADER_PGM_RSRC1_HS",
14271 "type_ref": "SPI_SHADER_PGM_RSRC1_HS"
14272 },
14273 {
14274 "chips": ["gfx10"],
14275 "map": {"at": 46376, "to": "mm"},
14276 "name": "SPI_SHADER_PGM_RSRC1_LS",
14277 "type_ref": "SPI_SHADER_PGM_RSRC1_LS"
14278 },
14279 {
14280 "chips": ["gfx10"],
14281 "map": {"at": 45096, "to": "mm"},
14282 "name": "SPI_SHADER_PGM_RSRC1_PS",
14283 "type_ref": "SPI_SHADER_PGM_RSRC1_PS"
14284 },
14285 {
14286 "chips": ["gfx10"],
14287 "map": {"at": 45352, "to": "mm"},
14288 "name": "SPI_SHADER_PGM_RSRC1_VS",
14289 "type_ref": "SPI_SHADER_PGM_RSRC1_VS"
14290 },
14291 {
14292 "chips": ["gfx10"],
14293 "map": {"at": 45868, "to": "mm"},
14294 "name": "SPI_SHADER_PGM_RSRC2_ES",
14295 "type_ref": "SPI_SHADER_PGM_RSRC2_ES_VS"
14296 },
14297 {
14298 "chips": ["gfx10"],
14299 "map": {"at": 45808, "to": "mm"},
14300 "name": "SPI_SHADER_PGM_RSRC2_ES_GS",
14301 "type_ref": "SPI_SHADER_PGM_RSRC2_ES_VS"
14302 },
14303 {
14304 "chips": ["gfx10"],
14305 "map": {"at": 45552, "to": "mm"},
14306 "name": "SPI_SHADER_PGM_RSRC2_ES_VS",
14307 "type_ref": "SPI_SHADER_PGM_RSRC2_ES_VS"
14308 },
14309 {
14310 "chips": ["gfx10"],
14311 "map": {"at": 45612, "to": "mm"},
14312 "name": "SPI_SHADER_PGM_RSRC2_GS",
14313 "type_ref": "SPI_SHADER_PGM_RSRC2_GS"
14314 },
14315 {
14316 "chips": ["gfx10"],
14317 "map": {"at": 45548, "to": "mm"},
14318 "name": "SPI_SHADER_PGM_RSRC2_GS_VS",
14319 "type_ref": "SPI_SHADER_PGM_RSRC2_GS_VS"
14320 },
14321 {
14322 "chips": ["gfx10"],
14323 "map": {"at": 46124, "to": "mm"},
14324 "name": "SPI_SHADER_PGM_RSRC2_HS",
14325 "type_ref": "SPI_SHADER_PGM_RSRC2_HS"
14326 },
14327 {
14328 "chips": ["gfx10"],
14329 "map": {"at": 46380, "to": "mm"},
14330 "name": "SPI_SHADER_PGM_RSRC2_LS",
14331 "type_ref": "SPI_SHADER_PGM_RSRC2_LS_VS"
14332 },
14333 {
14334 "chips": ["gfx10"],
14335 "map": {"at": 46068, "to": "mm"},
14336 "name": "SPI_SHADER_PGM_RSRC2_LS_ES",
14337 "type_ref": "SPI_SHADER_PGM_RSRC2_LS_VS"
14338 },
14339 {
14340 "chips": ["gfx10"],
14341 "map": {"at": 46324, "to": "mm"},
14342 "name": "SPI_SHADER_PGM_RSRC2_LS_HS",
14343 "type_ref": "SPI_SHADER_PGM_RSRC2_LS_VS"
14344 },
14345 {
14346 "chips": ["gfx10"],
14347 "map": {"at": 45556, "to": "mm"},
14348 "name": "SPI_SHADER_PGM_RSRC2_LS_VS",
14349 "type_ref": "SPI_SHADER_PGM_RSRC2_LS_VS"
14350 },
14351 {
14352 "chips": ["gfx10"],
14353 "map": {"at": 45100, "to": "mm"},
14354 "name": "SPI_SHADER_PGM_RSRC2_PS",
14355 "type_ref": "SPI_SHADER_PGM_RSRC2_PS"
14356 },
14357 {
14358 "chips": ["gfx10"],
14359 "map": {"at": 45356, "to": "mm"},
14360 "name": "SPI_SHADER_PGM_RSRC2_VS",
14361 "type_ref": "SPI_SHADER_PGM_RSRC2_VS"
14362 },
14363 {
14364 "chips": ["gfx10"],
14365 "map": {"at": 45852, "to": "mm"},
14366 "name": "SPI_SHADER_PGM_RSRC3_ES",
14367 "type_ref": "SPI_SHADER_PGM_RSRC3_GS"
14368 },
14369 {
14370 "chips": ["gfx10"],
14371 "map": {"at": 45596, "to": "mm"},
14372 "name": "SPI_SHADER_PGM_RSRC3_GS",
14373 "type_ref": "SPI_SHADER_PGM_RSRC3_GS"
14374 },
14375 {
14376 "chips": ["gfx10"],
14377 "map": {"at": 46108, "to": "mm"},
14378 "name": "SPI_SHADER_PGM_RSRC3_HS",
14379 "type_ref": "SPI_SHADER_PGM_RSRC3_HS"
14380 },
14381 {
14382 "chips": ["gfx10"],
14383 "map": {"at": 46364, "to": "mm"},
14384 "name": "SPI_SHADER_PGM_RSRC3_LS",
14385 "type_ref": "SPI_SHADER_PGM_RSRC3_GS"
14386 },
14387 {
14388 "chips": ["gfx10"],
14389 "map": {"at": 45084, "to": "mm"},
14390 "name": "SPI_SHADER_PGM_RSRC3_PS",
14391 "type_ref": "SPI_SHADER_PGM_RSRC3_PS"
14392 },
14393 {
14394 "chips": ["gfx10"],
14395 "map": {"at": 45336, "to": "mm"},
14396 "name": "SPI_SHADER_PGM_RSRC3_VS",
14397 "type_ref": "SPI_SHADER_PGM_RSRC3_PS"
14398 },
14399 {
14400 "chips": ["gfx10"],
14401 "map": {"at": 45572, "to": "mm"},
14402 "name": "SPI_SHADER_PGM_RSRC4_GS",
14403 "type_ref": "SPI_SHADER_PGM_RSRC4_GS"
14404 },
14405 {
14406 "chips": ["gfx10"],
14407 "map": {"at": 46084, "to": "mm"},
14408 "name": "SPI_SHADER_PGM_RSRC4_HS",
14409 "type_ref": "SPI_SHADER_PGM_RSRC4_PS"
14410 },
14411 {
14412 "chips": ["gfx10"],
14413 "map": {"at": 45060, "to": "mm"},
14414 "name": "SPI_SHADER_PGM_RSRC4_PS",
14415 "type_ref": "SPI_SHADER_PGM_RSRC4_PS"
14416 },
14417 {
14418 "chips": ["gfx10"],
14419 "map": {"at": 45316, "to": "mm"},
14420 "name": "SPI_SHADER_PGM_RSRC4_VS",
14421 "type_ref": "SPI_SHADER_PGM_RSRC4_PS"
14422 },
14423 {
14424 "chips": ["gfx10"],
14425 "map": {"at": 165644, "to": "mm"},
14426 "name": "SPI_SHADER_POS_FORMAT",
14427 "type_ref": "SPI_SHADER_POS_FORMAT"
14428 },
14429 {
14430 "chips": ["gfx10"],
14431 "map": {"at": 45768, "to": "mm"},
14432 "name": "SPI_SHADER_USER_ACCUM_ESGS_0",
14433 "type_ref": "COMPUTE_USER_ACCUM_0"
14434 },
14435 {
14436 "chips": ["gfx10"],
14437 "map": {"at": 45772, "to": "mm"},
14438 "name": "SPI_SHADER_USER_ACCUM_ESGS_1",
14439 "type_ref": "COMPUTE_USER_ACCUM_0"
14440 },
14441 {
14442 "chips": ["gfx10"],
14443 "map": {"at": 45776, "to": "mm"},
14444 "name": "SPI_SHADER_USER_ACCUM_ESGS_2",
14445 "type_ref": "COMPUTE_USER_ACCUM_0"
14446 },
14447 {
14448 "chips": ["gfx10"],
14449 "map": {"at": 45780, "to": "mm"},
14450 "name": "SPI_SHADER_USER_ACCUM_ESGS_3",
14451 "type_ref": "COMPUTE_USER_ACCUM_0"
14452 },
14453 {
14454 "chips": ["gfx10"],
14455 "map": {"at": 46280, "to": "mm"},
14456 "name": "SPI_SHADER_USER_ACCUM_LSHS_0",
14457 "type_ref": "COMPUTE_USER_ACCUM_0"
14458 },
14459 {
14460 "chips": ["gfx10"],
14461 "map": {"at": 46284, "to": "mm"},
14462 "name": "SPI_SHADER_USER_ACCUM_LSHS_1",
14463 "type_ref": "COMPUTE_USER_ACCUM_0"
14464 },
14465 {
14466 "chips": ["gfx10"],
14467 "map": {"at": 46288, "to": "mm"},
14468 "name": "SPI_SHADER_USER_ACCUM_LSHS_2",
14469 "type_ref": "COMPUTE_USER_ACCUM_0"
14470 },
14471 {
14472 "chips": ["gfx10"],
14473 "map": {"at": 46292, "to": "mm"},
14474 "name": "SPI_SHADER_USER_ACCUM_LSHS_3",
14475 "type_ref": "COMPUTE_USER_ACCUM_0"
14476 },
14477 {
14478 "chips": ["gfx10"],
14479 "map": {"at": 45256, "to": "mm"},
14480 "name": "SPI_SHADER_USER_ACCUM_PS_0",
14481 "type_ref": "COMPUTE_USER_ACCUM_0"
14482 },
14483 {
14484 "chips": ["gfx10"],
14485 "map": {"at": 45260, "to": "mm"},
14486 "name": "SPI_SHADER_USER_ACCUM_PS_1",
14487 "type_ref": "COMPUTE_USER_ACCUM_0"
14488 },
14489 {
14490 "chips": ["gfx10"],
14491 "map": {"at": 45264, "to": "mm"},
14492 "name": "SPI_SHADER_USER_ACCUM_PS_2",
14493 "type_ref": "COMPUTE_USER_ACCUM_0"
14494 },
14495 {
14496 "chips": ["gfx10"],
14497 "map": {"at": 45268, "to": "mm"},
14498 "name": "SPI_SHADER_USER_ACCUM_PS_3",
14499 "type_ref": "COMPUTE_USER_ACCUM_0"
14500 },
14501 {
14502 "chips": ["gfx10"],
14503 "map": {"at": 45512, "to": "mm"},
14504 "name": "SPI_SHADER_USER_ACCUM_VS_0",
14505 "type_ref": "COMPUTE_USER_ACCUM_0"
14506 },
14507 {
14508 "chips": ["gfx10"],
14509 "map": {"at": 45516, "to": "mm"},
14510 "name": "SPI_SHADER_USER_ACCUM_VS_1",
14511 "type_ref": "COMPUTE_USER_ACCUM_0"
14512 },
14513 {
14514 "chips": ["gfx10"],
14515 "map": {"at": 45520, "to": "mm"},
14516 "name": "SPI_SHADER_USER_ACCUM_VS_2",
14517 "type_ref": "COMPUTE_USER_ACCUM_0"
14518 },
14519 {
14520 "chips": ["gfx10"],
14521 "map": {"at": 45524, "to": "mm"},
14522 "name": "SPI_SHADER_USER_ACCUM_VS_3",
14523 "type_ref": "COMPUTE_USER_ACCUM_0"
14524 },
14525 {
14526 "chips": ["gfx10"],
14527 "map": {"at": 45764, "to": "mm"},
14528 "name": "SPI_SHADER_PREF_PRI_CNTR_CTRL_ESGS",
14529 "type_ref": "COMPUTE_PREF_PRI_CNTR_CTRL"
14530 },
14531 {
14532 "chips": ["gfx10"],
14533 "map": {"at": 46276, "to": "mm"},
14534 "name": "SPI_SHADER_PREF_PRI_CNTR_CTRL_LSHS",
14535 "type_ref": "COMPUTE_PREF_PRI_CNTR_CTRL"
14536 },
14537 {
14538 "chips": ["gfx10"],
14539 "map": {"at": 45252, "to": "mm"},
14540 "name": "SPI_SHADER_PREF_PRI_CNTR_CTRL_PS",
14541 "type_ref": "COMPUTE_PREF_PRI_CNTR_CTRL"
14542 },
14543 {
14544 "chips": ["gfx10"],
14545 "map": {"at": 45508, "to": "mm"},
14546 "name": "SPI_SHADER_PREF_PRI_CNTR_CTRL_VS",
14547 "type_ref": "COMPUTE_PREF_PRI_CNTR_CTRL"
14548 },
14549 {
14550 "chips": ["gfx10"],
14551 "map": {"at": 45760, "to": "mm"},
14552 "name": "SPI_SHADER_REQ_CTRL_ESGS",
14553 "type_ref": "SPI_SHADER_REQ_CTRL_ESGS"
14554 },
14555 {
14556 "chips": ["gfx10"],
14557 "map": {"at": 46272, "to": "mm"},
14558 "name": "SPI_SHADER_REQ_CTRL_LSHS",
14559 "type_ref": "SPI_SHADER_REQ_CTRL_ESGS"
14560 },
14561 {
14562 "chips": ["gfx10"],
14563 "map": {"at": 45248, "to": "mm"},
14564 "name": "SPI_SHADER_REQ_CTRL_PS",
14565 "type_ref": "SPI_SHADER_REQ_CTRL_ESGS"
14566 },
14567 {
14568 "chips": ["gfx10"],
14569 "map": {"at": 45504, "to": "mm"},
14570 "name": "SPI_SHADER_REQ_CTRL_VS",
14571 "type_ref": "SPI_SHADER_REQ_CTRL_ESGS"
14572 },
14573 {
14574 "chips": ["gfx10"],
14575 "map": {"at": 45580, "to": "mm"},
14576 "name": "SPI_SHADER_USER_DATA_ADDR_HI_GS",
14577 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO"
14578 },
14579 {
14580 "chips": ["gfx10"],
14581 "map": {"at": 46092, "to": "mm"},
14582 "name": "SPI_SHADER_USER_DATA_ADDR_HI_HS",
14583 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO"
14584 },
14585 {
14586 "chips": ["gfx10"],
14587 "map": {"at": 45576, "to": "mm"},
14588 "name": "SPI_SHADER_USER_DATA_ADDR_LO_GS",
14589 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO"
14590 },
14591 {
14592 "chips": ["gfx10"],
14593 "map": {"at": 46088, "to": "mm"},
14594 "name": "SPI_SHADER_USER_DATA_ADDR_LO_HS",
14595 "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO"
14596 },
14597 {
14598 "chips": ["gfx10"],
14599 "map": {"at": 45872, "to": "mm"},
14600 "name": "SPI_SHADER_USER_DATA_ES_0",
14601 "type_ref": "COMPUTE_PGM_LO"
14602 },
14603 {
14604 "chips": ["gfx10"],
14605 "map": {"at": 45876, "to": "mm"},
14606 "name": "SPI_SHADER_USER_DATA_ES_1",
14607 "type_ref": "COMPUTE_PGM_LO"
14608 },
14609 {
14610 "chips": ["gfx10"],
14611 "map": {"at": 45912, "to": "mm"},
14612 "name": "SPI_SHADER_USER_DATA_ES_10",
14613 "type_ref": "COMPUTE_PGM_LO"
14614 },
14615 {
14616 "chips": ["gfx10"],
14617 "map": {"at": 45916, "to": "mm"},
14618 "name": "SPI_SHADER_USER_DATA_ES_11",
14619 "type_ref": "COMPUTE_PGM_LO"
14620 },
14621 {
14622 "chips": ["gfx10"],
14623 "map": {"at": 45920, "to": "mm"},
14624 "name": "SPI_SHADER_USER_DATA_ES_12",
14625 "type_ref": "COMPUTE_PGM_LO"
14626 },
14627 {
14628 "chips": ["gfx10"],
14629 "map": {"at": 45924, "to": "mm"},
14630 "name": "SPI_SHADER_USER_DATA_ES_13",
14631 "type_ref": "COMPUTE_PGM_LO"
14632 },
14633 {
14634 "chips": ["gfx10"],
14635 "map": {"at": 45928, "to": "mm"},
14636 "name": "SPI_SHADER_USER_DATA_ES_14",
14637 "type_ref": "COMPUTE_PGM_LO"
14638 },
14639 {
14640 "chips": ["gfx10"],
14641 "map": {"at": 45932, "to": "mm"},
14642 "name": "SPI_SHADER_USER_DATA_ES_15",
14643 "type_ref": "COMPUTE_PGM_LO"
14644 },
14645 {
14646 "chips": ["gfx10"],
14647 "map": {"at": 45880, "to": "mm"},
14648 "name": "SPI_SHADER_USER_DATA_ES_2",
14649 "type_ref": "COMPUTE_PGM_LO"
14650 },
14651 {
14652 "chips": ["gfx10"],
14653 "map": {"at": 45884, "to": "mm"},
14654 "name": "SPI_SHADER_USER_DATA_ES_3",
14655 "type_ref": "COMPUTE_PGM_LO"
14656 },
14657 {
14658 "chips": ["gfx10"],
14659 "map": {"at": 45888, "to": "mm"},
14660 "name": "SPI_SHADER_USER_DATA_ES_4",
14661 "type_ref": "COMPUTE_PGM_LO"
14662 },
14663 {
14664 "chips": ["gfx10"],
14665 "map": {"at": 45892, "to": "mm"},
14666 "name": "SPI_SHADER_USER_DATA_ES_5",
14667 "type_ref": "COMPUTE_PGM_LO"
14668 },
14669 {
14670 "chips": ["gfx10"],
14671 "map": {"at": 45896, "to": "mm"},
14672 "name": "SPI_SHADER_USER_DATA_ES_6",
14673 "type_ref": "COMPUTE_PGM_LO"
14674 },
14675 {
14676 "chips": ["gfx10"],
14677 "map": {"at": 45900, "to": "mm"},
14678 "name": "SPI_SHADER_USER_DATA_ES_7",
14679 "type_ref": "COMPUTE_PGM_LO"
14680 },
14681 {
14682 "chips": ["gfx10"],
14683 "map": {"at": 45904, "to": "mm"},
14684 "name": "SPI_SHADER_USER_DATA_ES_8",
14685 "type_ref": "COMPUTE_PGM_LO"
14686 },
14687 {
14688 "chips": ["gfx10"],
14689 "map": {"at": 45908, "to": "mm"},
14690 "name": "SPI_SHADER_USER_DATA_ES_9",
14691 "type_ref": "COMPUTE_PGM_LO"
14692 },
14693 {
14694 "chips": ["gfx10"],
14695 "map": {"at": 45616, "to": "mm"},
14696 "name": "SPI_SHADER_USER_DATA_GS_0",
14697 "type_ref": "COMPUTE_PGM_LO"
14698 },
14699 {
14700 "chips": ["gfx10"],
14701 "map": {"at": 45620, "to": "mm"},
14702 "name": "SPI_SHADER_USER_DATA_GS_1",
14703 "type_ref": "COMPUTE_PGM_LO"
14704 },
14705 {
14706 "chips": ["gfx10"],
14707 "map": {"at": 45656, "to": "mm"},
14708 "name": "SPI_SHADER_USER_DATA_GS_10",
14709 "type_ref": "COMPUTE_PGM_LO"
14710 },
14711 {
14712 "chips": ["gfx10"],
14713 "map": {"at": 45660, "to": "mm"},
14714 "name": "SPI_SHADER_USER_DATA_GS_11",
14715 "type_ref": "COMPUTE_PGM_LO"
14716 },
14717 {
14718 "chips": ["gfx10"],
14719 "map": {"at": 45664, "to": "mm"},
14720 "name": "SPI_SHADER_USER_DATA_GS_12",
14721 "type_ref": "COMPUTE_PGM_LO"
14722 },
14723 {
14724 "chips": ["gfx10"],
14725 "map": {"at": 45668, "to": "mm"},
14726 "name": "SPI_SHADER_USER_DATA_GS_13",
14727 "type_ref": "COMPUTE_PGM_LO"
14728 },
14729 {
14730 "chips": ["gfx10"],
14731 "map": {"at": 45672, "to": "mm"},
14732 "name": "SPI_SHADER_USER_DATA_GS_14",
14733 "type_ref": "COMPUTE_PGM_LO"
14734 },
14735 {
14736 "chips": ["gfx10"],
14737 "map": {"at": 45676, "to": "mm"},
14738 "name": "SPI_SHADER_USER_DATA_GS_15",
14739 "type_ref": "COMPUTE_PGM_LO"
14740 },
14741 {
14742 "chips": ["gfx10"],
14743 "map": {"at": 45680, "to": "mm"},
14744 "name": "SPI_SHADER_USER_DATA_GS_16",
14745 "type_ref": "COMPUTE_PGM_LO"
14746 },
14747 {
14748 "chips": ["gfx10"],
14749 "map": {"at": 45684, "to": "mm"},
14750 "name": "SPI_SHADER_USER_DATA_GS_17",
14751 "type_ref": "COMPUTE_PGM_LO"
14752 },
14753 {
14754 "chips": ["gfx10"],
14755 "map": {"at": 45688, "to": "mm"},
14756 "name": "SPI_SHADER_USER_DATA_GS_18",
14757 "type_ref": "COMPUTE_PGM_LO"
14758 },
14759 {
14760 "chips": ["gfx10"],
14761 "map": {"at": 45692, "to": "mm"},
14762 "name": "SPI_SHADER_USER_DATA_GS_19",
14763 "type_ref": "COMPUTE_PGM_LO"
14764 },
14765 {
14766 "chips": ["gfx10"],
14767 "map": {"at": 45624, "to": "mm"},
14768 "name": "SPI_SHADER_USER_DATA_GS_2",
14769 "type_ref": "COMPUTE_PGM_LO"
14770 },
14771 {
14772 "chips": ["gfx10"],
14773 "map": {"at": 45696, "to": "mm"},
14774 "name": "SPI_SHADER_USER_DATA_GS_20",
14775 "type_ref": "COMPUTE_PGM_LO"
14776 },
14777 {
14778 "chips": ["gfx10"],
14779 "map": {"at": 45700, "to": "mm"},
14780 "name": "SPI_SHADER_USER_DATA_GS_21",
14781 "type_ref": "COMPUTE_PGM_LO"
14782 },
14783 {
14784 "chips": ["gfx10"],
14785 "map": {"at": 45704, "to": "mm"},
14786 "name": "SPI_SHADER_USER_DATA_GS_22",
14787 "type_ref": "COMPUTE_PGM_LO"
14788 },
14789 {
14790 "chips": ["gfx10"],
14791 "map": {"at": 45708, "to": "mm"},
14792 "name": "SPI_SHADER_USER_DATA_GS_23",
14793 "type_ref": "COMPUTE_PGM_LO"
14794 },
14795 {
14796 "chips": ["gfx10"],
14797 "map": {"at": 45712, "to": "mm"},
14798 "name": "SPI_SHADER_USER_DATA_GS_24",
14799 "type_ref": "COMPUTE_PGM_LO"
14800 },
14801 {
14802 "chips": ["gfx10"],
14803 "map": {"at": 45716, "to": "mm"},
14804 "name": "SPI_SHADER_USER_DATA_GS_25",
14805 "type_ref": "COMPUTE_PGM_LO"
14806 },
14807 {
14808 "chips": ["gfx10"],
14809 "map": {"at": 45720, "to": "mm"},
14810 "name": "SPI_SHADER_USER_DATA_GS_26",
14811 "type_ref": "COMPUTE_PGM_LO"
14812 },
14813 {
14814 "chips": ["gfx10"],
14815 "map": {"at": 45724, "to": "mm"},
14816 "name": "SPI_SHADER_USER_DATA_GS_27",
14817 "type_ref": "COMPUTE_PGM_LO"
14818 },
14819 {
14820 "chips": ["gfx10"],
14821 "map": {"at": 45728, "to": "mm"},
14822 "name": "SPI_SHADER_USER_DATA_GS_28",
14823 "type_ref": "COMPUTE_PGM_LO"
14824 },
14825 {
14826 "chips": ["gfx10"],
14827 "map": {"at": 45732, "to": "mm"},
14828 "name": "SPI_SHADER_USER_DATA_GS_29",
14829 "type_ref": "COMPUTE_PGM_LO"
14830 },
14831 {
14832 "chips": ["gfx10"],
14833 "map": {"at": 45628, "to": "mm"},
14834 "name": "SPI_SHADER_USER_DATA_GS_3",
14835 "type_ref": "COMPUTE_PGM_LO"
14836 },
14837 {
14838 "chips": ["gfx10"],
14839 "map": {"at": 45736, "to": "mm"},
14840 "name": "SPI_SHADER_USER_DATA_GS_30",
14841 "type_ref": "COMPUTE_PGM_LO"
14842 },
14843 {
14844 "chips": ["gfx10"],
14845 "map": {"at": 45740, "to": "mm"},
14846 "name": "SPI_SHADER_USER_DATA_GS_31",
14847 "type_ref": "COMPUTE_PGM_LO"
14848 },
14849 {
14850 "chips": ["gfx10"],
14851 "map": {"at": 45632, "to": "mm"},
14852 "name": "SPI_SHADER_USER_DATA_GS_4",
14853 "type_ref": "COMPUTE_PGM_LO"
14854 },
14855 {
14856 "chips": ["gfx10"],
14857 "map": {"at": 45636, "to": "mm"},
14858 "name": "SPI_SHADER_USER_DATA_GS_5",
14859 "type_ref": "COMPUTE_PGM_LO"
14860 },
14861 {
14862 "chips": ["gfx10"],
14863 "map": {"at": 45640, "to": "mm"},
14864 "name": "SPI_SHADER_USER_DATA_GS_6",
14865 "type_ref": "COMPUTE_PGM_LO"
14866 },
14867 {
14868 "chips": ["gfx10"],
14869 "map": {"at": 45644, "to": "mm"},
14870 "name": "SPI_SHADER_USER_DATA_GS_7",
14871 "type_ref": "COMPUTE_PGM_LO"
14872 },
14873 {
14874 "chips": ["gfx10"],
14875 "map": {"at": 45648, "to": "mm"},
14876 "name": "SPI_SHADER_USER_DATA_GS_8",
14877 "type_ref": "COMPUTE_PGM_LO"
14878 },
14879 {
14880 "chips": ["gfx10"],
14881 "map": {"at": 45652, "to": "mm"},
14882 "name": "SPI_SHADER_USER_DATA_GS_9",
14883 "type_ref": "COMPUTE_PGM_LO"
14884 },
14885 {
14886 "chips": ["gfx10"],
14887 "map": {"at": 46128, "to": "mm"},
14888 "name": "SPI_SHADER_USER_DATA_HS_0",
14889 "type_ref": "COMPUTE_PGM_LO"
14890 },
14891 {
14892 "chips": ["gfx10"],
14893 "map": {"at": 46132, "to": "mm"},
14894 "name": "SPI_SHADER_USER_DATA_HS_1",
14895 "type_ref": "COMPUTE_PGM_LO"
14896 },
14897 {
14898 "chips": ["gfx10"],
14899 "map": {"at": 46168, "to": "mm"},
14900 "name": "SPI_SHADER_USER_DATA_HS_10",
14901 "type_ref": "COMPUTE_PGM_LO"
14902 },
14903 {
14904 "chips": ["gfx10"],
14905 "map": {"at": 46172, "to": "mm"},
14906 "name": "SPI_SHADER_USER_DATA_HS_11",
14907 "type_ref": "COMPUTE_PGM_LO"
14908 },
14909 {
14910 "chips": ["gfx10"],
14911 "map": {"at": 46176, "to": "mm"},
14912 "name": "SPI_SHADER_USER_DATA_HS_12",
14913 "type_ref": "COMPUTE_PGM_LO"
14914 },
14915 {
14916 "chips": ["gfx10"],
14917 "map": {"at": 46180, "to": "mm"},
14918 "name": "SPI_SHADER_USER_DATA_HS_13",
14919 "type_ref": "COMPUTE_PGM_LO"
14920 },
14921 {
14922 "chips": ["gfx10"],
14923 "map": {"at": 46184, "to": "mm"},
14924 "name": "SPI_SHADER_USER_DATA_HS_14",
14925 "type_ref": "COMPUTE_PGM_LO"
14926 },
14927 {
14928 "chips": ["gfx10"],
14929 "map": {"at": 46188, "to": "mm"},
14930 "name": "SPI_SHADER_USER_DATA_HS_15",
14931 "type_ref": "COMPUTE_PGM_LO"
14932 },
14933 {
14934 "chips": ["gfx10"],
14935 "map": {"at": 46192, "to": "mm"},
14936 "name": "SPI_SHADER_USER_DATA_HS_16",
14937 "type_ref": "COMPUTE_PGM_LO"
14938 },
14939 {
14940 "chips": ["gfx10"],
14941 "map": {"at": 46196, "to": "mm"},
14942 "name": "SPI_SHADER_USER_DATA_HS_17",
14943 "type_ref": "COMPUTE_PGM_LO"
14944 },
14945 {
14946 "chips": ["gfx10"],
14947 "map": {"at": 46200, "to": "mm"},
14948 "name": "SPI_SHADER_USER_DATA_HS_18",
14949 "type_ref": "COMPUTE_PGM_LO"
14950 },
14951 {
14952 "chips": ["gfx10"],
14953 "map": {"at": 46204, "to": "mm"},
14954 "name": "SPI_SHADER_USER_DATA_HS_19",
14955 "type_ref": "COMPUTE_PGM_LO"
14956 },
14957 {
14958 "chips": ["gfx10"],
14959 "map": {"at": 46136, "to": "mm"},
14960 "name": "SPI_SHADER_USER_DATA_HS_2",
14961 "type_ref": "COMPUTE_PGM_LO"
14962 },
14963 {
14964 "chips": ["gfx10"],
14965 "map": {"at": 46208, "to": "mm"},
14966 "name": "SPI_SHADER_USER_DATA_HS_20",
14967 "type_ref": "COMPUTE_PGM_LO"
14968 },
14969 {
14970 "chips": ["gfx10"],
14971 "map": {"at": 46212, "to": "mm"},
14972 "name": "SPI_SHADER_USER_DATA_HS_21",
14973 "type_ref": "COMPUTE_PGM_LO"
14974 },
14975 {
14976 "chips": ["gfx10"],
14977 "map": {"at": 46216, "to": "mm"},
14978 "name": "SPI_SHADER_USER_DATA_HS_22",
14979 "type_ref": "COMPUTE_PGM_LO"
14980 },
14981 {
14982 "chips": ["gfx10"],
14983 "map": {"at": 46220, "to": "mm"},
14984 "name": "SPI_SHADER_USER_DATA_HS_23",
14985 "type_ref": "COMPUTE_PGM_LO"
14986 },
14987 {
14988 "chips": ["gfx10"],
14989 "map": {"at": 46224, "to": "mm"},
14990 "name": "SPI_SHADER_USER_DATA_HS_24",
14991 "type_ref": "COMPUTE_PGM_LO"
14992 },
14993 {
14994 "chips": ["gfx10"],
14995 "map": {"at": 46228, "to": "mm"},
14996 "name": "SPI_SHADER_USER_DATA_HS_25",
14997 "type_ref": "COMPUTE_PGM_LO"
14998 },
14999 {
15000 "chips": ["gfx10"],
15001 "map": {"at": 46232, "to": "mm"},
15002 "name": "SPI_SHADER_USER_DATA_HS_26",
15003 "type_ref": "COMPUTE_PGM_LO"
15004 },
15005 {
15006 "chips": ["gfx10"],
15007 "map": {"at": 46236, "to": "mm"},
15008 "name": "SPI_SHADER_USER_DATA_HS_27",
15009 "type_ref": "COMPUTE_PGM_LO"
15010 },
15011 {
15012 "chips": ["gfx10"],
15013 "map": {"at": 46240, "to": "mm"},
15014 "name": "SPI_SHADER_USER_DATA_HS_28",
15015 "type_ref": "COMPUTE_PGM_LO"
15016 },
15017 {
15018 "chips": ["gfx10"],
15019 "map": {"at": 46244, "to": "mm"},
15020 "name": "SPI_SHADER_USER_DATA_HS_29",
15021 "type_ref": "COMPUTE_PGM_LO"
15022 },
15023 {
15024 "chips": ["gfx10"],
15025 "map": {"at": 46140, "to": "mm"},
15026 "name": "SPI_SHADER_USER_DATA_HS_3",
15027 "type_ref": "COMPUTE_PGM_LO"
15028 },
15029 {
15030 "chips": ["gfx10"],
15031 "map": {"at": 46248, "to": "mm"},
15032 "name": "SPI_SHADER_USER_DATA_HS_30",
15033 "type_ref": "COMPUTE_PGM_LO"
15034 },
15035 {
15036 "chips": ["gfx10"],
15037 "map": {"at": 46252, "to": "mm"},
15038 "name": "SPI_SHADER_USER_DATA_HS_31",
15039 "type_ref": "COMPUTE_PGM_LO"
15040 },
15041 {
15042 "chips": ["gfx10"],
15043 "map": {"at": 46144, "to": "mm"},
15044 "name": "SPI_SHADER_USER_DATA_HS_4",
15045 "type_ref": "COMPUTE_PGM_LO"
15046 },
15047 {
15048 "chips": ["gfx10"],
15049 "map": {"at": 46148, "to": "mm"},
15050 "name": "SPI_SHADER_USER_DATA_HS_5",
15051 "type_ref": "COMPUTE_PGM_LO"
15052 },
15053 {
15054 "chips": ["gfx10"],
15055 "map": {"at": 46152, "to": "mm"},
15056 "name": "SPI_SHADER_USER_DATA_HS_6",
15057 "type_ref": "COMPUTE_PGM_LO"
15058 },
15059 {
15060 "chips": ["gfx10"],
15061 "map": {"at": 46156, "to": "mm"},
15062 "name": "SPI_SHADER_USER_DATA_HS_7",
15063 "type_ref": "COMPUTE_PGM_LO"
15064 },
15065 {
15066 "chips": ["gfx10"],
15067 "map": {"at": 46160, "to": "mm"},
15068 "name": "SPI_SHADER_USER_DATA_HS_8",
15069 "type_ref": "COMPUTE_PGM_LO"
15070 },
15071 {
15072 "chips": ["gfx10"],
15073 "map": {"at": 46164, "to": "mm"},
15074 "name": "SPI_SHADER_USER_DATA_HS_9",
15075 "type_ref": "COMPUTE_PGM_LO"
15076 },
15077 {
15078 "chips": ["gfx10"],
15079 "map": {"at": 46384, "to": "mm"},
15080 "name": "SPI_SHADER_USER_DATA_LS_0",
15081 "type_ref": "COMPUTE_PGM_LO"
15082 },
15083 {
15084 "chips": ["gfx10"],
15085 "map": {"at": 46388, "to": "mm"},
15086 "name": "SPI_SHADER_USER_DATA_LS_1",
15087 "type_ref": "COMPUTE_PGM_LO"
15088 },
15089 {
15090 "chips": ["gfx10"],
15091 "map": {"at": 46424, "to": "mm"},
15092 "name": "SPI_SHADER_USER_DATA_LS_10",
15093 "type_ref": "COMPUTE_PGM_LO"
15094 },
15095 {
15096 "chips": ["gfx10"],
15097 "map": {"at": 46428, "to": "mm"},
15098 "name": "SPI_SHADER_USER_DATA_LS_11",
15099 "type_ref": "COMPUTE_PGM_LO"
15100 },
15101 {
15102 "chips": ["gfx10"],
15103 "map": {"at": 46432, "to": "mm"},
15104 "name": "SPI_SHADER_USER_DATA_LS_12",
15105 "type_ref": "COMPUTE_PGM_LO"
15106 },
15107 {
15108 "chips": ["gfx10"],
15109 "map": {"at": 46436, "to": "mm"},
15110 "name": "SPI_SHADER_USER_DATA_LS_13",
15111 "type_ref": "COMPUTE_PGM_LO"
15112 },
15113 {
15114 "chips": ["gfx10"],
15115 "map": {"at": 46440, "to": "mm"},
15116 "name": "SPI_SHADER_USER_DATA_LS_14",
15117 "type_ref": "COMPUTE_PGM_LO"
15118 },
15119 {
15120 "chips": ["gfx10"],
15121 "map": {"at": 46444, "to": "mm"},
15122 "name": "SPI_SHADER_USER_DATA_LS_15",
15123 "type_ref": "COMPUTE_PGM_LO"
15124 },
15125 {
15126 "chips": ["gfx10"],
15127 "map": {"at": 46392, "to": "mm"},
15128 "name": "SPI_SHADER_USER_DATA_LS_2",
15129 "type_ref": "COMPUTE_PGM_LO"
15130 },
15131 {
15132 "chips": ["gfx10"],
15133 "map": {"at": 46396, "to": "mm"},
15134 "name": "SPI_SHADER_USER_DATA_LS_3",
15135 "type_ref": "COMPUTE_PGM_LO"
15136 },
15137 {
15138 "chips": ["gfx10"],
15139 "map": {"at": 46400, "to": "mm"},
15140 "name": "SPI_SHADER_USER_DATA_LS_4",
15141 "type_ref": "COMPUTE_PGM_LO"
15142 },
15143 {
15144 "chips": ["gfx10"],
15145 "map": {"at": 46404, "to": "mm"},
15146 "name": "SPI_SHADER_USER_DATA_LS_5",
15147 "type_ref": "COMPUTE_PGM_LO"
15148 },
15149 {
15150 "chips": ["gfx10"],
15151 "map": {"at": 46408, "to": "mm"},
15152 "name": "SPI_SHADER_USER_DATA_LS_6",
15153 "type_ref": "COMPUTE_PGM_LO"
15154 },
15155 {
15156 "chips": ["gfx10"],
15157 "map": {"at": 46412, "to": "mm"},
15158 "name": "SPI_SHADER_USER_DATA_LS_7",
15159 "type_ref": "COMPUTE_PGM_LO"
15160 },
15161 {
15162 "chips": ["gfx10"],
15163 "map": {"at": 46416, "to": "mm"},
15164 "name": "SPI_SHADER_USER_DATA_LS_8",
15165 "type_ref": "COMPUTE_PGM_LO"
15166 },
15167 {
15168 "chips": ["gfx10"],
15169 "map": {"at": 46420, "to": "mm"},
15170 "name": "SPI_SHADER_USER_DATA_LS_9",
15171 "type_ref": "COMPUTE_PGM_LO"
15172 },
15173 {
15174 "chips": ["gfx10"],
15175 "map": {"at": 45104, "to": "mm"},
15176 "name": "SPI_SHADER_USER_DATA_PS_0",
15177 "type_ref": "COMPUTE_PGM_LO"
15178 },
15179 {
15180 "chips": ["gfx10"],
15181 "map": {"at": 45108, "to": "mm"},
15182 "name": "SPI_SHADER_USER_DATA_PS_1",
15183 "type_ref": "COMPUTE_PGM_LO"
15184 },
15185 {
15186 "chips": ["gfx10"],
15187 "map": {"at": 45144, "to": "mm"},
15188 "name": "SPI_SHADER_USER_DATA_PS_10",
15189 "type_ref": "COMPUTE_PGM_LO"
15190 },
15191 {
15192 "chips": ["gfx10"],
15193 "map": {"at": 45148, "to": "mm"},
15194 "name": "SPI_SHADER_USER_DATA_PS_11",
15195 "type_ref": "COMPUTE_PGM_LO"
15196 },
15197 {
15198 "chips": ["gfx10"],
15199 "map": {"at": 45152, "to": "mm"},
15200 "name": "SPI_SHADER_USER_DATA_PS_12",
15201 "type_ref": "COMPUTE_PGM_LO"
15202 },
15203 {
15204 "chips": ["gfx10"],
15205 "map": {"at": 45156, "to": "mm"},
15206 "name": "SPI_SHADER_USER_DATA_PS_13",
15207 "type_ref": "COMPUTE_PGM_LO"
15208 },
15209 {
15210 "chips": ["gfx10"],
15211 "map": {"at": 45160, "to": "mm"},
15212 "name": "SPI_SHADER_USER_DATA_PS_14",
15213 "type_ref": "COMPUTE_PGM_LO"
15214 },
15215 {
15216 "chips": ["gfx10"],
15217 "map": {"at": 45164, "to": "mm"},
15218 "name": "SPI_SHADER_USER_DATA_PS_15",
15219 "type_ref": "COMPUTE_PGM_LO"
15220 },
15221 {
15222 "chips": ["gfx10"],
15223 "map": {"at": 45168, "to": "mm"},
15224 "name": "SPI_SHADER_USER_DATA_PS_16",
15225 "type_ref": "COMPUTE_PGM_LO"
15226 },
15227 {
15228 "chips": ["gfx10"],
15229 "map": {"at": 45172, "to": "mm"},
15230 "name": "SPI_SHADER_USER_DATA_PS_17",
15231 "type_ref": "COMPUTE_PGM_LO"
15232 },
15233 {
15234 "chips": ["gfx10"],
15235 "map": {"at": 45176, "to": "mm"},
15236 "name": "SPI_SHADER_USER_DATA_PS_18",
15237 "type_ref": "COMPUTE_PGM_LO"
15238 },
15239 {
15240 "chips": ["gfx10"],
15241 "map": {"at": 45180, "to": "mm"},
15242 "name": "SPI_SHADER_USER_DATA_PS_19",
15243 "type_ref": "COMPUTE_PGM_LO"
15244 },
15245 {
15246 "chips": ["gfx10"],
15247 "map": {"at": 45112, "to": "mm"},
15248 "name": "SPI_SHADER_USER_DATA_PS_2",
15249 "type_ref": "COMPUTE_PGM_LO"
15250 },
15251 {
15252 "chips": ["gfx10"],
15253 "map": {"at": 45184, "to": "mm"},
15254 "name": "SPI_SHADER_USER_DATA_PS_20",
15255 "type_ref": "COMPUTE_PGM_LO"
15256 },
15257 {
15258 "chips": ["gfx10"],
15259 "map": {"at": 45188, "to": "mm"},
15260 "name": "SPI_SHADER_USER_DATA_PS_21",
15261 "type_ref": "COMPUTE_PGM_LO"
15262 },
15263 {
15264 "chips": ["gfx10"],
15265 "map": {"at": 45192, "to": "mm"},
15266 "name": "SPI_SHADER_USER_DATA_PS_22",
15267 "type_ref": "COMPUTE_PGM_LO"
15268 },
15269 {
15270 "chips": ["gfx10"],
15271 "map": {"at": 45196, "to": "mm"},
15272 "name": "SPI_SHADER_USER_DATA_PS_23",
15273 "type_ref": "COMPUTE_PGM_LO"
15274 },
15275 {
15276 "chips": ["gfx10"],
15277 "map": {"at": 45200, "to": "mm"},
15278 "name": "SPI_SHADER_USER_DATA_PS_24",
15279 "type_ref": "COMPUTE_PGM_LO"
15280 },
15281 {
15282 "chips": ["gfx10"],
15283 "map": {"at": 45204, "to": "mm"},
15284 "name": "SPI_SHADER_USER_DATA_PS_25",
15285 "type_ref": "COMPUTE_PGM_LO"
15286 },
15287 {
15288 "chips": ["gfx10"],
15289 "map": {"at": 45208, "to": "mm"},
15290 "name": "SPI_SHADER_USER_DATA_PS_26",
15291 "type_ref": "COMPUTE_PGM_LO"
15292 },
15293 {
15294 "chips": ["gfx10"],
15295 "map": {"at": 45212, "to": "mm"},
15296 "name": "SPI_SHADER_USER_DATA_PS_27",
15297 "type_ref": "COMPUTE_PGM_LO"
15298 },
15299 {
15300 "chips": ["gfx10"],
15301 "map": {"at": 45216, "to": "mm"},
15302 "name": "SPI_SHADER_USER_DATA_PS_28",
15303 "type_ref": "COMPUTE_PGM_LO"
15304 },
15305 {
15306 "chips": ["gfx10"],
15307 "map": {"at": 45220, "to": "mm"},
15308 "name": "SPI_SHADER_USER_DATA_PS_29",
15309 "type_ref": "COMPUTE_PGM_LO"
15310 },
15311 {
15312 "chips": ["gfx10"],
15313 "map": {"at": 45116, "to": "mm"},
15314 "name": "SPI_SHADER_USER_DATA_PS_3",
15315 "type_ref": "COMPUTE_PGM_LO"
15316 },
15317 {
15318 "chips": ["gfx10"],
15319 "map": {"at": 45224, "to": "mm"},
15320 "name": "SPI_SHADER_USER_DATA_PS_30",
15321 "type_ref": "COMPUTE_PGM_LO"
15322 },
15323 {
15324 "chips": ["gfx10"],
15325 "map": {"at": 45228, "to": "mm"},
15326 "name": "SPI_SHADER_USER_DATA_PS_31",
15327 "type_ref": "COMPUTE_PGM_LO"
15328 },
15329 {
15330 "chips": ["gfx10"],
15331 "map": {"at": 45120, "to": "mm"},
15332 "name": "SPI_SHADER_USER_DATA_PS_4",
15333 "type_ref": "COMPUTE_PGM_LO"
15334 },
15335 {
15336 "chips": ["gfx10"],
15337 "map": {"at": 45124, "to": "mm"},
15338 "name": "SPI_SHADER_USER_DATA_PS_5",
15339 "type_ref": "COMPUTE_PGM_LO"
15340 },
15341 {
15342 "chips": ["gfx10"],
15343 "map": {"at": 45128, "to": "mm"},
15344 "name": "SPI_SHADER_USER_DATA_PS_6",
15345 "type_ref": "COMPUTE_PGM_LO"
15346 },
15347 {
15348 "chips": ["gfx10"],
15349 "map": {"at": 45132, "to": "mm"},
15350 "name": "SPI_SHADER_USER_DATA_PS_7",
15351 "type_ref": "COMPUTE_PGM_LO"
15352 },
15353 {
15354 "chips": ["gfx10"],
15355 "map": {"at": 45136, "to": "mm"},
15356 "name": "SPI_SHADER_USER_DATA_PS_8",
15357 "type_ref": "COMPUTE_PGM_LO"
15358 },
15359 {
15360 "chips": ["gfx10"],
15361 "map": {"at": 45140, "to": "mm"},
15362 "name": "SPI_SHADER_USER_DATA_PS_9",
15363 "type_ref": "COMPUTE_PGM_LO"
15364 },
15365 {
15366 "chips": ["gfx10"],
15367 "map": {"at": 45360, "to": "mm"},
15368 "name": "SPI_SHADER_USER_DATA_VS_0",
15369 "type_ref": "COMPUTE_PGM_LO"
15370 },
15371 {
15372 "chips": ["gfx10"],
15373 "map": {"at": 45364, "to": "mm"},
15374 "name": "SPI_SHADER_USER_DATA_VS_1",
15375 "type_ref": "COMPUTE_PGM_LO"
15376 },
15377 {
15378 "chips": ["gfx10"],
15379 "map": {"at": 45400, "to": "mm"},
15380 "name": "SPI_SHADER_USER_DATA_VS_10",
15381 "type_ref": "COMPUTE_PGM_LO"
15382 },
15383 {
15384 "chips": ["gfx10"],
15385 "map": {"at": 45404, "to": "mm"},
15386 "name": "SPI_SHADER_USER_DATA_VS_11",
15387 "type_ref": "COMPUTE_PGM_LO"
15388 },
15389 {
15390 "chips": ["gfx10"],
15391 "map": {"at": 45408, "to": "mm"},
15392 "name": "SPI_SHADER_USER_DATA_VS_12",
15393 "type_ref": "COMPUTE_PGM_LO"
15394 },
15395 {
15396 "chips": ["gfx10"],
15397 "map": {"at": 45412, "to": "mm"},
15398 "name": "SPI_SHADER_USER_DATA_VS_13",
15399 "type_ref": "COMPUTE_PGM_LO"
15400 },
15401 {
15402 "chips": ["gfx10"],
15403 "map": {"at": 45416, "to": "mm"},
15404 "name": "SPI_SHADER_USER_DATA_VS_14",
15405 "type_ref": "COMPUTE_PGM_LO"
15406 },
15407 {
15408 "chips": ["gfx10"],
15409 "map": {"at": 45420, "to": "mm"},
15410 "name": "SPI_SHADER_USER_DATA_VS_15",
15411 "type_ref": "COMPUTE_PGM_LO"
15412 },
15413 {
15414 "chips": ["gfx10"],
15415 "map": {"at": 45424, "to": "mm"},
15416 "name": "SPI_SHADER_USER_DATA_VS_16",
15417 "type_ref": "COMPUTE_PGM_LO"
15418 },
15419 {
15420 "chips": ["gfx10"],
15421 "map": {"at": 45428, "to": "mm"},
15422 "name": "SPI_SHADER_USER_DATA_VS_17",
15423 "type_ref": "COMPUTE_PGM_LO"
15424 },
15425 {
15426 "chips": ["gfx10"],
15427 "map": {"at": 45432, "to": "mm"},
15428 "name": "SPI_SHADER_USER_DATA_VS_18",
15429 "type_ref": "COMPUTE_PGM_LO"
15430 },
15431 {
15432 "chips": ["gfx10"],
15433 "map": {"at": 45436, "to": "mm"},
15434 "name": "SPI_SHADER_USER_DATA_VS_19",
15435 "type_ref": "COMPUTE_PGM_LO"
15436 },
15437 {
15438 "chips": ["gfx10"],
15439 "map": {"at": 45368, "to": "mm"},
15440 "name": "SPI_SHADER_USER_DATA_VS_2",
15441 "type_ref": "COMPUTE_PGM_LO"
15442 },
15443 {
15444 "chips": ["gfx10"],
15445 "map": {"at": 45440, "to": "mm"},
15446 "name": "SPI_SHADER_USER_DATA_VS_20",
15447 "type_ref": "COMPUTE_PGM_LO"
15448 },
15449 {
15450 "chips": ["gfx10"],
15451 "map": {"at": 45444, "to": "mm"},
15452 "name": "SPI_SHADER_USER_DATA_VS_21",
15453 "type_ref": "COMPUTE_PGM_LO"
15454 },
15455 {
15456 "chips": ["gfx10"],
15457 "map": {"at": 45448, "to": "mm"},
15458 "name": "SPI_SHADER_USER_DATA_VS_22",
15459 "type_ref": "COMPUTE_PGM_LO"
15460 },
15461 {
15462 "chips": ["gfx10"],
15463 "map": {"at": 45452, "to": "mm"},
15464 "name": "SPI_SHADER_USER_DATA_VS_23",
15465 "type_ref": "COMPUTE_PGM_LO"
15466 },
15467 {
15468 "chips": ["gfx10"],
15469 "map": {"at": 45456, "to": "mm"},
15470 "name": "SPI_SHADER_USER_DATA_VS_24",
15471 "type_ref": "COMPUTE_PGM_LO"
15472 },
15473 {
15474 "chips": ["gfx10"],
15475 "map": {"at": 45460, "to": "mm"},
15476 "name": "SPI_SHADER_USER_DATA_VS_25",
15477 "type_ref": "COMPUTE_PGM_LO"
15478 },
15479 {
15480 "chips": ["gfx10"],
15481 "map": {"at": 45464, "to": "mm"},
15482 "name": "SPI_SHADER_USER_DATA_VS_26",
15483 "type_ref": "COMPUTE_PGM_LO"
15484 },
15485 {
15486 "chips": ["gfx10"],
15487 "map": {"at": 45468, "to": "mm"},
15488 "name": "SPI_SHADER_USER_DATA_VS_27",
15489 "type_ref": "COMPUTE_PGM_LO"
15490 },
15491 {
15492 "chips": ["gfx10"],
15493 "map": {"at": 45472, "to": "mm"},
15494 "name": "SPI_SHADER_USER_DATA_VS_28",
15495 "type_ref": "COMPUTE_PGM_LO"
15496 },
15497 {
15498 "chips": ["gfx10"],
15499 "map": {"at": 45476, "to": "mm"},
15500 "name": "SPI_SHADER_USER_DATA_VS_29",
15501 "type_ref": "COMPUTE_PGM_LO"
15502 },
15503 {
15504 "chips": ["gfx10"],
15505 "map": {"at": 45372, "to": "mm"},
15506 "name": "SPI_SHADER_USER_DATA_VS_3",
15507 "type_ref": "COMPUTE_PGM_LO"
15508 },
15509 {
15510 "chips": ["gfx10"],
15511 "map": {"at": 45480, "to": "mm"},
15512 "name": "SPI_SHADER_USER_DATA_VS_30",
15513 "type_ref": "COMPUTE_PGM_LO"
15514 },
15515 {
15516 "chips": ["gfx10"],
15517 "map": {"at": 45484, "to": "mm"},
15518 "name": "SPI_SHADER_USER_DATA_VS_31",
15519 "type_ref": "COMPUTE_PGM_LO"
15520 },
15521 {
15522 "chips": ["gfx10"],
15523 "map": {"at": 45376, "to": "mm"},
15524 "name": "SPI_SHADER_USER_DATA_VS_4",
15525 "type_ref": "COMPUTE_PGM_LO"
15526 },
15527 {
15528 "chips": ["gfx10"],
15529 "map": {"at": 45380, "to": "mm"},
15530 "name": "SPI_SHADER_USER_DATA_VS_5",
15531 "type_ref": "COMPUTE_PGM_LO"
15532 },
15533 {
15534 "chips": ["gfx10"],
15535 "map": {"at": 45384, "to": "mm"},
15536 "name": "SPI_SHADER_USER_DATA_VS_6",
15537 "type_ref": "COMPUTE_PGM_LO"
15538 },
15539 {
15540 "chips": ["gfx10"],
15541 "map": {"at": 45388, "to": "mm"},
15542 "name": "SPI_SHADER_USER_DATA_VS_7",
15543 "type_ref": "COMPUTE_PGM_LO"
15544 },
15545 {
15546 "chips": ["gfx10"],
15547 "map": {"at": 45392, "to": "mm"},
15548 "name": "SPI_SHADER_USER_DATA_VS_8",
15549 "type_ref": "COMPUTE_PGM_LO"
15550 },
15551 {
15552 "chips": ["gfx10"],
15553 "map": {"at": 45396, "to": "mm"},
15554 "name": "SPI_SHADER_USER_DATA_VS_9",
15555 "type_ref": "COMPUTE_PGM_LO"
15556 },
15557 {
15558 "chips": ["gfx10"],
15559 "map": {"at": 165648, "to": "mm"},
15560 "name": "SPI_SHADER_Z_FORMAT",
15561 "type_ref": "SPI_SHADER_Z_FORMAT"
15562 },
15563 {
15564 "chips": ["gfx10"],
15565 "map": {"at": 37708, "to": "mm"},
15566 "name": "SPI_SLAVE_DEBUG_BUSY",
15567 "type_ref": "SPI_SLAVE_DEBUG_BUSY"
15568 },
15569 {
15570 "chips": ["gfx10"],
15571 "map": {"at": 37100, "to": "mm"},
15572 "name": "SPI_START_PHASE",
15573 "type_ref": "SPI_START_PHASE"
15574 },
15575 {
15576 "chips": ["gfx10"],
15577 "map": {"at": 37732, "to": "mm"},
15578 "name": "SPI_SX_EXPORT_BUFFER_SIZES",
15579 "type_ref": "SPI_SX_EXPORT_BUFFER_SIZES"
15580 },
15581 {
15582 "chips": ["gfx10"],
15583 "map": {"at": 37736, "to": "mm"},
15584 "name": "SPI_SX_SCOREBOARD_BUFFER_SIZES",
15585 "type_ref": "SPI_SX_SCOREBOARD_BUFFER_SIZES"
15586 },
15587 {
15588 "chips": ["gfx10"],
15589 "map": {"at": 165608, "to": "mm"},
15590 "name": "SPI_TMPRING_SIZE",
15591 "type_ref": "COMPUTE_TMPRING_SIZE"
15592 },
15593 {
15594 "chips": ["gfx10"],
15595 "map": {"at": 165572, "to": "mm"},
15596 "name": "SPI_VS_OUT_CONFIG",
15597 "type_ref": "SPI_VS_OUT_CONFIG"
15598 },
15599 {
15600 "chips": ["gfx10"],
15601 "map": {"at": 37172, "to": "mm"},
15602 "name": "SPI_WAVE_LIMIT_CNTL",
15603 "type_ref": "SPI_WAVE_LIMIT_CNTL"
15604 },
15605 {
15606 "chips": ["gfx10"],
15607 "map": {"at": 200972, "to": "mm"},
15608 "name": "SPI_WAVE_LIMIT_CNTL_REMAP",
15609 "type_ref": "SPI_CONFIG_CNTL_REMAP"
15610 },
15611 {
15612 "chips": ["gfx10"],
15613 "map": {"at": 37544, "to": "mm"},
15614 "name": "SPI_WF_LIFETIME_CNTL",
15615 "type_ref": "SPI_WF_LIFETIME_CNTL"
15616 },
15617 {
15618 "chips": ["gfx10"],
15619 "map": {"at": 37672, "to": "mm"},
15620 "name": "SPI_WF_LIFETIME_DEBUG",
15621 "type_ref": "SPI_WF_LIFETIME_DEBUG"
15622 },
15623 {
15624 "chips": ["gfx10"],
15625 "map": {"at": 37548, "to": "mm"},
15626 "name": "SPI_WF_LIFETIME_LIMIT_0",
15627 "type_ref": "SPI_WF_LIFETIME_LIMIT_0"
15628 },
15629 {
15630 "chips": ["gfx10"],
15631 "map": {"at": 37552, "to": "mm"},
15632 "name": "SPI_WF_LIFETIME_LIMIT_1",
15633 "type_ref": "SPI_WF_LIFETIME_LIMIT_0"
15634 },
15635 {
15636 "chips": ["gfx10"],
15637 "map": {"at": 37556, "to": "mm"},
15638 "name": "SPI_WF_LIFETIME_LIMIT_2",
15639 "type_ref": "SPI_WF_LIFETIME_LIMIT_0"
15640 },
15641 {
15642 "chips": ["gfx10"],
15643 "map": {"at": 37560, "to": "mm"},
15644 "name": "SPI_WF_LIFETIME_LIMIT_3",
15645 "type_ref": "SPI_WF_LIFETIME_LIMIT_0"
15646 },
15647 {
15648 "chips": ["gfx10"],
15649 "map": {"at": 37564, "to": "mm"},
15650 "name": "SPI_WF_LIFETIME_LIMIT_4",
15651 "type_ref": "SPI_WF_LIFETIME_LIMIT_0"
15652 },
15653 {
15654 "chips": ["gfx10"],
15655 "map": {"at": 37568, "to": "mm"},
15656 "name": "SPI_WF_LIFETIME_LIMIT_5",
15657 "type_ref": "SPI_WF_LIFETIME_LIMIT_0"
15658 },
15659 {
15660 "chips": ["gfx10"],
15661 "map": {"at": 37572, "to": "mm"},
15662 "name": "SPI_WF_LIFETIME_LIMIT_6",
15663 "type_ref": "SPI_WF_LIFETIME_LIMIT_0"
15664 },
15665 {
15666 "chips": ["gfx10"],
15667 "map": {"at": 37576, "to": "mm"},
15668 "name": "SPI_WF_LIFETIME_LIMIT_7",
15669 "type_ref": "SPI_WF_LIFETIME_LIMIT_0"
15670 },
15671 {
15672 "chips": ["gfx10"],
15673 "map": {"at": 37580, "to": "mm"},
15674 "name": "SPI_WF_LIFETIME_LIMIT_8",
15675 "type_ref": "SPI_WF_LIFETIME_LIMIT_0"
15676 },
15677 {
15678 "chips": ["gfx10"],
15679 "map": {"at": 37584, "to": "mm"},
15680 "name": "SPI_WF_LIFETIME_LIMIT_9",
15681 "type_ref": "SPI_WF_LIFETIME_LIMIT_0"
15682 },
15683 {
15684 "chips": ["gfx10"],
15685 "map": {"at": 37588, "to": "mm"},
15686 "name": "SPI_WF_LIFETIME_STATUS_0",
15687 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15688 },
15689 {
15690 "chips": ["gfx10"],
15691 "map": {"at": 37592, "to": "mm"},
15692 "name": "SPI_WF_LIFETIME_STATUS_1",
15693 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15694 },
15695 {
15696 "chips": ["gfx10"],
15697 "map": {"at": 37628, "to": "mm"},
15698 "name": "SPI_WF_LIFETIME_STATUS_10",
15699 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15700 },
15701 {
15702 "chips": ["gfx10"],
15703 "map": {"at": 37632, "to": "mm"},
15704 "name": "SPI_WF_LIFETIME_STATUS_11",
15705 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15706 },
15707 {
15708 "chips": ["gfx10"],
15709 "map": {"at": 37636, "to": "mm"},
15710 "name": "SPI_WF_LIFETIME_STATUS_12",
15711 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15712 },
15713 {
15714 "chips": ["gfx10"],
15715 "map": {"at": 37640, "to": "mm"},
15716 "name": "SPI_WF_LIFETIME_STATUS_13",
15717 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15718 },
15719 {
15720 "chips": ["gfx10"],
15721 "map": {"at": 37644, "to": "mm"},
15722 "name": "SPI_WF_LIFETIME_STATUS_14",
15723 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15724 },
15725 {
15726 "chips": ["gfx10"],
15727 "map": {"at": 37648, "to": "mm"},
15728 "name": "SPI_WF_LIFETIME_STATUS_15",
15729 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15730 },
15731 {
15732 "chips": ["gfx10"],
15733 "map": {"at": 37652, "to": "mm"},
15734 "name": "SPI_WF_LIFETIME_STATUS_16",
15735 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15736 },
15737 {
15738 "chips": ["gfx10"],
15739 "map": {"at": 37656, "to": "mm"},
15740 "name": "SPI_WF_LIFETIME_STATUS_17",
15741 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15742 },
15743 {
15744 "chips": ["gfx10"],
15745 "map": {"at": 37660, "to": "mm"},
15746 "name": "SPI_WF_LIFETIME_STATUS_18",
15747 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15748 },
15749 {
15750 "chips": ["gfx10"],
15751 "map": {"at": 37664, "to": "mm"},
15752 "name": "SPI_WF_LIFETIME_STATUS_19",
15753 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15754 },
15755 {
15756 "chips": ["gfx10"],
15757 "map": {"at": 37596, "to": "mm"},
15758 "name": "SPI_WF_LIFETIME_STATUS_2",
15759 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15760 },
15761 {
15762 "chips": ["gfx10"],
15763 "map": {"at": 37668, "to": "mm"},
15764 "name": "SPI_WF_LIFETIME_STATUS_20",
15765 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15766 },
15767 {
15768 "chips": ["gfx10"],
15769 "map": {"at": 37600, "to": "mm"},
15770 "name": "SPI_WF_LIFETIME_STATUS_3",
15771 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15772 },
15773 {
15774 "chips": ["gfx10"],
15775 "map": {"at": 37604, "to": "mm"},
15776 "name": "SPI_WF_LIFETIME_STATUS_4",
15777 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15778 },
15779 {
15780 "chips": ["gfx10"],
15781 "map": {"at": 37608, "to": "mm"},
15782 "name": "SPI_WF_LIFETIME_STATUS_5",
15783 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15784 },
15785 {
15786 "chips": ["gfx10"],
15787 "map": {"at": 37612, "to": "mm"},
15788 "name": "SPI_WF_LIFETIME_STATUS_6",
15789 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15790 },
15791 {
15792 "chips": ["gfx10"],
15793 "map": {"at": 37616, "to": "mm"},
15794 "name": "SPI_WF_LIFETIME_STATUS_7",
15795 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15796 },
15797 {
15798 "chips": ["gfx10"],
15799 "map": {"at": 37620, "to": "mm"},
15800 "name": "SPI_WF_LIFETIME_STATUS_8",
15801 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15802 },
15803 {
15804 "chips": ["gfx10"],
15805 "map": {"at": 37624, "to": "mm"},
15806 "name": "SPI_WF_LIFETIME_STATUS_9",
15807 "type_ref": "SPI_WF_LIFETIME_STATUS_0"
15808 },
15809 {
15810 "chips": ["gfx10"],
15811 "map": {"at": 199968, "to": "mm"},
15812 "name": "SQC_CACHES",
15813 "type_ref": "SQC_CACHES"
15814 },
15815 {
15816 "chips": ["gfx10"],
15817 "map": {"at": 199972, "to": "mm"},
15818 "name": "SQC_WRITEBACK",
15819 "type_ref": "SQC_WRITEBACK"
15820 },
15821 {
15822 "chips": ["gfx10"],
15823 "map": {"at": 214788, "to": "mm"},
15824 "name": "SQ_PERFCOUNTER0_HI",
15825 "type_ref": "CB_PERFCOUNTER0_HI"
15826 },
15827 {
15828 "chips": ["gfx10"],
15829 "map": {"at": 214784, "to": "mm"},
15830 "name": "SQ_PERFCOUNTER0_LO",
15831 "type_ref": "CB_PERFCOUNTER0_LO"
15832 },
15833 {
15834 "chips": ["gfx10"],
15835 "map": {"at": 222976, "to": "mm"},
15836 "name": "SQ_PERFCOUNTER0_SELECT",
15837 "type_ref": "SQ_PERFCOUNTER0_SELECT"
15838 },
15839 {
15840 "chips": ["gfx10"],
15841 "map": {"at": 214868, "to": "mm"},
15842 "name": "SQ_PERFCOUNTER10_HI",
15843 "type_ref": "CB_PERFCOUNTER0_HI"
15844 },
15845 {
15846 "chips": ["gfx10"],
15847 "map": {"at": 214864, "to": "mm"},
15848 "name": "SQ_PERFCOUNTER10_LO",
15849 "type_ref": "CB_PERFCOUNTER0_LO"
15850 },
15851 {
15852 "chips": ["gfx10"],
15853 "map": {"at": 223016, "to": "mm"},
15854 "name": "SQ_PERFCOUNTER10_SELECT",
15855 "type_ref": "SQ_PERFCOUNTER0_SELECT"
15856 },
15857 {
15858 "chips": ["gfx10"],
15859 "map": {"at": 214876, "to": "mm"},
15860 "name": "SQ_PERFCOUNTER11_HI",
15861 "type_ref": "CB_PERFCOUNTER0_HI"
15862 },
15863 {
15864 "chips": ["gfx10"],
15865 "map": {"at": 214872, "to": "mm"},
15866 "name": "SQ_PERFCOUNTER11_LO",
15867 "type_ref": "CB_PERFCOUNTER0_LO"
15868 },
15869 {
15870 "chips": ["gfx10"],
15871 "map": {"at": 223020, "to": "mm"},
15872 "name": "SQ_PERFCOUNTER11_SELECT",
15873 "type_ref": "SQ_PERFCOUNTER0_SELECT"
15874 },
15875 {
15876 "chips": ["gfx10"],
15877 "map": {"at": 214884, "to": "mm"},
15878 "name": "SQ_PERFCOUNTER12_HI",
15879 "type_ref": "CB_PERFCOUNTER0_HI"
15880 },
15881 {
15882 "chips": ["gfx10"],
15883 "map": {"at": 214880, "to": "mm"},
15884 "name": "SQ_PERFCOUNTER12_LO",
15885 "type_ref": "CB_PERFCOUNTER0_LO"
15886 },
15887 {
15888 "chips": ["gfx10"],
15889 "map": {"at": 223024, "to": "mm"},
15890 "name": "SQ_PERFCOUNTER12_SELECT",
15891 "type_ref": "SQ_PERFCOUNTER0_SELECT"
15892 },
15893 {
15894 "chips": ["gfx10"],
15895 "map": {"at": 214892, "to": "mm"},
15896 "name": "SQ_PERFCOUNTER13_HI",
15897 "type_ref": "CB_PERFCOUNTER0_HI"
15898 },
15899 {
15900 "chips": ["gfx10"],
15901 "map": {"at": 214888, "to": "mm"},
15902 "name": "SQ_PERFCOUNTER13_LO",
15903 "type_ref": "CB_PERFCOUNTER0_LO"
15904 },
15905 {
15906 "chips": ["gfx10"],
15907 "map": {"at": 223028, "to": "mm"},
15908 "name": "SQ_PERFCOUNTER13_SELECT",
15909 "type_ref": "SQ_PERFCOUNTER0_SELECT"
15910 },
15911 {
15912 "chips": ["gfx10"],
15913 "map": {"at": 214900, "to": "mm"},
15914 "name": "SQ_PERFCOUNTER14_HI",
15915 "type_ref": "CB_PERFCOUNTER0_HI"
15916 },
15917 {
15918 "chips": ["gfx10"],
15919 "map": {"at": 214896, "to": "mm"},
15920 "name": "SQ_PERFCOUNTER14_LO",
15921 "type_ref": "CB_PERFCOUNTER0_LO"
15922 },
15923 {
15924 "chips": ["gfx10"],
15925 "map": {"at": 223032, "to": "mm"},
15926 "name": "SQ_PERFCOUNTER14_SELECT",
15927 "type_ref": "SQ_PERFCOUNTER0_SELECT"
15928 },
15929 {
15930 "chips": ["gfx10"],
15931 "map": {"at": 214908, "to": "mm"},
15932 "name": "SQ_PERFCOUNTER15_HI",
15933 "type_ref": "CB_PERFCOUNTER0_HI"
15934 },
15935 {
15936 "chips": ["gfx10"],
15937 "map": {"at": 214904, "to": "mm"},
15938 "name": "SQ_PERFCOUNTER15_LO",
15939 "type_ref": "CB_PERFCOUNTER0_LO"
15940 },
15941 {
15942 "chips": ["gfx10"],
15943 "map": {"at": 223036, "to": "mm"},
15944 "name": "SQ_PERFCOUNTER15_SELECT",
15945 "type_ref": "SQ_PERFCOUNTER0_SELECT"
15946 },
15947 {
15948 "chips": ["gfx10"],
15949 "map": {"at": 214796, "to": "mm"},
15950 "name": "SQ_PERFCOUNTER1_HI",
15951 "type_ref": "CB_PERFCOUNTER0_HI"
15952 },
15953 {
15954 "chips": ["gfx10"],
15955 "map": {"at": 214792, "to": "mm"},
15956 "name": "SQ_PERFCOUNTER1_LO",
15957 "type_ref": "CB_PERFCOUNTER0_LO"
15958 },
15959 {
15960 "chips": ["gfx10"],
15961 "map": {"at": 222980, "to": "mm"},
15962 "name": "SQ_PERFCOUNTER1_SELECT",
15963 "type_ref": "SQ_PERFCOUNTER0_SELECT"
15964 },
15965 {
15966 "chips": ["gfx10"],
15967 "map": {"at": 214804, "to": "mm"},
15968 "name": "SQ_PERFCOUNTER2_HI",
15969 "type_ref": "CB_PERFCOUNTER0_HI"
15970 },
15971 {
15972 "chips": ["gfx10"],
15973 "map": {"at": 214800, "to": "mm"},
15974 "name": "SQ_PERFCOUNTER2_LO",
15975 "type_ref": "CB_PERFCOUNTER0_LO"
15976 },
15977 {
15978 "chips": ["gfx10"],
15979 "map": {"at": 222984, "to": "mm"},
15980 "name": "SQ_PERFCOUNTER2_SELECT",
15981 "type_ref": "SQ_PERFCOUNTER0_SELECT"
15982 },
15983 {
15984 "chips": ["gfx10"],
15985 "map": {"at": 214812, "to": "mm"},
15986 "name": "SQ_PERFCOUNTER3_HI",
15987 "type_ref": "CB_PERFCOUNTER0_HI"
15988 },
15989 {
15990 "chips": ["gfx10"],
15991 "map": {"at": 214808, "to": "mm"},
15992 "name": "SQ_PERFCOUNTER3_LO",
15993 "type_ref": "CB_PERFCOUNTER0_LO"
15994 },
15995 {
15996 "chips": ["gfx10"],
15997 "map": {"at": 222988, "to": "mm"},
15998 "name": "SQ_PERFCOUNTER3_SELECT",
15999 "type_ref": "SQ_PERFCOUNTER0_SELECT"
16000 },
16001 {
16002 "chips": ["gfx10"],
16003 "map": {"at": 214820, "to": "mm"},
16004 "name": "SQ_PERFCOUNTER4_HI",
16005 "type_ref": "CB_PERFCOUNTER0_HI"
16006 },
16007 {
16008 "chips": ["gfx10"],
16009 "map": {"at": 214816, "to": "mm"},
16010 "name": "SQ_PERFCOUNTER4_LO",
16011 "type_ref": "CB_PERFCOUNTER0_LO"
16012 },
16013 {
16014 "chips": ["gfx10"],
16015 "map": {"at": 222992, "to": "mm"},
16016 "name": "SQ_PERFCOUNTER4_SELECT",
16017 "type_ref": "SQ_PERFCOUNTER0_SELECT"
16018 },
16019 {
16020 "chips": ["gfx10"],
16021 "map": {"at": 214828, "to": "mm"},
16022 "name": "SQ_PERFCOUNTER5_HI",
16023 "type_ref": "CB_PERFCOUNTER0_HI"
16024 },
16025 {
16026 "chips": ["gfx10"],
16027 "map": {"at": 214824, "to": "mm"},
16028 "name": "SQ_PERFCOUNTER5_LO",
16029 "type_ref": "CB_PERFCOUNTER0_LO"
16030 },
16031 {
16032 "chips": ["gfx10"],
16033 "map": {"at": 222996, "to": "mm"},
16034 "name": "SQ_PERFCOUNTER5_SELECT",
16035 "type_ref": "SQ_PERFCOUNTER0_SELECT"
16036 },
16037 {
16038 "chips": ["gfx10"],
16039 "map": {"at": 214836, "to": "mm"},
16040 "name": "SQ_PERFCOUNTER6_HI",
16041 "type_ref": "CB_PERFCOUNTER0_HI"
16042 },
16043 {
16044 "chips": ["gfx10"],
16045 "map": {"at": 214832, "to": "mm"},
16046 "name": "SQ_PERFCOUNTER6_LO",
16047 "type_ref": "CB_PERFCOUNTER0_LO"
16048 },
16049 {
16050 "chips": ["gfx10"],
16051 "map": {"at": 223000, "to": "mm"},
16052 "name": "SQ_PERFCOUNTER6_SELECT",
16053 "type_ref": "SQ_PERFCOUNTER0_SELECT"
16054 },
16055 {
16056 "chips": ["gfx10"],
16057 "map": {"at": 214844, "to": "mm"},
16058 "name": "SQ_PERFCOUNTER7_HI",
16059 "type_ref": "CB_PERFCOUNTER0_HI"
16060 },
16061 {
16062 "chips": ["gfx10"],
16063 "map": {"at": 214840, "to": "mm"},
16064 "name": "SQ_PERFCOUNTER7_LO",
16065 "type_ref": "CB_PERFCOUNTER0_LO"
16066 },
16067 {
16068 "chips": ["gfx10"],
16069 "map": {"at": 223004, "to": "mm"},
16070 "name": "SQ_PERFCOUNTER7_SELECT",
16071 "type_ref": "SQ_PERFCOUNTER0_SELECT"
16072 },
16073 {
16074 "chips": ["gfx10"],
16075 "map": {"at": 214852, "to": "mm"},
16076 "name": "SQ_PERFCOUNTER8_HI",
16077 "type_ref": "CB_PERFCOUNTER0_HI"
16078 },
16079 {
16080 "chips": ["gfx10"],
16081 "map": {"at": 214848, "to": "mm"},
16082 "name": "SQ_PERFCOUNTER8_LO",
16083 "type_ref": "CB_PERFCOUNTER0_LO"
16084 },
16085 {
16086 "chips": ["gfx10"],
16087 "map": {"at": 223008, "to": "mm"},
16088 "name": "SQ_PERFCOUNTER8_SELECT",
16089 "type_ref": "SQ_PERFCOUNTER0_SELECT"
16090 },
16091 {
16092 "chips": ["gfx10"],
16093 "map": {"at": 214860, "to": "mm"},
16094 "name": "SQ_PERFCOUNTER9_HI",
16095 "type_ref": "CB_PERFCOUNTER0_HI"
16096 },
16097 {
16098 "chips": ["gfx10"],
16099 "map": {"at": 214856, "to": "mm"},
16100 "name": "SQ_PERFCOUNTER9_LO",
16101 "type_ref": "CB_PERFCOUNTER0_LO"
16102 },
16103 {
16104 "chips": ["gfx10"],
16105 "map": {"at": 223012, "to": "mm"},
16106 "name": "SQ_PERFCOUNTER9_SELECT",
16107 "type_ref": "SQ_PERFCOUNTER0_SELECT"
16108 },
16109 {
16110 "chips": ["gfx10"],
16111 "map": {"at": 223104, "to": "mm"},
16112 "name": "SQ_PERFCOUNTER_CTRL",
16113 "type_ref": "SQ_PERFCOUNTER_CTRL"
16114 },
16115 {
16116 "chips": ["gfx10"],
16117 "map": {"at": 223112, "to": "mm"},
16118 "name": "SQ_PERFCOUNTER_CTRL2",
16119 "type_ref": "SQ_PERFCOUNTER_CTRL2"
16120 },
16121 {
16122 "chips": ["gfx10"],
16123 "map": {"at": 199936, "to": "mm"},
16124 "name": "SQ_THREAD_TRACE_USERDATA_0",
16125 "type_ref": "COMPUTE_PGM_LO"
16126 },
16127 {
16128 "chips": ["gfx10"],
16129 "map": {"at": 199940, "to": "mm"},
16130 "name": "SQ_THREAD_TRACE_USERDATA_1",
16131 "type_ref": "COMPUTE_PGM_LO"
16132 },
16133 {
16134 "chips": ["gfx10"],
16135 "map": {"at": 199944, "to": "mm"},
16136 "name": "SQ_THREAD_TRACE_USERDATA_2",
16137 "type_ref": "COMPUTE_PGM_LO"
16138 },
16139 {
16140 "chips": ["gfx10"],
16141 "map": {"at": 199948, "to": "mm"},
16142 "name": "SQ_THREAD_TRACE_USERDATA_3",
16143 "type_ref": "COMPUTE_PGM_LO"
16144 },
16145 {
16146 "chips": ["gfx10"],
16147 "map": {"at": 199952, "to": "mm"},
16148 "name": "SQ_THREAD_TRACE_USERDATA_4",
16149 "type_ref": "COMPUTE_PGM_LO"
16150 },
16151 {
16152 "chips": ["gfx10"],
16153 "map": {"at": 199956, "to": "mm"},
16154 "name": "SQ_THREAD_TRACE_USERDATA_5",
16155 "type_ref": "COMPUTE_PGM_LO"
16156 },
16157 {
16158 "chips": ["gfx10"],
16159 "map": {"at": 199960, "to": "mm"},
16160 "name": "SQ_THREAD_TRACE_USERDATA_6",
16161 "type_ref": "COMPUTE_PGM_LO"
16162 },
16163 {
16164 "chips": ["gfx10"],
16165 "map": {"at": 199964, "to": "mm"},
16166 "name": "SQ_THREAD_TRACE_USERDATA_7",
16167 "type_ref": "COMPUTE_PGM_LO"
16168 },
16169 {
16170 "chips": ["gfx10"],
16171 "map": {"at": 165724, "to": "mm"},
16172 "name": "SX_BLEND_OPT_CONTROL",
16173 "type_ref": "SX_BLEND_OPT_CONTROL"
16174 },
16175 {
16176 "chips": ["gfx10"],
16177 "map": {"at": 165720, "to": "mm"},
16178 "name": "SX_BLEND_OPT_EPSILON",
16179 "type_ref": "SX_BLEND_OPT_EPSILON"
16180 },
16181 {
16182 "chips": ["gfx10"],
16183 "map": {"at": 36960, "to": "mm"},
16184 "name": "SX_DEBUG_1",
16185 "type_ref": "SX_DEBUG_1"
16186 },
16187 {
16188 "chips": ["gfx10"],
16189 "map": {"at": 36944, "to": "mm"},
16190 "name": "SX_DEBUG_BUSY",
16191 "type_ref": "SX_DEBUG_BUSY"
16192 },
16193 {
16194 "chips": ["gfx10"],
16195 "map": {"at": 36948, "to": "mm"},
16196 "name": "SX_DEBUG_BUSY_2",
16197 "type_ref": "SX_DEBUG_BUSY_2"
16198 },
16199 {
16200 "chips": ["gfx10"],
16201 "map": {"at": 36952, "to": "mm"},
16202 "name": "SX_DEBUG_BUSY_3",
16203 "type_ref": "SX_DEBUG_BUSY_3"
16204 },
16205 {
16206 "chips": ["gfx10"],
16207 "map": {"at": 36956, "to": "mm"},
16208 "name": "SX_DEBUG_BUSY_4",
16209 "type_ref": "SX_DEBUG_BUSY_4"
16210 },
16211 {
16212 "chips": ["gfx10"],
16213 "map": {"at": 36964, "to": "mm"},
16214 "name": "SX_DEBUG_BUSY_5",
16215 "type_ref": "SX_DEBUG_BUSY_5"
16216 },
16217 {
16218 "chips": ["gfx10"],
16219 "map": {"at": 36968, "to": "mm"},
16220 "name": "SX_DEBUG_BUSY_6",
16221 "type_ref": "SX_DEBUG_BUSY_6"
16222 },
16223 {
16224 "chips": ["gfx10"],
16225 "map": {"at": 36972, "to": "mm"},
16226 "name": "SX_DEBUG_BUSY_7",
16227 "type_ref": "SX_DEBUG_BUSY_7"
16228 },
16229 {
16230 "chips": ["gfx10"],
16231 "map": {"at": 36976, "to": "mm"},
16232 "name": "SX_DEBUG_BUSY_8",
16233 "type_ref": "SX_DEBUG_BUSY_8"
16234 },
16235 {
16236 "chips": ["gfx10"],
16237 "map": {"at": 36980, "to": "mm"},
16238 "name": "SX_DEBUG_BUSY_9",
16239 "type_ref": "SX_DEBUG_BUSY_9"
16240 },
16241 {
16242 "chips": ["gfx10"],
16243 "map": {"at": 165728, "to": "mm"},
16244 "name": "SX_MRT0_BLEND_OPT",
16245 "type_ref": "SX_MRT0_BLEND_OPT"
16246 },
16247 {
16248 "chips": ["gfx10"],
16249 "map": {"at": 165732, "to": "mm"},
16250 "name": "SX_MRT1_BLEND_OPT",
16251 "type_ref": "SX_MRT0_BLEND_OPT"
16252 },
16253 {
16254 "chips": ["gfx10"],
16255 "map": {"at": 165736, "to": "mm"},
16256 "name": "SX_MRT2_BLEND_OPT",
16257 "type_ref": "SX_MRT0_BLEND_OPT"
16258 },
16259 {
16260 "chips": ["gfx10"],
16261 "map": {"at": 165740, "to": "mm"},
16262 "name": "SX_MRT3_BLEND_OPT",
16263 "type_ref": "SX_MRT0_BLEND_OPT"
16264 },
16265 {
16266 "chips": ["gfx10"],
16267 "map": {"at": 165744, "to": "mm"},
16268 "name": "SX_MRT4_BLEND_OPT",
16269 "type_ref": "SX_MRT0_BLEND_OPT"
16270 },
16271 {
16272 "chips": ["gfx10"],
16273 "map": {"at": 165748, "to": "mm"},
16274 "name": "SX_MRT5_BLEND_OPT",
16275 "type_ref": "SX_MRT0_BLEND_OPT"
16276 },
16277 {
16278 "chips": ["gfx10"],
16279 "map": {"at": 165752, "to": "mm"},
16280 "name": "SX_MRT6_BLEND_OPT",
16281 "type_ref": "SX_MRT0_BLEND_OPT"
16282 },
16283 {
16284 "chips": ["gfx10"],
16285 "map": {"at": 165756, "to": "mm"},
16286 "name": "SX_MRT7_BLEND_OPT",
16287 "type_ref": "SX_MRT0_BLEND_OPT"
16288 },
16289 {
16290 "chips": ["gfx10"],
16291 "map": {"at": 215300, "overlap": true, "to": "mm"},
16292 "name": "SX_PERFCOUNTER0_HI",
16293 "type_ref": "CB_PERFCOUNTER0_HI"
16294 },
16295 {
16296 "chips": ["gfx10"],
16297 "map": {"at": 215296, "overlap": true, "to": "mm"},
16298 "name": "SX_PERFCOUNTER0_LO",
16299 "type_ref": "CB_PERFCOUNTER0_LO"
16300 },
16301 {
16302 "chips": ["gfx10"],
16303 "map": {"at": 223488, "to": "mm"},
16304 "name": "SX_PERFCOUNTER0_SELECT",
16305 "type_ref": "SX_PERFCOUNTER0_SELECT"
16306 },
16307 {
16308 "chips": ["gfx10"],
16309 "map": {"at": 223504, "to": "mm"},
16310 "name": "SX_PERFCOUNTER0_SELECT1",
16311 "type_ref": "SX_PERFCOUNTER0_SELECT1"
16312 },
16313 {
16314 "chips": ["gfx10"],
16315 "map": {"at": 215308, "overlap": true, "to": "mm"},
16316 "name": "SX_PERFCOUNTER1_HI",
16317 "type_ref": "CB_PERFCOUNTER0_HI"
16318 },
16319 {
16320 "chips": ["gfx10"],
16321 "map": {"at": 215304, "overlap": true, "to": "mm"},
16322 "name": "SX_PERFCOUNTER1_LO",
16323 "type_ref": "CB_PERFCOUNTER0_LO"
16324 },
16325 {
16326 "chips": ["gfx10"],
16327 "map": {"at": 223492, "to": "mm"},
16328 "name": "SX_PERFCOUNTER1_SELECT",
16329 "type_ref": "SX_PERFCOUNTER0_SELECT"
16330 },
16331 {
16332 "chips": ["gfx10"],
16333 "map": {"at": 223508, "to": "mm"},
16334 "name": "SX_PERFCOUNTER1_SELECT1",
16335 "type_ref": "SX_PERFCOUNTER0_SELECT1"
16336 },
16337 {
16338 "chips": ["gfx10"],
16339 "map": {"at": 215316, "overlap": true, "to": "mm"},
16340 "name": "SX_PERFCOUNTER2_HI",
16341 "type_ref": "CB_PERFCOUNTER0_HI"
16342 },
16343 {
16344 "chips": ["gfx10"],
16345 "map": {"at": 215312, "overlap": true, "to": "mm"},
16346 "name": "SX_PERFCOUNTER2_LO",
16347 "type_ref": "CB_PERFCOUNTER0_LO"
16348 },
16349 {
16350 "chips": ["gfx10"],
16351 "map": {"at": 223496, "to": "mm"},
16352 "name": "SX_PERFCOUNTER2_SELECT",
16353 "type_ref": "SX_PERFCOUNTER0_SELECT"
16354 },
16355 {
16356 "chips": ["gfx10"],
16357 "map": {"at": 215324, "overlap": true, "to": "mm"},
16358 "name": "SX_PERFCOUNTER3_HI",
16359 "type_ref": "CB_PERFCOUNTER0_HI"
16360 },
16361 {
16362 "chips": ["gfx10"],
16363 "map": {"at": 215320, "overlap": true, "to": "mm"},
16364 "name": "SX_PERFCOUNTER3_LO",
16365 "type_ref": "CB_PERFCOUNTER0_LO"
16366 },
16367 {
16368 "chips": ["gfx10"],
16369 "map": {"at": 223500, "to": "mm"},
16370 "name": "SX_PERFCOUNTER3_SELECT",
16371 "type_ref": "SX_PERFCOUNTER0_SELECT"
16372 },
16373 {
16374 "chips": ["gfx10"],
16375 "map": {"at": 165716, "to": "mm"},
16376 "name": "SX_PS_DOWNCONVERT",
16377 "type_ref": "SX_PS_DOWNCONVERT"
16378 },
16379 {
16380 "chips": ["gfx10"],
16381 "map": {"at": 163968, "to": "mm"},
16382 "name": "TA_BC_BASE_ADDR",
16383 "type_ref": "RLC_CSIB_ADDR_LO"
16384 },
16385 {
16386 "chips": ["gfx10"],
16387 "map": {"at": 163972, "to": "mm"},
16388 "name": "TA_BC_BASE_ADDR_HI",
16389 "type_ref": "TA_BC_BASE_ADDR_HI"
16390 },
16391 {
16392 "chips": ["gfx10"],
16393 "map": {"at": 200192, "to": "mm"},
16394 "name": "TA_CS_BC_BASE_ADDR",
16395 "type_ref": "RLC_CSIB_ADDR_LO"
16396 },
16397 {
16398 "chips": ["gfx10"],
16399 "map": {"at": 200196, "to": "mm"},
16400 "name": "TA_CS_BC_BASE_ADDR_HI",
16401 "type_ref": "TA_BC_BASE_ADDR_HI"
16402 },
16403 {
16404 "chips": ["gfx10"],
16405 "map": {"at": 215812, "to": "mm"},
16406 "name": "TA_PERFCOUNTER0_HI",
16407 "type_ref": "CB_PERFCOUNTER0_HI"
16408 },
16409 {
16410 "chips": ["gfx10"],
16411 "map": {"at": 215808, "to": "mm"},
16412 "name": "TA_PERFCOUNTER0_LO",
16413 "type_ref": "CB_PERFCOUNTER0_LO"
16414 },
16415 {
16416 "chips": ["gfx10"],
16417 "map": {"at": 224000, "to": "mm"},
16418 "name": "TA_PERFCOUNTER0_SELECT",
16419 "type_ref": "TA_PERFCOUNTER0_SELECT"
16420 },
16421 {
16422 "chips": ["gfx10"],
16423 "map": {"at": 224004, "to": "mm"},
16424 "name": "TA_PERFCOUNTER0_SELECT1",
16425 "type_ref": "TA_PERFCOUNTER0_SELECT1"
16426 },
16427 {
16428 "chips": ["gfx10"],
16429 "map": {"at": 215820, "to": "mm"},
16430 "name": "TA_PERFCOUNTER1_HI",
16431 "type_ref": "CB_PERFCOUNTER0_HI"
16432 },
16433 {
16434 "chips": ["gfx10"],
16435 "map": {"at": 215816, "to": "mm"},
16436 "name": "TA_PERFCOUNTER1_LO",
16437 "type_ref": "CB_PERFCOUNTER0_LO"
16438 },
16439 {
16440 "chips": ["gfx10"],
16441 "map": {"at": 224008, "to": "mm"},
16442 "name": "TA_PERFCOUNTER1_SELECT",
16443 "type_ref": "TA_PERFCOUNTER1_SELECT"
16444 },
16445 {
16446 "chips": ["gfx10"],
16447 "map": {"at": 216324, "to": "mm"},
16448 "name": "TCP_PERFCOUNTER0_HI",
16449 "type_ref": "CB_PERFCOUNTER0_HI"
16450 },
16451 {
16452 "chips": ["gfx10"],
16453 "map": {"at": 216320, "to": "mm"},
16454 "name": "TCP_PERFCOUNTER0_LO",
16455 "type_ref": "CB_PERFCOUNTER0_LO"
16456 },
16457 {
16458 "chips": ["gfx10"],
16459 "map": {"at": 224512, "to": "mm"},
16460 "name": "TCP_PERFCOUNTER0_SELECT",
16461 "type_ref": "TCP_PERFCOUNTER0_SELECT"
16462 },
16463 {
16464 "chips": ["gfx10"],
16465 "map": {"at": 224516, "to": "mm"},
16466 "name": "TCP_PERFCOUNTER0_SELECT1",
16467 "type_ref": "TCP_PERFCOUNTER0_SELECT1"
16468 },
16469 {
16470 "chips": ["gfx10"],
16471 "map": {"at": 216332, "to": "mm"},
16472 "name": "TCP_PERFCOUNTER1_HI",
16473 "type_ref": "CB_PERFCOUNTER0_HI"
16474 },
16475 {
16476 "chips": ["gfx10"],
16477 "map": {"at": 216328, "to": "mm"},
16478 "name": "TCP_PERFCOUNTER1_LO",
16479 "type_ref": "CB_PERFCOUNTER0_LO"
16480 },
16481 {
16482 "chips": ["gfx10"],
16483 "map": {"at": 224520, "to": "mm"},
16484 "name": "TCP_PERFCOUNTER1_SELECT",
16485 "type_ref": "TCP_PERFCOUNTER0_SELECT"
16486 },
16487 {
16488 "chips": ["gfx10"],
16489 "map": {"at": 224524, "to": "mm"},
16490 "name": "TCP_PERFCOUNTER1_SELECT1",
16491 "type_ref": "TCP_PERFCOUNTER0_SELECT1"
16492 },
16493 {
16494 "chips": ["gfx10"],
16495 "map": {"at": 216340, "to": "mm"},
16496 "name": "TCP_PERFCOUNTER2_HI",
16497 "type_ref": "CB_PERFCOUNTER0_HI"
16498 },
16499 {
16500 "chips": ["gfx10"],
16501 "map": {"at": 216336, "to": "mm"},
16502 "name": "TCP_PERFCOUNTER2_LO",
16503 "type_ref": "CB_PERFCOUNTER0_LO"
16504 },
16505 {
16506 "chips": ["gfx10"],
16507 "map": {"at": 224528, "to": "mm"},
16508 "name": "TCP_PERFCOUNTER2_SELECT",
16509 "type_ref": "TCP_PERFCOUNTER2_SELECT"
16510 },
16511 {
16512 "chips": ["gfx10"],
16513 "map": {"at": 216348, "to": "mm"},
16514 "name": "TCP_PERFCOUNTER3_HI",
16515 "type_ref": "CB_PERFCOUNTER0_HI"
16516 },
16517 {
16518 "chips": ["gfx10"],
16519 "map": {"at": 216344, "to": "mm"},
16520 "name": "TCP_PERFCOUNTER3_LO",
16521 "type_ref": "CB_PERFCOUNTER0_LO"
16522 },
16523 {
16524 "chips": ["gfx10"],
16525 "map": {"at": 224532, "to": "mm"},
16526 "name": "TCP_PERFCOUNTER3_SELECT",
16527 "type_ref": "TCP_PERFCOUNTER2_SELECT"
16528 },
16529 {
16530 "chips": ["gfx10"],
16531 "map": {"at": 216068, "to": "mm"},
16532 "name": "TD_PERFCOUNTER0_HI",
16533 "type_ref": "CB_PERFCOUNTER0_HI"
16534 },
16535 {
16536 "chips": ["gfx10"],
16537 "map": {"at": 216064, "to": "mm"},
16538 "name": "TD_PERFCOUNTER0_LO",
16539 "type_ref": "CB_PERFCOUNTER0_LO"
16540 },
16541 {
16542 "chips": ["gfx10"],
16543 "map": {"at": 224256, "to": "mm"},
16544 "name": "TD_PERFCOUNTER0_SELECT",
16545 "type_ref": "TD_PERFCOUNTER0_SELECT"
16546 },
16547 {
16548 "chips": ["gfx10"],
16549 "map": {"at": 224260, "to": "mm"},
16550 "name": "TD_PERFCOUNTER0_SELECT1",
16551 "type_ref": "TD_PERFCOUNTER0_SELECT1"
16552 },
16553 {
16554 "chips": ["gfx10"],
16555 "map": {"at": 216076, "to": "mm"},
16556 "name": "TD_PERFCOUNTER1_HI",
16557 "type_ref": "CB_PERFCOUNTER0_HI"
16558 },
16559 {
16560 "chips": ["gfx10"],
16561 "map": {"at": 216072, "to": "mm"},
16562 "name": "TD_PERFCOUNTER1_LO",
16563 "type_ref": "CB_PERFCOUNTER0_LO"
16564 },
16565 {
16566 "chips": ["gfx10"],
16567 "map": {"at": 224264, "to": "mm"},
16568 "name": "TD_PERFCOUNTER1_SELECT",
16569 "type_ref": "TD_PERFCOUNTER1_SELECT"
16570 },
16571 {
16572 "chips": ["gfx10"],
16573 "map": {"at": 218228, "to": "mm"},
16574 "name": "UTCL1_PERFCOUNTER0_HI",
16575 "type_ref": "CB_PERFCOUNTER0_HI"
16576 },
16577 {
16578 "chips": ["gfx10"],
16579 "map": {"at": 218224, "to": "mm"},
16580 "name": "UTCL1_PERFCOUNTER0_LO",
16581 "type_ref": "CB_PERFCOUNTER0_LO"
16582 },
16583 {
16584 "chips": ["gfx10"],
16585 "map": {"at": 226700, "to": "mm"},
16586 "name": "UTCL1_PERFCOUNTER0_SELECT",
16587 "type_ref": "UTCL1_PERFCOUNTER0_SELECT"
16588 },
16589 {
16590 "chips": ["gfx10"],
16591 "map": {"at": 218236, "to": "mm"},
16592 "name": "UTCL1_PERFCOUNTER1_HI",
16593 "type_ref": "CB_PERFCOUNTER0_HI"
16594 },
16595 {
16596 "chips": ["gfx10"],
16597 "map": {"at": 218232, "to": "mm"},
16598 "name": "UTCL1_PERFCOUNTER1_LO",
16599 "type_ref": "CB_PERFCOUNTER0_LO"
16600 },
16601 {
16602 "chips": ["gfx10"],
16603 "map": {"at": 226704, "to": "mm"},
16604 "name": "UTCL1_PERFCOUNTER1_SELECT",
16605 "type_ref": "UTCL1_PERFCOUNTER0_SELECT"
16606 },
16607 {
16608 "chips": ["gfx10"],
16609 "map": {"at": 166772, "to": "mm"},
16610 "name": "VGT_DISPATCH_DRAW_INDEX",
16611 "type_ref": "VGT_DISPATCH_DRAW_INDEX"
16612 },
16613 {
16614 "chips": ["gfx10"],
16615 "map": {"at": 165864, "to": "mm"},
16616 "name": "VGT_DMA_BASE",
16617 "type_ref": "CP_HQD_EOP_BASE_ADDR"
16618 },
16619 {
16620 "chips": ["gfx10"],
16621 "map": {"at": 165860, "to": "mm"},
16622 "name": "VGT_DMA_BASE_HI",
16623 "type_ref": "VGT_DMA_BASE_HI"
16624 },
16625 {
16626 "chips": ["gfx10"],
16627 "map": {"at": 166812, "to": "mm"},
16628 "name": "VGT_DMA_EVENT_INITIATOR",
16629 "type_ref": "VGT_EVENT_INITIATOR"
16630 },
16631 {
16632 "chips": ["gfx10"],
16633 "map": {"at": 166524, "to": "mm"},
16634 "name": "VGT_DMA_INDEX_TYPE",
16635 "type_ref": "VGT_DMA_INDEX_TYPE"
16636 },
16637 {
16638 "chips": ["gfx10"],
16639 "map": {"at": 166520, "to": "mm"},
16640 "name": "VGT_DMA_MAX_SIZE",
16641 "type_ref": "VGT_DMA_MAX_SIZE"
16642 },
16643 {
16644 "chips": ["gfx10"],
16645 "map": {"at": 166536, "to": "mm"},
16646 "name": "VGT_DMA_NUM_INSTANCES",
16647 "type_ref": "VGT_DMA_NUM_INSTANCES"
16648 },
16649 {
16650 "chips": ["gfx10"],
16651 "map": {"at": 166516, "to": "mm"},
16652 "name": "VGT_DMA_SIZE",
16653 "type_ref": "VGT_DMA_SIZE"
16654 },
16655 {
16656 "chips": ["gfx10"],
16657 "map": {"at": 165872, "to": "mm"},
16658 "name": "VGT_DRAW_INITIATOR",
16659 "type_ref": "VGT_DRAW_INITIATOR"
16660 },
16661 {
16662 "chips": ["gfx10"],
16663 "map": {"at": 166552, "to": "mm"},
16664 "name": "VGT_DRAW_PAYLOAD_CNTL",
16665 "type_ref": "VGT_DRAW_PAYLOAD_CNTL"
16666 },
16667 {
16668 "chips": ["gfx10"],
16669 "map": {"at": 166480, "to": "mm"},
16670 "name": "VGT_ENHANCE",
16671 "type_ref": "IA_ENHANCE"
16672 },
16673 {
16674 "chips": ["gfx10"],
16675 "map": {"at": 166572, "to": "mm"},
16676 "name": "VGT_ESGS_RING_ITEMSIZE",
16677 "type_ref": "VGT_ESGS_RING_ITEMSIZE"
16678 },
16679 {
16680 "chips": ["gfx10"],
16681 "map": {"at": 198912, "to": "mm"},
16682 "name": "VGT_ESGS_RING_SIZE_UMD",
16683 "type_ref": "VGT_ESGS_RING_SIZE"
16684 },
16685 {
16686 "chips": ["gfx10"],
16687 "map": {"at": 166488, "to": "mm"},
16688 "name": "VGT_ES_PER_GS",
16689 "type_ref": "VGT_ES_PER_GS"
16690 },
16691 {
16692 "chips": ["gfx10"],
16693 "map": {"at": 165880, "to": "mm"},
16694 "name": "VGT_EVENT_ADDRESS_REG",
16695 "type_ref": "VGT_EVENT_ADDRESS_REG"
16696 },
16697 {
16698 "chips": ["gfx10"],
16699 "map": {"at": 166544, "to": "mm"},
16700 "name": "VGT_EVENT_INITIATOR",
16701 "type_ref": "VGT_EVENT_INITIATOR"
16702 },
16703 {
16704 "chips": ["gfx10"],
16705 "map": {"at": 166576, "to": "mm"},
16706 "name": "VGT_GSVS_RING_ITEMSIZE",
16707 "type_ref": "VGT_ESGS_RING_ITEMSIZE"
16708 },
16709 {
16710 "chips": ["gfx10"],
16711 "map": {"at": 166496, "to": "mm"},
16712 "name": "VGT_GSVS_RING_OFFSET_1",
16713 "type_ref": "VGT_GSVS_RING_OFFSET_1"
16714 },
16715 {
16716 "chips": ["gfx10"],
16717 "map": {"at": 166500, "to": "mm"},
16718 "name": "VGT_GSVS_RING_OFFSET_2",
16719 "type_ref": "VGT_GSVS_RING_OFFSET_1"
16720 },
16721 {
16722 "chips": ["gfx10"],
16723 "map": {"at": 166504, "to": "mm"},
16724 "name": "VGT_GSVS_RING_OFFSET_3",
16725 "type_ref": "VGT_GSVS_RING_OFFSET_1"
16726 },
16727 {
16728 "chips": ["gfx10"],
16729 "map": {"at": 198916, "to": "mm"},
16730 "name": "VGT_GSVS_RING_SIZE_UMD",
16731 "type_ref": "VGT_ESGS_RING_SIZE"
16732 },
16733 {
16734 "chips": ["gfx10"],
16735 "map": {"at": 166800, "to": "mm"},
16736 "name": "VGT_GS_INSTANCE_CNT",
16737 "type_ref": "VGT_GS_INSTANCE_CNT"
16738 },
16739 {
16740 "chips": ["gfx10"],
16741 "map": {"at": 166712, "to": "mm"},
16742 "name": "VGT_GS_MAX_VERT_OUT",
16743 "type_ref": "VGT_GS_MAX_VERT_OUT"
16744 },
16745 {
16746 "chips": ["gfx10"],
16747 "map": {"at": 166464, "to": "mm"},
16748 "name": "VGT_GS_MODE",
16749 "type_ref": "VGT_GS_MODE"
16750 },
16751 {
16752 "chips": ["gfx10"],
16753 "map": {"at": 166468, "to": "mm"},
16754 "name": "VGT_GS_ONCHIP_CNTL",
16755 "type_ref": "VGT_GS_ONCHIP_CNTL"
16756 },
16757 {
16758 "chips": ["gfx10"],
16759 "map": {"at": 166508, "to": "mm"},
16760 "name": "VGT_GS_OUT_PRIM_TYPE",
16761 "type_ref": "VGT_GS_OUT_PRIM_TYPE"
16762 },
16763 {
16764 "chips": ["gfx10"],
16765 "map": {"at": 166484, "to": "mm"},
16766 "name": "VGT_GS_PER_ES",
16767 "type_ref": "VGT_GS_PER_ES"
16768 },
16769 {
16770 "chips": ["gfx10"],
16771 "map": {"at": 166492, "to": "mm"},
16772 "name": "VGT_GS_PER_VS",
16773 "type_ref": "VGT_GS_PER_VS"
16774 },
16775 {
16776 "chips": ["gfx10"],
16777 "map": {"at": 166748, "to": "mm"},
16778 "name": "VGT_GS_VERT_ITEMSIZE",
16779 "type_ref": "VGT_ESGS_RING_ITEMSIZE"
16780 },
16781 {
16782 "chips": ["gfx10"],
16783 "map": {"at": 166752, "to": "mm"},
16784 "name": "VGT_GS_VERT_ITEMSIZE_1",
16785 "type_ref": "VGT_ESGS_RING_ITEMSIZE"
16786 },
16787 {
16788 "chips": ["gfx10"],
16789 "map": {"at": 166756, "to": "mm"},
16790 "name": "VGT_GS_VERT_ITEMSIZE_2",
16791 "type_ref": "VGT_ESGS_RING_ITEMSIZE"
16792 },
16793 {
16794 "chips": ["gfx10"],
16795 "map": {"at": 166760, "to": "mm"},
16796 "name": "VGT_GS_VERT_ITEMSIZE_3",
16797 "type_ref": "VGT_ESGS_RING_ITEMSIZE"
16798 },
16799 {
16800 "chips": ["gfx10"],
16801 "map": {"at": 166424, "to": "mm"},
16802 "name": "VGT_HOS_MAX_TESS_LEVEL",
16803 "type_ref": "VGT_HOS_MAX_TESS_LEVEL"
16804 },
16805 {
16806 "chips": ["gfx10"],
16807 "map": {"at": 166428, "to": "mm"},
16808 "name": "VGT_HOS_MIN_TESS_LEVEL",
16809 "type_ref": "VGT_HOS_MIN_TESS_LEVEL"
16810 },
16811 {
16812 "chips": ["gfx10"],
16813 "map": {"at": 198972, "to": "mm"},
16814 "name": "VGT_HS_OFFCHIP_PARAM_UMD",
16815 "type_ref": "VGT_HS_OFFCHIP_PARAM_UMD"
16816 },
16817 {
16818 "chips": ["gfx10"],
16819 "map": {"at": 165876, "to": "mm"},
16820 "name": "VGT_IMMED_DATA",
16821 "type_ref": "COMPUTE_PGM_LO"
16822 },
16823 {
16824 "chips": ["gfx10"],
16825 "map": {"at": 198924, "to": "mm"},
16826 "name": "VGT_INDEX_TYPE",
16827 "type_ref": "CP_INDEX_TYPE"
16828 },
16829 {
16830 "chips": ["gfx10"],
16831 "map": {"at": 164872, "to": "mm"},
16832 "name": "VGT_INDX_OFFSET",
16833 "type_ref": "GE_INDX_OFFSET"
16834 },
16835 {
16836 "chips": ["gfx10"],
16837 "map": {"at": 199016, "to": "mm"},
16838 "name": "VGT_INSTANCE_BASE_ID",
16839 "type_ref": "VGT_INSTANCE_BASE_ID"
16840 },
16841 {
16842 "chips": ["gfx10"],
16843 "map": {"at": 166560, "to": "mm"},
16844 "name": "VGT_INSTANCE_STEP_RATE_0",
16845 "type_ref": "VGT_INSTANCE_STEP_RATE_0"
16846 },
16847 {
16848 "chips": ["gfx10"],
16849 "map": {"at": 166564, "to": "mm"},
16850 "name": "VGT_INSTANCE_STEP_RATE_1",
16851 "type_ref": "VGT_INSTANCE_STEP_RATE_0"
16852 },
16853 {
16854 "chips": ["gfx10"],
16855 "map": {"at": 166744, "to": "mm"},
16856 "name": "VGT_LS_HS_CONFIG",
16857 "type_ref": "VGT_LS_HS_CONFIG"
16858 },
16859 {
16860 "chips": ["gfx10"],
16861 "map": {"at": 164864, "to": "mm"},
16862 "name": "VGT_MAX_VTX_INDX",
16863 "type_ref": "GE_MAX_VTX_INDX"
16864 },
16865 {
16866 "chips": ["gfx10"],
16867 "map": {"at": 164868, "to": "mm"},
16868 "name": "VGT_MIN_VTX_INDX",
16869 "type_ref": "GE_MIN_VTX_INDX"
16870 },
16871 {
16872 "chips": ["gfx10"],
16873 "map": {"at": 166548, "to": "mm"},
16874 "name": "VGT_MULTI_PRIM_IB_RESET_EN",
16875 "type_ref": "GE_MULTI_PRIM_IB_RESET_EN"
16876 },
16877 {
16878 "chips": ["gfx10"],
16879 "map": {"at": 164876, "to": "mm"},
16880 "name": "VGT_MULTI_PRIM_IB_RESET_INDX",
16881 "type_ref": "VGT_MULTI_PRIM_IB_RESET_INDX"
16882 },
16883 {
16884 "chips": ["gfx10"],
16885 "map": {"at": 198960, "to": "mm"},
16886 "name": "VGT_NUM_INDICES",
16887 "type_ref": "VGT_DMA_SIZE"
16888 },
16889 {
16890 "chips": ["gfx10"],
16891 "map": {"at": 198964, "to": "mm"},
16892 "name": "VGT_NUM_INSTANCES",
16893 "type_ref": "VGT_DMA_NUM_INSTANCES"
16894 },
16895 {
16896 "chips": ["gfx10"],
16897 "map": {"at": 166416, "to": "mm"},
16898 "name": "VGT_OUTPUT_PATH_CNTL",
16899 "type_ref": "VGT_OUTPUT_PATH_CNTL"
16900 },
16901 {
16902 "chips": ["gfx10"],
16903 "map": {"at": 167004, "to": "mm"},
16904 "name": "VGT_OUT_DEALLOC_CNTL",
16905 "type_ref": "VGT_OUT_DEALLOC_CNTL"
16906 },
16907 {
16908 "chips": ["gfx10"],
16909 "map": {"at": 166532, "to": "mm"},
16910 "name": "VGT_PRIMITIVEID_EN",
16911 "type_ref": "VGT_PRIMITIVEID_EN"
16912 },
16913 {
16914 "chips": ["gfx10"],
16915 "map": {"at": 166540, "to": "mm"},
16916 "name": "VGT_PRIMITIVEID_RESET",
16917 "type_ref": "VGT_PRIMITIVEID_RESET"
16918 },
16919 {
16920 "chips": ["gfx10"],
16921 "map": {"at": 198920, "to": "mm"},
16922 "name": "VGT_PRIMITIVE_TYPE",
16923 "type_ref": "VGT_PRIMITIVE_TYPE"
16924 },
16925 {
16926 "chips": ["gfx10"],
16927 "map": {"at": 166580, "to": "mm"},
16928 "name": "VGT_REUSE_OFF",
16929 "type_ref": "VGT_REUSE_OFF"
16930 },
16931 {
16932 "chips": ["gfx10"],
16933 "map": {"at": 166740, "to": "mm"},
16934 "name": "VGT_SHADER_STAGES_EN",
16935 "type_ref": "VGT_SHADER_STAGES_EN"
16936 },
16937 {
16938 "chips": ["gfx10"],
16939 "map": {"at": 166808, "to": "mm"},
16940 "name": "VGT_STRMOUT_BUFFER_CONFIG",
16941 "type_ref": "VGT_STRMOUT_BUFFER_CONFIG"
16942 },
16943 {
16944 "chips": ["gfx10"],
16945 "map": {"at": 198928, "to": "mm"},
16946 "name": "VGT_STRMOUT_BUFFER_FILLED_SIZE_0",
16947 "type_ref": "COMPUTE_DIM_X"
16948 },
16949 {
16950 "chips": ["gfx10"],
16951 "map": {"at": 198932, "to": "mm"},
16952 "name": "VGT_STRMOUT_BUFFER_FILLED_SIZE_1",
16953 "type_ref": "COMPUTE_DIM_X"
16954 },
16955 {
16956 "chips": ["gfx10"],
16957 "map": {"at": 198936, "to": "mm"},
16958 "name": "VGT_STRMOUT_BUFFER_FILLED_SIZE_2",
16959 "type_ref": "COMPUTE_DIM_X"
16960 },
16961 {
16962 "chips": ["gfx10"],
16963 "map": {"at": 198940, "to": "mm"},
16964 "name": "VGT_STRMOUT_BUFFER_FILLED_SIZE_3",
16965 "type_ref": "COMPUTE_DIM_X"
16966 },
16967 {
16968 "chips": ["gfx10"],
16969 "map": {"at": 166620, "to": "mm"},
16970 "name": "VGT_STRMOUT_BUFFER_OFFSET_0",
16971 "type_ref": "CP_HQD_PQ_WPTR_LO"
16972 },
16973 {
16974 "chips": ["gfx10"],
16975 "map": {"at": 166636, "to": "mm"},
16976 "name": "VGT_STRMOUT_BUFFER_OFFSET_1",
16977 "type_ref": "CP_HQD_PQ_WPTR_LO"
16978 },
16979 {
16980 "chips": ["gfx10"],
16981 "map": {"at": 166652, "to": "mm"},
16982 "name": "VGT_STRMOUT_BUFFER_OFFSET_2",
16983 "type_ref": "CP_HQD_PQ_WPTR_LO"
16984 },
16985 {
16986 "chips": ["gfx10"],
16987 "map": {"at": 166668, "to": "mm"},
16988 "name": "VGT_STRMOUT_BUFFER_OFFSET_3",
16989 "type_ref": "CP_HQD_PQ_WPTR_LO"
16990 },
16991 {
16992 "chips": ["gfx10"],
16993 "map": {"at": 166608, "to": "mm"},
16994 "name": "VGT_STRMOUT_BUFFER_SIZE_0",
16995 "type_ref": "COMPUTE_DIM_X"
16996 },
16997 {
16998 "chips": ["gfx10"],
16999 "map": {"at": 166624, "to": "mm"},
17000 "name": "VGT_STRMOUT_BUFFER_SIZE_1",
17001 "type_ref": "COMPUTE_DIM_X"
17002 },
17003 {
17004 "chips": ["gfx10"],
17005 "map": {"at": 166640, "to": "mm"},
17006 "name": "VGT_STRMOUT_BUFFER_SIZE_2",
17007 "type_ref": "COMPUTE_DIM_X"
17008 },
17009 {
17010 "chips": ["gfx10"],
17011 "map": {"at": 166656, "to": "mm"},
17012 "name": "VGT_STRMOUT_BUFFER_SIZE_3",
17013 "type_ref": "COMPUTE_DIM_X"
17014 },
17015 {
17016 "chips": ["gfx10"],
17017 "map": {"at": 166804, "to": "mm"},
17018 "name": "VGT_STRMOUT_CONFIG",
17019 "type_ref": "VGT_STRMOUT_CONFIG"
17020 },
17021 {
17022 "chips": ["gfx10"],
17023 "map": {"at": 166700, "to": "mm"},
17024 "name": "VGT_STRMOUT_DRAW_OPAQUE_BUFFER_FILLED_SIZE",
17025 "type_ref": "COMPUTE_DIM_X"
17026 },
17027 {
17028 "chips": ["gfx10"],
17029 "map": {"at": 166696, "to": "mm"},
17030 "name": "VGT_STRMOUT_DRAW_OPAQUE_OFFSET",
17031 "type_ref": "CP_HQD_PQ_WPTR_LO"
17032 },
17033 {
17034 "chips": ["gfx10"],
17035 "map": {"at": 166704, "to": "mm"},
17036 "name": "VGT_STRMOUT_DRAW_OPAQUE_VERTEX_STRIDE",
17037 "type_ref": "VGT_STRMOUT_DRAW_OPAQUE_VERTEX_STRIDE"
17038 },
17039 {
17040 "chips": ["gfx10"],
17041 "map": {"at": 166612, "to": "mm"},
17042 "name": "VGT_STRMOUT_VTX_STRIDE_0",
17043 "type_ref": "VGT_STRMOUT_VTX_STRIDE_0"
17044 },
17045 {
17046 "chips": ["gfx10"],
17047 "map": {"at": 166628, "to": "mm"},
17048 "name": "VGT_STRMOUT_VTX_STRIDE_1",
17049 "type_ref": "VGT_STRMOUT_VTX_STRIDE_0"
17050 },
17051 {
17052 "chips": ["gfx10"],
17053 "map": {"at": 166644, "to": "mm"},
17054 "name": "VGT_STRMOUT_VTX_STRIDE_2",
17055 "type_ref": "VGT_STRMOUT_VTX_STRIDE_0"
17056 },
17057 {
17058 "chips": ["gfx10"],
17059 "map": {"at": 166660, "to": "mm"},
17060 "name": "VGT_STRMOUT_VTX_STRIDE_3",
17061 "type_ref": "VGT_STRMOUT_VTX_STRIDE_0"
17062 },
17063 {
17064 "chips": ["gfx10"],
17065 "map": {"at": 166736, "to": "mm"},
17066 "name": "VGT_TESS_DISTRIBUTION",
17067 "type_ref": "VGT_TESS_DISTRIBUTION"
17068 },
17069 {
17070 "chips": ["gfx10"],
17071 "map": {"at": 199044, "to": "mm"},
17072 "name": "VGT_TF_MEMORY_BASE_HI_UMD",
17073 "type_ref": "DB_Z_READ_BASE_HI"
17074 },
17075 {
17076 "chips": ["gfx10"],
17077 "map": {"at": 198976, "to": "mm"},
17078 "name": "VGT_TF_MEMORY_BASE_UMD",
17079 "type_ref": "VGT_TF_MEMORY_BASE"
17080 },
17081 {
17082 "chips": ["gfx10"],
17083 "map": {"at": 166764, "to": "mm"},
17084 "name": "VGT_TF_PARAM",
17085 "type_ref": "VGT_TF_PARAM"
17086 },
17087 {
17088 "chips": ["gfx10"],
17089 "map": {"at": 198968, "to": "mm"},
17090 "name": "VGT_TF_RING_SIZE_UMD",
17091 "type_ref": "VGT_TF_RING_SIZE"
17092 },
17093 {
17094 "chips": ["gfx10"],
17095 "map": {"at": 167000, "to": "mm"},
17096 "name": "VGT_VERTEX_REUSE_BLOCK_CNTL",
17097 "type_ref": "VGT_VERTEX_REUSE_BLOCK_CNTL"
17098 },
17099 {
17100 "chips": ["gfx10"],
17101 "map": {"at": 166584, "to": "mm"},
17102 "name": "VGT_VTX_CNT_EN",
17103 "type_ref": "VGT_VTX_CNT_EN"
17104 },
17105 {
17106 "chips": ["gfx10"],
17107 "map": {"at": 198992, "to": "mm"},
17108 "name": "WD_CNTL_SB_BUF_BASE",
17109 "type_ref": "VGT_TF_MEMORY_BASE"
17110 },
17111 {
17112 "chips": ["gfx10"],
17113 "map": {"at": 198996, "to": "mm"},
17114 "name": "WD_CNTL_SB_BUF_BASE_HI",
17115 "type_ref": "DB_Z_READ_BASE_HI"
17116 },
17117 {
17118 "chips": ["gfx10"],
17119 "map": {"at": 166528, "to": "mm"},
17120 "name": "WD_ENHANCE",
17121 "type_ref": "IA_ENHANCE"
17122 },
17123 {
17124 "chips": ["gfx10"],
17125 "map": {"at": 199000, "to": "mm"},
17126 "name": "WD_INDEX_BUF_BASE",
17127 "type_ref": "VGT_TF_MEMORY_BASE"
17128 },
17129 {
17130 "chips": ["gfx10"],
17131 "map": {"at": 199004, "to": "mm"},
17132 "name": "WD_INDEX_BUF_BASE_HI",
17133 "type_ref": "DB_Z_READ_BASE_HI"
17134 },
17135 {
17136 "chips": ["gfx10"],
17137 "map": {"at": 198984, "to": "mm"},
17138 "name": "WD_POS_BUF_BASE",
17139 "type_ref": "VGT_TF_MEMORY_BASE"
17140 },
17141 {
17142 "chips": ["gfx10"],
17143 "map": {"at": 198988, "to": "mm"},
17144 "name": "WD_POS_BUF_BASE_HI",
17145 "type_ref": "DB_Z_READ_BASE_HI"
17146 }
17147 ],
17148 "register_types": {
17149 "BCI_DEBUG_READ": {
17150 "fields": [
17151 {"bits": [0, 23], "name": "DATA"}
17152 ]
17153 },
17154 "CB_BLEND0_CONTROL": {
17155 "fields": [
17156 {"bits": [0, 4], "enum_ref": "BlendOp", "name": "COLOR_SRCBLEND"},
17157 {"bits": [5, 7], "enum_ref": "CombFunc", "name": "COLOR_COMB_FCN"},
17158 {"bits": [8, 12], "enum_ref": "BlendOp", "name": "COLOR_DESTBLEND"},
17159 {"bits": [16, 20], "enum_ref": "BlendOp", "name": "ALPHA_SRCBLEND"},
17160 {"bits": [21, 23], "enum_ref": "CombFunc", "name": "ALPHA_COMB_FCN"},
17161 {"bits": [24, 28], "enum_ref": "BlendOp", "name": "ALPHA_DESTBLEND"},
17162 {"bits": [29, 29], "name": "SEPARATE_ALPHA_BLEND"},
17163 {"bits": [30, 30], "name": "ENABLE"},
17164 {"bits": [31, 31], "name": "DISABLE_ROP3"}
17165 ]
17166 },
17167 "CB_BLEND_ALPHA": {
17168 "fields": [
17169 {"bits": [0, 31], "name": "BLEND_ALPHA"}
17170 ]
17171 },
17172 "CB_BLEND_BLUE": {
17173 "fields": [
17174 {"bits": [0, 31], "name": "BLEND_BLUE"}
17175 ]
17176 },
17177 "CB_BLEND_GREEN": {
17178 "fields": [
17179 {"bits": [0, 31], "name": "BLEND_GREEN"}
17180 ]
17181 },
17182 "CB_BLEND_RED": {
17183 "fields": [
17184 {"bits": [0, 31], "name": "BLEND_RED"}
17185 ]
17186 },
17187 "CB_COLOR0_ATTRIB": {
17188 "fields": [
17189 {"bits": [0, 4], "name": "TILE_MODE_INDEX"},
17190 {"bits": [5, 9], "name": "FMASK_TILE_MODE_INDEX"},
17191 {"bits": [10, 11], "name": "FMASK_BANK_HEIGHT"},
17192 {"bits": [12, 14], "name": "NUM_SAMPLES"},
17193 {"bits": [15, 16], "name": "NUM_FRAGMENTS"},
17194 {"bits": [17, 17], "name": "FORCE_DST_ALPHA_1"},
17195 {"bits": [18, 18], "name": "DISABLE_FMASK_NOFETCH_OPT"},
17196 {"bits": [19, 19], "name": "LIMIT_COLOR_FETCH_TO_256B_MAX"}
17197 ]
17198 },
17199 "CB_COLOR0_ATTRIB2": {
17200 "fields": [
17201 {"bits": [0, 13], "name": "MIP0_HEIGHT"},
17202 {"bits": [14, 27], "name": "MIP0_WIDTH"},
17203 {"bits": [28, 31], "name": "MAX_MIP"}
17204 ]
17205 },
17206 "CB_COLOR0_ATTRIB3": {
17207 "fields": [
17208 {"bits": [0, 12], "name": "MIP0_DEPTH"},
17209 {"bits": [13, 13], "name": "META_LINEAR"},
17210 {"bits": [14, 18], "name": "COLOR_SW_MODE"},
17211 {"bits": [19, 23], "name": "FMASK_SW_MODE"},
17212 {"bits": [24, 25], "name": "RESOURCE_TYPE"},
17213 {"bits": [26, 26], "name": "CMASK_PIPE_ALIGNED"},
17214 {"bits": [27, 29], "name": "RESOURCE_LEVEL"},
17215 {"bits": [30, 30], "name": "DCC_PIPE_ALIGNED"}
17216 ]
17217 },
17218 "CB_COLOR0_BASE": {
17219 "fields": [
17220 {"bits": [0, 31], "name": "BASE_256B"}
17221 ]
17222 },
17223 "CB_COLOR0_BASE_EXT": {
17224 "fields": [
17225 {"bits": [0, 7], "name": "BASE_256B"}
17226 ]
17227 },
17228 "CB_COLOR0_CLEAR_WORD0": {
17229 "fields": [
17230 {"bits": [0, 31], "name": "CLEAR_WORD0"}
17231 ]
17232 },
17233 "CB_COLOR0_CLEAR_WORD1": {
17234 "fields": [
17235 {"bits": [0, 31], "name": "CLEAR_WORD1"}
17236 ]
17237 },
17238 "CB_COLOR0_DCC_CONTROL": {
17239 "fields": [
17240 {"bits": [0, 0], "name": "OVERWRITE_COMBINER_DISABLE"},
17241 {"bits": [1, 1], "name": "KEY_CLEAR_ENABLE"},
17242 {"bits": [2, 3], "name": "MAX_UNCOMPRESSED_BLOCK_SIZE"},
17243 {"bits": [4, 4], "name": "MIN_COMPRESSED_BLOCK_SIZE"},
17244 {"bits": [5, 6], "name": "MAX_COMPRESSED_BLOCK_SIZE"},
17245 {"bits": [7, 8], "name": "COLOR_TRANSFORM"},
17246 {"bits": [9, 9], "name": "INDEPENDENT_64B_BLOCKS"},
17247 {"bits": [10, 13], "name": "LOSSY_RGB_PRECISION"},
17248 {"bits": [14, 17], "name": "LOSSY_ALPHA_PRECISION"},
17249 {"bits": [18, 18], "name": "DISABLE_CONSTANT_ENCODE_REG"},
17250 {"bits": [19, 19], "name": "ENABLE_CONSTANT_ENCODE_REG_WRITE"},
17251 {"bits": [20, 20], "name": "INDEPENDENT_128B_BLOCKS"}
17252 ]
17253 },
17254 "CB_COLOR0_INFO": {
17255 "fields": [
17256 {"bits": [0, 1], "name": "ENDIAN"},
17257 {"bits": [2, 6], "name": "FORMAT"},
17258 {"bits": [7, 7], "name": "LINEAR_GENERAL"},
17259 {"bits": [8, 10], "name": "NUMBER_TYPE"},
17260 {"bits": [11, 12], "name": "COMP_SWAP"},
17261 {"bits": [13, 13], "name": "FAST_CLEAR"},
17262 {"bits": [14, 14], "name": "COMPRESSION"},
17263 {"bits": [15, 15], "name": "BLEND_CLAMP"},
17264 {"bits": [16, 16], "name": "BLEND_BYPASS"},
17265 {"bits": [17, 17], "name": "SIMPLE_FLOAT"},
17266 {"bits": [18, 18], "name": "ROUND_MODE"},
17267 {"bits": [19, 19], "name": "CMASK_IS_LINEAR"},
17268 {"bits": [20, 22], "enum_ref": "BlendOpt", "name": "BLEND_OPT_DONT_RD_DST"},
17269 {"bits": [23, 25], "enum_ref": "BlendOpt", "name": "BLEND_OPT_DISCARD_PIXEL"},
17270 {"bits": [26, 26], "name": "FMASK_COMPRESSION_DISABLE"},
17271 {"bits": [27, 27], "name": "FMASK_COMPRESS_1FRAG_ONLY"},
17272 {"bits": [28, 28], "name": "DCC_ENABLE"},
17273 {"bits": [29, 30], "enum_ref": "CmaskAddr", "name": "CMASK_ADDR_TYPE"},
17274 {"bits": [31, 31], "name": "ALT_TILE_MODE"}
17275 ]
17276 },
17277 "CB_COLOR0_VIEW": {
17278 "fields": [
17279 {"bits": [0, 12], "name": "SLICE_START"},
17280 {"bits": [13, 25], "name": "SLICE_MAX"},
17281 {"bits": [26, 29], "name": "MIP_LEVEL"}
17282 ]
17283 },
17284 "CB_COLOR_CONTROL": {
17285 "fields": [
17286 {"bits": [0, 0], "name": "DISABLE_DUAL_QUAD"},
17287 {"bits": [3, 3], "name": "DEGAMMA_ENABLE"},
17288 {"bits": [4, 6], "enum_ref": "CBMode", "name": "MODE"},
17289 {"bits": [16, 23], "name": "ROP3"}
17290 ]
17291 },
17292 "CB_COVERAGE_OUT_CONTROL": {
17293 "fields": [
17294 {"bits": [0, 0], "name": "COVERAGE_OUT_ENABLE"},
17295 {"bits": [1, 3], "name": "COVERAGE_OUT_MRT"},
17296 {"bits": [4, 5], "name": "COVERAGE_OUT_CHANNEL"},
17297 {"bits": [8, 11], "name": "COVERAGE_OUT_SAMPLES"}
17298 ]
17299 },
17300 "CB_DCC_CONTROL": {
17301 "fields": [
17302 {"bits": [0, 0], "name": "OVERWRITE_COMBINER_DISABLE"},
17303 {"bits": [2, 6], "name": "OVERWRITE_COMBINER_WATERMARK"},
17304 {"bits": [8, 8], "name": "DISABLE_CONSTANT_ENCODE_AC01"},
17305 {"bits": [9, 9], "name": "DISABLE_CONSTANT_ENCODE_SINGLE"},
17306 {"bits": [10, 10], "name": "DISABLE_CONSTANT_ENCODE_REG"},
17307 {"bits": [12, 12], "name": "DISABLE_ELIMFC_SKIP_OF_AC01"},
17308 {"bits": [13, 13], "name": "DISABLE_ELIMFC_SKIP_OF_SINGLE"},
17309 {"bits": [14, 14], "name": "ENABLE_ELIMFC_SKIP_OF_REG"}
17310 ]
17311 },
17312 "CB_PERFCOUNTER0_HI": {
17313 "fields": [
17314 {"bits": [0, 31], "name": "PERFCOUNTER_HI"}
17315 ]
17316 },
17317 "CB_PERFCOUNTER0_LO": {
17318 "fields": [
17319 {"bits": [0, 31], "name": "PERFCOUNTER_LO"}
17320 ]
17321 },
17322 "CB_PERFCOUNTER0_SELECT": {
17323 "fields": [
17324 {"bits": [0, 8], "enum_ref": "CBPerfSel", "name": "PERF_SEL"},
17325 {"bits": [10, 18], "enum_ref": "CBPerfSel", "name": "PERF_SEL1"},
17326 {"bits": [20, 23], "name": "CNTR_MODE"},
17327 {"bits": [24, 27], "name": "PERF_MODE1"},
17328 {"bits": [28, 31], "name": "PERF_MODE"}
17329 ]
17330 },
17331 "CB_PERFCOUNTER0_SELECT1": {
17332 "fields": [
17333 {"bits": [0, 8], "enum_ref": "CBPerfSel", "name": "PERF_SEL2"},
17334 {"bits": [10, 18], "enum_ref": "CBPerfSel", "name": "PERF_SEL3"},
17335 {"bits": [24, 27], "name": "PERF_MODE3"},
17336 {"bits": [28, 31], "name": "PERF_MODE2"}
17337 ]
17338 },
17339 "CB_PERFCOUNTER1_SELECT": {
17340 "fields": [
17341 {"bits": [0, 8], "enum_ref": "CBPerfSel", "name": "PERF_SEL"},
17342 {"bits": [28, 31], "name": "PERF_MODE"}
17343 ]
17344 },
17345 "CB_PERFCOUNTER_FILTER": {
17346 "fields": [
17347 {"bits": [0, 0], "name": "OP_FILTER_ENABLE"},
17348 {"bits": [1, 3], "enum_ref": "CBPerfOpFilterSel", "name": "OP_FILTER_SEL"},
17349 {"bits": [4, 4], "name": "FORMAT_FILTER_ENABLE"},
17350 {"bits": [5, 9], "name": "FORMAT_FILTER_SEL"},
17351 {"bits": [10, 10], "name": "CLEAR_FILTER_ENABLE"},
17352 {"bits": [11, 11], "enum_ref": "CBPerfClearFilterSel", "name": "CLEAR_FILTER_SEL"},
17353 {"bits": [12, 12], "name": "MRT_FILTER_ENABLE"},
17354 {"bits": [13, 15], "name": "MRT_FILTER_SEL"},
17355 {"bits": [17, 17], "name": "NUM_SAMPLES_FILTER_ENABLE"},
17356 {"bits": [18, 20], "name": "NUM_SAMPLES_FILTER_SEL"},
17357 {"bits": [21, 21], "name": "NUM_FRAGMENTS_FILTER_ENABLE"},
17358 {"bits": [22, 23], "name": "NUM_FRAGMENTS_FILTER_SEL"}
17359 ]
17360 },
17361 "CB_RMI_GL2_CACHE_CONTROL": {
17362 "fields": [
17363 {"bits": [0, 1], "enum_ref": "WritePolicy", "name": "CMASK_WR_POLICY"},
17364 {"bits": [2, 3], "enum_ref": "WritePolicy", "name": "FMASK_WR_POLICY"},
17365 {"bits": [4, 5], "enum_ref": "WritePolicy", "name": "DCC_WR_POLICY"},
17366 {"bits": [6, 7], "enum_ref": "WritePolicy", "name": "COLOR_WR_POLICY"},
17367 {"bits": [16, 17], "enum_ref": "ReadPolicy", "name": "CMASK_RD_POLICY"},
17368 {"bits": [18, 19], "enum_ref": "ReadPolicy", "name": "FMASK_RD_POLICY"},
17369 {"bits": [20, 21], "enum_ref": "ReadPolicy", "name": "DCC_RD_POLICY"},
17370 {"bits": [22, 23], "enum_ref": "ReadPolicy", "name": "COLOR_RD_POLICY"},
17371 {"bits": [30, 30], "name": "FMASK_BIG_PAGE"},
17372 {"bits": [31, 31], "name": "COLOR_BIG_PAGE"}
17373 ]
17374 },
17375 "CB_SHADER_MASK": {
17376 "fields": [
17377 {"bits": [0, 3], "name": "OUTPUT0_ENABLE"},
17378 {"bits": [4, 7], "name": "OUTPUT1_ENABLE"},
17379 {"bits": [8, 11], "name": "OUTPUT2_ENABLE"},
17380 {"bits": [12, 15], "name": "OUTPUT3_ENABLE"},
17381 {"bits": [16, 19], "name": "OUTPUT4_ENABLE"},
17382 {"bits": [20, 23], "name": "OUTPUT5_ENABLE"},
17383 {"bits": [24, 27], "name": "OUTPUT6_ENABLE"},
17384 {"bits": [28, 31], "name": "OUTPUT7_ENABLE"}
17385 ]
17386 },
17387 "CB_TARGET_MASK": {
17388 "fields": [
17389 {"bits": [0, 3], "name": "TARGET0_ENABLE"},
17390 {"bits": [4, 7], "name": "TARGET1_ENABLE"},
17391 {"bits": [8, 11], "name": "TARGET2_ENABLE"},
17392 {"bits": [12, 15], "name": "TARGET3_ENABLE"},
17393 {"bits": [16, 19], "name": "TARGET4_ENABLE"},
17394 {"bits": [20, 23], "name": "TARGET5_ENABLE"},
17395 {"bits": [24, 27], "name": "TARGET6_ENABLE"},
17396 {"bits": [28, 31], "name": "TARGET7_ENABLE"}
17397 ]
17398 },
17399 "CHA_PERFCOUNTER0_SELECT": {
17400 "fields": [
17401 {"bits": [0, 9], "enum_ref": "CHA_PERF_SEL", "name": "PERF_SEL"},
17402 {"bits": [10, 19], "enum_ref": "CHA_PERF_SEL", "name": "PERF_SEL1"},
17403 {"bits": [20, 23], "name": "CNTR_MODE"},
17404 {"bits": [24, 27], "name": "PERF_MODE1"},
17405 {"bits": [28, 31], "name": "PERF_MODE"}
17406 ]
17407 },
17408 "CHA_PERFCOUNTER0_SELECT1": {
17409 "fields": [
17410 {"bits": [0, 9], "enum_ref": "CHA_PERF_SEL", "name": "PERF_SEL2"},
17411 {"bits": [10, 19], "enum_ref": "CHA_PERF_SEL", "name": "PERF_SEL3"},
17412 {"bits": [24, 27], "name": "PERF_MODE2"},
17413 {"bits": [28, 31], "name": "PERF_MODE3"}
17414 ]
17415 },
17416 "CHA_PERFCOUNTER1_SELECT": {
17417 "fields": [
17418 {"bits": [0, 9], "enum_ref": "CHA_PERF_SEL", "name": "PERF_SEL"},
17419 {"bits": [20, 23], "name": "CNTR_MODE"},
17420 {"bits": [28, 31], "name": "PERF_MODE"}
17421 ]
17422 },
17423 "CHCG_PERFCOUNTER0_SELECT": {
17424 "fields": [
17425 {"bits": [0, 9], "enum_ref": "CHCG_PERF_SEL", "name": "PERF_SEL"},
17426 {"bits": [10, 19], "enum_ref": "CHCG_PERF_SEL", "name": "PERF_SEL1"},
17427 {"bits": [20, 23], "name": "CNTR_MODE"},
17428 {"bits": [24, 27], "name": "PERF_MODE1"},
17429 {"bits": [28, 31], "name": "PERF_MODE"}
17430 ]
17431 },
17432 "CHCG_PERFCOUNTER0_SELECT1": {
17433 "fields": [
17434 {"bits": [0, 9], "enum_ref": "CHCG_PERF_SEL", "name": "PERF_SEL2"},
17435 {"bits": [10, 19], "enum_ref": "CHCG_PERF_SEL", "name": "PERF_SEL3"},
17436 {"bits": [24, 27], "name": "PERF_MODE2"},
17437 {"bits": [28, 31], "name": "PERF_MODE3"}
17438 ]
17439 },
17440 "CHCG_PERFCOUNTER1_SELECT": {
17441 "fields": [
17442 {"bits": [0, 9], "enum_ref": "CHCG_PERF_SEL", "name": "PERF_SEL"},
17443 {"bits": [20, 23], "name": "CNTR_MODE"},
17444 {"bits": [28, 31], "name": "PERF_MODE"}
17445 ]
17446 },
17447 "CHC_PERFCOUNTER0_SELECT": {
17448 "fields": [
17449 {"bits": [0, 9], "enum_ref": "CHC_PERF_SEL", "name": "PERF_SEL"},
17450 {"bits": [10, 19], "enum_ref": "CHC_PERF_SEL", "name": "PERF_SEL1"},
17451 {"bits": [20, 23], "name": "CNTR_MODE"},
17452 {"bits": [24, 27], "name": "PERF_MODE1"},
17453 {"bits": [28, 31], "name": "PERF_MODE"}
17454 ]
17455 },
17456 "CHC_PERFCOUNTER0_SELECT1": {
17457 "fields": [
17458 {"bits": [0, 9], "enum_ref": "CHC_PERF_SEL", "name": "PERF_SEL2"},
17459 {"bits": [10, 19], "enum_ref": "CHC_PERF_SEL", "name": "PERF_SEL3"},
17460 {"bits": [24, 27], "name": "PERF_MODE2"},
17461 {"bits": [28, 31], "name": "PERF_MODE3"}
17462 ]
17463 },
17464 "CHC_PERFCOUNTER1_SELECT": {
17465 "fields": [
17466 {"bits": [0, 9], "enum_ref": "CHC_PERF_SEL", "name": "PERF_SEL"},
17467 {"bits": [20, 23], "name": "CNTR_MODE"},
17468 {"bits": [28, 31], "name": "PERF_MODE"}
17469 ]
17470 },
17471 "COHER_DEST_BASE_0": {
17472 "fields": [
17473 {"bits": [0, 31], "name": "DEST_BASE_256B"}
17474 ]
17475 },
17476 "COHER_DEST_BASE_HI_0": {
17477 "fields": [
17478 {"bits": [0, 7], "name": "DEST_BASE_HI_256B"}
17479 ]
17480 },
17481 "COMPUTE_DDID_INDEX": {
17482 "fields": [
17483 {"bits": [0, 10], "name": "INDEX"}
17484 ]
17485 },
17486 "COMPUTE_DESTINATION_EN_SE0": {
17487 "fields": [
17488 {"bits": [0, 31], "name": "CU_EN"}
17489 ]
17490 },
17491 "COMPUTE_DIM_X": {
17492 "fields": [
17493 {"bits": [0, 31], "name": "SIZE"}
17494 ]
17495 },
17496 "COMPUTE_DISPATCH_ID": {
17497 "fields": [
17498 {"bits": [0, 31], "name": "DISPATCH_ID"}
17499 ]
17500 },
17501 "COMPUTE_DISPATCH_INITIATOR": {
17502 "fields": [
17503 {"bits": [0, 0], "name": "COMPUTE_SHADER_EN"},
17504 {"bits": [1, 1], "name": "PARTIAL_TG_EN"},
17505 {"bits": [2, 2], "name": "FORCE_START_AT_000"},
17506 {"bits": [3, 3], "name": "ORDERED_APPEND_ENBL"},
17507 {"bits": [4, 4], "name": "ORDERED_APPEND_MODE"},
17508 {"bits": [5, 5], "name": "USE_THREAD_DIMENSIONS"},
17509 {"bits": [6, 6], "name": "ORDER_MODE"},
17510 {"bits": [10, 10], "name": "SCALAR_L1_INV_VOL"},
17511 {"bits": [11, 11], "name": "VECTOR_L1_INV_VOL"},
17512 {"bits": [12, 12], "name": "RESERVED"},
17513 {"bits": [13, 13], "name": "TUNNEL_ENABLE"},
17514 {"bits": [14, 14], "name": "RESTORE"},
17515 {"bits": [15, 15], "name": "CS_W32_EN"}
17516 ]
17517 },
17518 "COMPUTE_DISPATCH_TUNNEL": {
17519 "fields": [
17520 {"bits": [0, 9], "name": "OFF_DELAY"},
17521 {"bits": [10, 10], "name": "IMMEDIATE"}
17522 ]
17523 },
17524 "COMPUTE_MISC_RESERVED": {
17525 "fields": [
17526 {"bits": [0, 1], "name": "SEND_SEID"},
17527 {"bits": [2, 2], "name": "RESERVED2"},
17528 {"bits": [3, 3], "name": "RESERVED3"},
17529 {"bits": [4, 4], "name": "RESERVED4"},
17530 {"bits": [5, 16], "name": "WAVE_ID_BASE"}
17531 ]
17532 },
17533 "COMPUTE_NUM_THREAD_X": {
17534 "fields": [
17535 {"bits": [0, 15], "name": "NUM_THREAD_FULL"},
17536 {"bits": [16, 31], "name": "NUM_THREAD_PARTIAL"}
17537 ]
17538 },
17539 "COMPUTE_PERFCOUNT_ENABLE": {
17540 "fields": [
17541 {"bits": [0, 0], "name": "PERFCOUNT_ENABLE"}
17542 ]
17543 },
17544 "COMPUTE_PGM_HI": {
17545 "fields": [
17546 {"bits": [0, 7], "name": "DATA"}
17547 ]
17548 },
17549 "COMPUTE_PGM_LO": {
17550 "fields": [
17551 {"bits": [0, 31], "name": "DATA"}
17552 ]
17553 },
17554 "COMPUTE_PGM_RSRC1": {
17555 "fields": [
17556 {"bits": [0, 5], "name": "VGPRS"},
17557 {"bits": [6, 9], "name": "SGPRS"},
17558 {"bits": [10, 11], "name": "PRIORITY"},
17559 {"bits": [12, 19], "name": "FLOAT_MODE"},
17560 {"bits": [20, 20], "name": "PRIV"},
17561 {"bits": [21, 21], "name": "DX10_CLAMP"},
17562 {"bits": [22, 22], "name": "DEBUG_MODE"},
17563 {"bits": [23, 23], "name": "IEEE_MODE"},
17564 {"bits": [24, 24], "name": "BULKY"},
17565 {"bits": [25, 25], "name": "CDBG_USER"},
17566 {"bits": [26, 26], "name": "FP16_OVFL"},
17567 {"bits": [29, 29], "name": "WGP_MODE"},
17568 {"bits": [30, 30], "name": "MEM_ORDERED"},
17569 {"bits": [31, 31], "name": "FWD_PROGRESS"}
17570 ]
17571 },
17572 "COMPUTE_PGM_RSRC2": {
17573 "fields": [
17574 {"bits": [0, 0], "name": "SCRATCH_EN"},
17575 {"bits": [1, 5], "name": "USER_SGPR"},
17576 {"bits": [6, 6], "name": "TRAP_PRESENT"},
17577 {"bits": [7, 7], "name": "TGID_X_EN"},
17578 {"bits": [8, 8], "name": "TGID_Y_EN"},
17579 {"bits": [9, 9], "name": "TGID_Z_EN"},
17580 {"bits": [10, 10], "name": "TG_SIZE_EN"},
17581 {"bits": [11, 12], "name": "TIDIG_COMP_CNT"},
17582 {"bits": [13, 14], "name": "EXCP_EN_MSB"},
17583 {"bits": [15, 23], "name": "LDS_SIZE"},
17584 {"bits": [24, 30], "name": "EXCP_EN"}
17585 ]
17586 },
17587 "COMPUTE_PGM_RSRC3": {
17588 "fields": [
17589 {"bits": [0, 3], "name": "SHARED_VGPR_CNT"}
17590 ]
17591 },
17592 "COMPUTE_PIPELINESTAT_ENABLE": {
17593 "fields": [
17594 {"bits": [0, 0], "name": "PIPELINESTAT_ENABLE"}
17595 ]
17596 },
17597 "COMPUTE_USER_ACCUM_0": {
17598 "fields": [
17599 {"bits": [0, 6], "name": "CONTRIBUTION"}
17600 ]
17601 },
17602 "COMPUTE_PREF_PRI_CNTR_CTRL": {
17603 "fields": [
17604 {"bits": [0, 2], "name": "TOTAL_WAVE_COUNT_HIER_SELECT"},
17605 {"bits": [3, 5], "name": "PER_TYPE_WAVE_COUNT_HIER_SELECT"},
17606 {"bits": [6, 6], "name": "GROUP_UPDATE_EN"},
17607 {"bits": [8, 15], "name": "TOTAL_WAVE_COUNT_COEFFICIENT"},
17608 {"bits": [16, 23], "name": "PER_TYPE_WAVE_COUNT_COEFFICIENT"}
17609 ]
17610 },
17611 "COMPUTE_RELAUNCH": {
17612 "fields": [
17613 {"bits": [0, 29], "name": "PAYLOAD"},
17614 {"bits": [30, 30], "name": "IS_EVENT"},
17615 {"bits": [31, 31], "name": "IS_STATE"}
17616 ]
17617 },
17618 "COMPUTE_REQ_CTRL": {
17619 "fields": [
17620 {"bits": [0, 0], "name": "SOFT_GROUPING_EN"},
17621 {"bits": [1, 4], "name": "NUMBER_OF_REQUESTS_PER_CU"},
17622 {"bits": [5, 8], "name": "SOFT_GROUPING_ALLOCATION_TIMEOUT"},
17623 {"bits": [9, 9], "name": "HARD_LOCK_HYSTERESIS"},
17624 {"bits": [10, 14], "name": "HARD_LOCK_LOW_THRESHOLD"},
17625 {"bits": [15, 15], "name": "PRODUCER_REQUEST_LOCKOUT"},
17626 {"bits": [16, 16], "name": "GLOBAL_SCANNING_EN"},
17627 {"bits": [17, 19], "name": "ALLOCATION_RATE_THROTTLING_THRESHOLD"},
17628 {"bits": [20, 26], "name": "DEDICATED_PREALLOCATION_BUFFER_LIMIT"}
17629 ]
17630 },
17631 "COMPUTE_RESOURCE_LIMITS": {
17632 "fields": [
17633 {"bits": [0, 9], "name": "WAVES_PER_SH"},
17634 {"bits": [12, 15], "name": "TG_PER_CU"},
17635 {"bits": [16, 21], "name": "LOCK_THRESHOLD"},
17636 {"bits": [22, 22], "name": "SIMD_DEST_CNTL"},
17637 {"bits": [23, 23], "name": "FORCE_SIMD_DIST"},
17638 {"bits": [24, 26], "name": "CU_GROUP_COUNT"}
17639 ]
17640 },
17641 "COMPUTE_RESTART_X": {
17642 "fields": [
17643 {"bits": [0, 31], "name": "RESTART"}
17644 ]
17645 },
17646 "COMPUTE_SHADER_CHKSUM": {
17647 "fields": [
17648 {"bits": [0, 31], "name": "CHECKSUM"}
17649 ]
17650 },
17651 "COMPUTE_START_X": {
17652 "fields": [
17653 {"bits": [0, 31], "name": "START"}
17654 ]
17655 },
17656 "COMPUTE_THREADGROUP_ID": {
17657 "fields": [
17658 {"bits": [0, 31], "name": "THREADGROUP_ID"}
17659 ]
17660 },
17661 "COMPUTE_THREAD_TRACE_ENABLE": {
17662 "fields": [
17663 {"bits": [0, 0], "name": "THREAD_TRACE_ENABLE"}
17664 ]
17665 },
17666 "COMPUTE_TMPRING_SIZE": {
17667 "fields": [
17668 {"bits": [0, 11], "name": "WAVES"},
17669 {"bits": [12, 24], "name": "WAVESIZE"}
17670 ]
17671 },
17672 "COMPUTE_VMID": {
17673 "fields": [
17674 {"bits": [0, 3], "name": "DATA"}
17675 ]
17676 },
17677 "COMPUTE_WAVE_RESTORE_ADDR_HI": {
17678 "fields": [
17679 {"bits": [0, 15], "name": "ADDR"}
17680 ]
17681 },
17682 "COMPUTE_WAVE_RESTORE_ADDR_LO": {
17683 "fields": [
17684 {"bits": [0, 31], "name": "ADDR"}
17685 ]
17686 },
17687 "CPC_INT_CNTX_ID": {
17688 "fields": [
17689 {"bits": [0, 31], "name": "CNTX_ID"}
17690 ]
17691 },
17692 "CPC_LATENCY_STATS_SELECT": {
17693 "fields": [
17694 {"bits": [0, 3], "enum_ref": "CPC_LATENCY_STATS_SEL", "name": "INDEX"},
17695 {"bits": [30, 30], "name": "CLEAR"},
17696 {"bits": [31, 31], "name": "ENABLE"}
17697 ]
17698 },
17699 "CPC_PERFCOUNTER0_SELECT1": {
17700 "fields": [
17701 {"bits": [0, 9], "enum_ref": "CPC_PERFCOUNT_SEL", "name": "PERF_SEL2"},
17702 {"bits": [10, 19], "enum_ref": "CPC_PERFCOUNT_SEL", "name": "PERF_SEL3"},
17703 {"bits": [24, 27], "name": "CNTR_MODE3"},
17704 {"bits": [28, 31], "name": "CNTR_MODE2"}
17705 ]
17706 },
17707 "CPC_PERFCOUNTER1_SELECT": {
17708 "fields": [
17709 {"bits": [0, 9], "enum_ref": "CPC_PERFCOUNT_SEL", "name": "PERF_SEL"},
17710 {"bits": [10, 19], "enum_ref": "CPC_PERFCOUNT_SEL", "name": "PERF_SEL1"},
17711 {"bits": [20, 23], "name": "SPM_MODE"},
17712 {"bits": [24, 27], "name": "CNTR_MODE1"},
17713 {"bits": [28, 31], "name": "CNTR_MODE0"}
17714 ]
17715 },
17716 "CPC_SUSPEND_CTX_SAVE_BASE_ADDR_HI": {
17717 "fields": [
17718 {"bits": [0, 15], "name": "ADDR_HI"}
17719 ]
17720 },
17721 "CPF_LATENCY_STATS_SELECT": {
17722 "fields": [
17723 {"bits": [0, 3], "enum_ref": "CPF_LATENCY_STATS_SEL", "name": "INDEX"},
17724 {"bits": [30, 30], "name": "CLEAR"},
17725 {"bits": [31, 31], "name": "ENABLE"}
17726 ]
17727 },
17728 "CPF_PERFCOUNTER0_SELECT1": {
17729 "fields": [
17730 {"bits": [0, 9], "enum_ref": "CPF_PERFCOUNT_SEL", "name": "PERF_SEL2"},
17731 {"bits": [10, 19], "enum_ref": "CPF_PERFCOUNT_SEL", "name": "PERF_SEL3"},
17732 {"bits": [24, 27], "name": "CNTR_MODE3"},
17733 {"bits": [28, 31], "name": "CNTR_MODE2"}
17734 ]
17735 },
17736 "CPF_PERFCOUNTER1_SELECT": {
17737 "fields": [
17738 {"bits": [0, 9], "enum_ref": "CPF_PERFCOUNT_SEL", "name": "PERF_SEL"},
17739 {"bits": [10, 19], "enum_ref": "CPF_PERFCOUNT_SEL", "name": "PERF_SEL1"},
17740 {"bits": [20, 23], "name": "SPM_MODE"},
17741 {"bits": [24, 27], "name": "CNTR_MODE1"},
17742 {"bits": [28, 31], "name": "CNTR_MODE0"}
17743 ]
17744 },
17745 "CPF_TC_PERF_COUNTER_WINDOW_SELECT": {
17746 "fields": [
17747 {"bits": [0, 2], "enum_ref": "CPF_PERFCOUNTWINDOW_SEL", "name": "INDEX"},
17748 {"bits": [30, 30], "name": "ALWAYS"},
17749 {"bits": [31, 31], "name": "ENABLE"}
17750 ]
17751 },
17752 "CPG_LATENCY_STATS_SELECT": {
17753 "fields": [
17754 {"bits": [0, 4], "enum_ref": "CPG_LATENCY_STATS_SEL", "name": "INDEX"},
17755 {"bits": [30, 30], "name": "CLEAR"},
17756 {"bits": [31, 31], "name": "ENABLE"}
17757 ]
17758 },
17759 "CPG_PERFCOUNTER0_SELECT1": {
17760 "fields": [
17761 {"bits": [0, 9], "enum_ref": "CPG_PERFCOUNT_SEL", "name": "PERF_SEL2"},
17762 {"bits": [10, 19], "enum_ref": "CPG_PERFCOUNT_SEL", "name": "PERF_SEL3"},
17763 {"bits": [24, 27], "name": "CNTR_MODE3"},
17764 {"bits": [28, 31], "name": "CNTR_MODE2"}
17765 ]
17766 },
17767 "CPG_PERFCOUNTER1_SELECT": {
17768 "fields": [
17769 {"bits": [0, 9], "enum_ref": "CPG_PERFCOUNT_SEL", "name": "PERF_SEL"},
17770 {"bits": [10, 19], "enum_ref": "CPG_PERFCOUNT_SEL", "name": "PERF_SEL1"},
17771 {"bits": [20, 23], "name": "SPM_MODE"},
17772 {"bits": [24, 27], "name": "CNTR_MODE1"},
17773 {"bits": [28, 31], "name": "CNTR_MODE0"}
17774 ]
17775 },
17776 "CPG_TC_PERF_COUNTER_WINDOW_SELECT": {
17777 "fields": [
17778 {"bits": [0, 4], "enum_ref": "CPG_PERFCOUNTWINDOW_SEL", "name": "INDEX"},
17779 {"bits": [30, 30], "name": "ALWAYS"},
17780 {"bits": [31, 31], "name": "ENABLE"}
17781 ]
17782 },
17783 "CP_APPEND_ADDR_HI": {
17784 "fields": [
17785 {"bits": [0, 15], "name": "MEM_ADDR_HI"},
17786 {"bits": [16, 16], "name": "CS_PS_SEL"},
17787 {"bits": [25, 26], "name": "CACHE_POLICY"},
17788 {"bits": [29, 31], "name": "COMMAND"}
17789 ]
17790 },
17791 "CP_APPEND_ADDR_LO": {
17792 "fields": [
17793 {"bits": [2, 31], "name": "MEM_ADDR_LO"}
17794 ]
17795 },
17796 "CP_APPEND_LAST_CS_FENCE_HI": {
17797 "fields": [
17798 {"bits": [0, 31], "name": "LAST_FENCE"}
17799 ]
17800 },
17801 "CP_CE_IB1_BASE_HI": {
17802 "fields": [
17803 {"bits": [0, 15], "name": "IB1_BASE_HI"}
17804 ]
17805 },
17806 "CP_CE_IB1_BASE_LO": {
17807 "fields": [
17808 {"bits": [2, 31], "name": "IB1_BASE_LO"}
17809 ]
17810 },
17811 "CP_CE_IB1_BUFSZ": {
17812 "fields": [
17813 {"bits": [0, 19], "name": "IB1_BUFSZ"}
17814 ]
17815 },
17816 "CP_CE_IB1_CMD_BUFSZ": {
17817 "fields": [
17818 {"bits": [0, 19], "name": "IB1_CMD_REQSZ"}
17819 ]
17820 },
17821 "CP_CE_IB2_BASE_HI": {
17822 "fields": [
17823 {"bits": [0, 15], "name": "IB2_BASE_HI"}
17824 ]
17825 },
17826 "CP_CE_IB2_BASE_LO": {
17827 "fields": [
17828 {"bits": [2, 31], "name": "IB2_BASE_LO"}
17829 ]
17830 },
17831 "CP_CE_IB2_BUFSZ": {
17832 "fields": [
17833 {"bits": [0, 19], "name": "IB2_BUFSZ"}
17834 ]
17835 },
17836 "CP_CE_IB2_CMD_BUFSZ": {
17837 "fields": [
17838 {"bits": [0, 19], "name": "IB2_CMD_REQSZ"}
17839 ]
17840 },
17841 "CP_CE_INIT_BASE_HI": {
17842 "fields": [
17843 {"bits": [0, 15], "name": "INIT_BASE_HI"}
17844 ]
17845 },
17846 "CP_CE_INIT_BASE_LO": {
17847 "fields": [
17848 {"bits": [5, 31], "name": "INIT_BASE_LO"}
17849 ]
17850 },
17851 "CP_CE_INIT_BUFSZ": {
17852 "fields": [
17853 {"bits": [0, 11], "name": "INIT_BUFSZ"}
17854 ]
17855 },
17856 "CP_CE_INIT_CMD_BUFSZ": {
17857 "fields": [
17858 {"bits": [0, 11], "name": "INIT_CMD_REQSZ"}
17859 ]
17860 },
17861 "CP_COHER_BASE": {
17862 "fields": [
17863 {"bits": [0, 31], "name": "COHER_BASE_256B"}
17864 ]
17865 },
17866 "CP_COHER_BASE_HI": {
17867 "fields": [
17868 {"bits": [0, 7], "name": "COHER_BASE_HI_256B"}
17869 ]
17870 },
17871 "CP_COHER_CNTL": {
17872 "fields": [
17873 {"bits": [3, 3], "name": "TC_NC_ACTION_ENA"},
17874 {"bits": [4, 4], "name": "TC_WC_ACTION_ENA"},
17875 {"bits": [5, 5], "name": "TC_INV_METADATA_ACTION_ENA"},
17876 {"bits": [15, 15], "name": "TCL1_VOL_ACTION_ENA"},
17877 {"bits": [18, 18], "name": "TC_WB_ACTION_ENA"},
17878 {"bits": [22, 22], "name": "TCL1_ACTION_ENA"},
17879 {"bits": [23, 23], "name": "TC_ACTION_ENA"},
17880 {"bits": [25, 25], "name": "CB_ACTION_ENA"},
17881 {"bits": [26, 26], "name": "DB_ACTION_ENA"},
17882 {"bits": [27, 27], "name": "SH_KCACHE_ACTION_ENA"},
17883 {"bits": [28, 28], "name": "SH_KCACHE_VOL_ACTION_ENA"},
17884 {"bits": [29, 29], "name": "SH_ICACHE_ACTION_ENA"},
17885 {"bits": [30, 30], "name": "SH_KCACHE_WB_ACTION_ENA"}
17886 ]
17887 },
17888 "CP_COHER_SIZE": {
17889 "fields": [
17890 {"bits": [0, 31], "name": "COHER_SIZE_256B"}
17891 ]
17892 },
17893 "CP_COHER_SIZE_HI": {
17894 "fields": [
17895 {"bits": [0, 7], "name": "COHER_SIZE_HI_256B"}
17896 ]
17897 },
17898 "CP_COHER_START_DELAY": {
17899 "fields": [
17900 {"bits": [0, 5], "name": "START_DELAY_COUNT"}
17901 ]
17902 },
17903 "CP_COHER_STATUS": {
17904 "fields": [
17905 {"bits": [24, 25], "name": "MEID"},
17906 {"bits": [31, 31], "name": "STATUS"}
17907 ]
17908 },
17909 "CP_DB_BASE_HI": {
17910 "fields": [
17911 {"bits": [0, 15], "name": "DB_BASE_HI"}
17912 ]
17913 },
17914 "CP_DB_BASE_LO": {
17915 "fields": [
17916 {"bits": [2, 31], "name": "DB_BASE_LO"}
17917 ]
17918 },
17919 "CP_DB_BUFSZ": {
17920 "fields": [
17921 {"bits": [0, 19], "name": "DB_BUFSZ"}
17922 ]
17923 },
17924 "CP_DB_CMD_BUFSZ": {
17925 "fields": [
17926 {"bits": [0, 19], "name": "DB_CMD_REQSZ"}
17927 ]
17928 },
17929 "CP_DE_CE_COUNT": {
17930 "fields": [
17931 {"bits": [0, 31], "name": "CONST_ENGINE_COUNT"}
17932 ]
17933 },
17934 "CP_DMA_CNTL": {
17935 "fields": [
17936 {"bits": [0, 0], "name": "UTCL1_FAULT_CONTROL"},
17937 {"bits": [1, 1], "name": "WATCH_CONTROL"},
17938 {"bits": [4, 5], "name": "MIN_AVAILSZ"},
17939 {"bits": [16, 24], "name": "BUFFER_DEPTH"},
17940 {"bits": [28, 28], "name": "PIO_FIFO_EMPTY"},
17941 {"bits": [29, 29], "name": "PIO_FIFO_FULL"},
17942 {"bits": [30, 31], "name": "PIO_COUNT"}
17943 ]
17944 },
17945 "CP_DMA_ME_CMD_ADDR_LO": {
17946 "fields": [
17947 {"bits": [0, 1], "name": "RSVD"},
17948 {"bits": [2, 31], "name": "ADDR_LO"}
17949 ]
17950 },
17951 "CP_DMA_READ_TAGS": {
17952 "fields": [
17953 {"bits": [0, 25], "name": "DMA_READ_TAG"},
17954 {"bits": [28, 28], "name": "DMA_READ_TAG_VALID"}
17955 ]
17956 },
17957 "CP_DRAW_OBJECT": {
17958 "fields": [
17959 {"bits": [0, 31], "name": "OBJECT"}
17960 ]
17961 },
17962 "CP_DRAW_WINDOW_CNTL": {
17963 "fields": [
17964 {"bits": [0, 0], "name": "DISABLE_DRAW_WINDOW_LO_MAX"},
17965 {"bits": [1, 1], "name": "DISABLE_DRAW_WINDOW_LO_MIN"},
17966 {"bits": [2, 2], "name": "DISABLE_DRAW_WINDOW_HI"},
17967 {"bits": [8, 8], "name": "MODE"}
17968 ]
17969 },
17970 "CP_DRAW_WINDOW_HI": {
17971 "fields": [
17972 {"bits": [0, 31], "name": "WINDOW_HI"}
17973 ]
17974 },
17975 "CP_DRAW_WINDOW_LO": {
17976 "fields": [
17977 {"bits": [0, 15], "name": "MIN"},
17978 {"bits": [16, 31], "name": "MAX"}
17979 ]
17980 },
17981 "CP_DRAW_WINDOW_MASK_HI": {
17982 "fields": [
17983 {"bits": [0, 31], "name": "WINDOW_MASK_HI"}
17984 ]
17985 },
17986 "CP_ECC_FIRSTOCCURRENCE_RING0": {
17987 "fields": [
17988 {"bits": [0, 31], "name": "OBSOLETE"}
17989 ]
17990 },
17991 "CP_EOP_DONE_DATA_CNTL": {
17992 "fields": [
17993 {"bits": [16, 17], "name": "DST_SEL"},
17994 {"bits": [24, 26], "name": "INT_SEL"},
17995 {"bits": [29, 31], "name": "DATA_SEL"}
17996 ]
17997 },
17998 "CP_EOP_DONE_DOORBELL": {
17999 "fields": [
18000 {"bits": [2, 27], "name": "DOORBELL_OFFSET"}
18001 ]
18002 },
18003 "CP_EOP_DONE_EVENT_CNTL": {
18004 "fields": [
18005 {"bits": [12, 23], "name": "GCR_CNTL"},
18006 {"bits": [25, 26], "name": "CACHE_POLICY"},
18007 {"bits": [27, 27], "name": "EOP_VOLATILE"},
18008 {"bits": [28, 28], "name": "EXECUTE"}
18009 ]
18010 },
18011 "CP_EOP_LAST_FENCE_HI": {
18012 "fields": [
18013 {"bits": [0, 31], "name": "LAST_FENCE_HI"}
18014 ]
18015 },
18016 "CP_EOP_LAST_FENCE_LO": {
18017 "fields": [
18018 {"bits": [0, 31], "name": "LAST_FENCE_LO"}
18019 ]
18020 },
18021 "CP_GFX_DDID_INFLIGHT_COUNT": {
18022 "fields": [
18023 {"bits": [0, 15], "name": "COUNT"}
18024 ]
18025 },
18026 "CP_GFX_HPD_OSPRE_FENCE_ADDR_HI": {
18027 "fields": [
18028 {"bits": [0, 15], "name": "ADDR_HI"},
18029 {"bits": [16, 31], "name": "RSVD"}
18030 ]
18031 },
18032 "CP_GFX_HPD_OSPRE_FENCE_ADDR_LO": {
18033 "fields": [
18034 {"bits": [2, 31], "name": "ADDR_LO"}
18035 ]
18036 },
18037 "CP_GFX_HPD_OSPRE_FENCE_DATA_HI": {
18038 "fields": [
18039 {"bits": [0, 31], "name": "DATA_HI"}
18040 ]
18041 },
18042 "CP_GFX_HPD_OSPRE_FENCE_DATA_LO": {
18043 "fields": [
18044 {"bits": [0, 31], "name": "DATA_LO"}
18045 ]
18046 },
18047 "CP_HQD_EOP_BASE_ADDR": {
18048 "fields": [
18049 {"bits": [0, 31], "name": "BASE_ADDR"}
18050 ]
18051 },
18052 "CP_HQD_PQ_WPTR_LO": {
18053 "fields": [
18054 {"bits": [0, 31], "name": "OFFSET"}
18055 ]
18056 },
18057 "CP_IB1_OFFSET": {
18058 "fields": [
18059 {"bits": [0, 19], "name": "IB1_OFFSET"}
18060 ]
18061 },
18062 "CP_IB1_PREAMBLE_BEGIN": {
18063 "fields": [
18064 {"bits": [0, 19], "name": "IB1_PREAMBLE_BEGIN"}
18065 ]
18066 },
18067 "CP_IB1_PREAMBLE_END": {
18068 "fields": [
18069 {"bits": [0, 19], "name": "IB1_PREAMBLE_END"}
18070 ]
18071 },
18072 "CP_IB2_OFFSET": {
18073 "fields": [
18074 {"bits": [0, 19], "name": "IB2_OFFSET"}
18075 ]
18076 },
18077 "CP_IB2_PREAMBLE_BEGIN": {
18078 "fields": [
18079 {"bits": [0, 19], "name": "IB2_PREAMBLE_BEGIN"}
18080 ]
18081 },
18082 "CP_IB2_PREAMBLE_END": {
18083 "fields": [
18084 {"bits": [0, 19], "name": "IB2_PREAMBLE_END"}
18085 ]
18086 },
18087 "CP_INDEX_TYPE": {
18088 "fields": [
18089 {"bits": [0, 1], "enum_ref": "VGT_DI_INDEX_SIZE", "name": "INDEX_TYPE"}
18090 ]
18091 },
18092 "CP_ME0_PIPE0_VMID": {
18093 "fields": [
18094 {"bits": [0, 3], "name": "VMID"}
18095 ]
18096 },
18097 "CP_MES_MTVEC_HI": {
18098 "fields": [
18099 {"bits": [0, 31], "name": "ADDR_LO"}
18100 ]
18101 },
18102 "CP_MES_SCRATCH_DATA": {
18103 "fields": [
18104 {"bits": [0, 31], "name": "SCRATCH_DATA"}
18105 ]
18106 },
18107 "CP_ME_COHER_CNTL": {
18108 "fields": [
18109 {"bits": [0, 0], "name": "DEST_BASE_0_ENA"},
18110 {"bits": [1, 1], "name": "DEST_BASE_1_ENA"},
18111 {"bits": [6, 6], "name": "CB0_DEST_BASE_ENA"},
18112 {"bits": [7, 7], "name": "CB1_DEST_BASE_ENA"},
18113 {"bits": [8, 8], "name": "CB2_DEST_BASE_ENA"},
18114 {"bits": [9, 9], "name": "CB3_DEST_BASE_ENA"},
18115 {"bits": [10, 10], "name": "CB4_DEST_BASE_ENA"},
18116 {"bits": [11, 11], "name": "CB5_DEST_BASE_ENA"},
18117 {"bits": [12, 12], "name": "CB6_DEST_BASE_ENA"},
18118 {"bits": [13, 13], "name": "CB7_DEST_BASE_ENA"},
18119 {"bits": [14, 14], "name": "DB_DEST_BASE_ENA"},
18120 {"bits": [19, 19], "name": "DEST_BASE_2_ENA"},
18121 {"bits": [21, 21], "name": "DEST_BASE_3_ENA"}
18122 ]
18123 },
18124 "CP_ME_COHER_STATUS": {
18125 "fields": [
18126 {"bits": [0, 7], "name": "MATCHING_GFX_CNTX"},
18127 {"bits": [31, 31], "name": "STATUS"}
18128 ]
18129 },
18130 "CP_ME_MC_RADDR_HI": {
18131 "fields": [
18132 {"bits": [0, 15], "name": "ME_MC_RADDR_HI"},
18133 {"bits": [22, 23], "name": "CACHE_POLICY"}
18134 ]
18135 },
18136 "CP_ME_MC_RADDR_LO": {
18137 "fields": [
18138 {"bits": [2, 31], "name": "ME_MC_RADDR_LO"}
18139 ]
18140 },
18141 "CP_ME_MC_WADDR_HI": {
18142 "fields": [
18143 {"bits": [0, 15], "name": "ME_MC_WADDR_HI"},
18144 {"bits": [22, 23], "name": "CACHE_POLICY"}
18145 ]
18146 },
18147 "CP_ME_MC_WADDR_LO": {
18148 "fields": [
18149 {"bits": [2, 31], "name": "ME_MC_WADDR_LO"}
18150 ]
18151 },
18152 "CP_ME_MC_WDATA_HI": {
18153 "fields": [
18154 {"bits": [0, 31], "name": "ME_MC_WDATA_HI"}
18155 ]
18156 },
18157 "CP_ME_MC_WDATA_LO": {
18158 "fields": [
18159 {"bits": [0, 31], "name": "ME_MC_WDATA_LO"}
18160 ]
18161 },
18162 "CP_NUM_PRIM_NEEDED_COUNT0_HI": {
18163 "fields": [
18164 {"bits": [0, 31], "name": "NUM_PRIM_NEEDED_CNT0_HI"}
18165 ]
18166 },
18167 "CP_NUM_PRIM_NEEDED_COUNT0_LO": {
18168 "fields": [
18169 {"bits": [0, 31], "name": "NUM_PRIM_NEEDED_CNT0_LO"}
18170 ]
18171 },
18172 "CP_NUM_PRIM_NEEDED_COUNT1_HI": {
18173 "fields": [
18174 {"bits": [0, 31], "name": "NUM_PRIM_NEEDED_CNT1_HI"}
18175 ]
18176 },
18177 "CP_NUM_PRIM_NEEDED_COUNT1_LO": {
18178 "fields": [
18179 {"bits": [0, 31], "name": "NUM_PRIM_NEEDED_CNT1_LO"}
18180 ]
18181 },
18182 "CP_NUM_PRIM_NEEDED_COUNT2_HI": {
18183 "fields": [
18184 {"bits": [0, 31], "name": "NUM_PRIM_NEEDED_CNT2_HI"}
18185 ]
18186 },
18187 "CP_NUM_PRIM_NEEDED_COUNT2_LO": {
18188 "fields": [
18189 {"bits": [0, 31], "name": "NUM_PRIM_NEEDED_CNT2_LO"}
18190 ]
18191 },
18192 "CP_NUM_PRIM_NEEDED_COUNT3_HI": {
18193 "fields": [
18194 {"bits": [0, 31], "name": "NUM_PRIM_NEEDED_CNT3_HI"}
18195 ]
18196 },
18197 "CP_NUM_PRIM_NEEDED_COUNT3_LO": {
18198 "fields": [
18199 {"bits": [0, 31], "name": "NUM_PRIM_NEEDED_CNT3_LO"}
18200 ]
18201 },
18202 "CP_NUM_PRIM_WRITTEN_COUNT0_HI": {
18203 "fields": [
18204 {"bits": [0, 31], "name": "NUM_PRIM_WRITTEN_CNT0_HI"}
18205 ]
18206 },
18207 "CP_NUM_PRIM_WRITTEN_COUNT0_LO": {
18208 "fields": [
18209 {"bits": [0, 31], "name": "NUM_PRIM_WRITTEN_CNT0_LO"}
18210 ]
18211 },
18212 "CP_NUM_PRIM_WRITTEN_COUNT1_HI": {
18213 "fields": [
18214 {"bits": [0, 31], "name": "NUM_PRIM_WRITTEN_CNT1_HI"}
18215 ]
18216 },
18217 "CP_NUM_PRIM_WRITTEN_COUNT1_LO": {
18218 "fields": [
18219 {"bits": [0, 31], "name": "NUM_PRIM_WRITTEN_CNT1_LO"}
18220 ]
18221 },
18222 "CP_NUM_PRIM_WRITTEN_COUNT2_HI": {
18223 "fields": [
18224 {"bits": [0, 31], "name": "NUM_PRIM_WRITTEN_CNT2_HI"}
18225 ]
18226 },
18227 "CP_NUM_PRIM_WRITTEN_COUNT2_LO": {
18228 "fields": [
18229 {"bits": [0, 31], "name": "NUM_PRIM_WRITTEN_CNT2_LO"}
18230 ]
18231 },
18232 "CP_NUM_PRIM_WRITTEN_COUNT3_HI": {
18233 "fields": [
18234 {"bits": [0, 31], "name": "NUM_PRIM_WRITTEN_CNT3_HI"}
18235 ]
18236 },
18237 "CP_NUM_PRIM_WRITTEN_COUNT3_LO": {
18238 "fields": [
18239 {"bits": [0, 31], "name": "NUM_PRIM_WRITTEN_CNT3_LO"}
18240 ]
18241 },
18242 "CP_PA_CINVOC_COUNT_HI": {
18243 "fields": [
18244 {"bits": [0, 31], "name": "CINVOC_COUNT_HI"}
18245 ]
18246 },
18247 "CP_PA_CINVOC_COUNT_LO": {
18248 "fields": [
18249 {"bits": [0, 31], "name": "CINVOC_COUNT_LO"}
18250 ]
18251 },
18252 "CP_PA_CPRIM_COUNT_HI": {
18253 "fields": [
18254 {"bits": [0, 31], "name": "CPRIM_COUNT_HI"}
18255 ]
18256 },
18257 "CP_PA_CPRIM_COUNT_LO": {
18258 "fields": [
18259 {"bits": [0, 31], "name": "CPRIM_COUNT_LO"}
18260 ]
18261 },
18262 "CP_PERFMON_CNTL": {
18263 "fields": [
18264 {"bits": [0, 3], "enum_ref": "CP_PERFMON_STATE", "name": "PERFMON_STATE"},
18265 {"bits": [4, 7], "enum_ref": "SPM_PERFMON_STATE", "name": "SPM_PERFMON_STATE"},
18266 {"bits": [8, 9], "enum_ref": "CP_PERFMON_ENABLE_MODE", "name": "PERFMON_ENABLE_MODE"},
18267 {"bits": [10, 10], "name": "PERFMON_SAMPLE_ENABLE"}
18268 ]
18269 },
18270 "CP_PERFMON_CNTX_CNTL": {
18271 "fields": [
18272 {"bits": [31, 31], "name": "PERFMON_ENABLE"}
18273 ]
18274 },
18275 "CP_PFP_ATOMIC_PREOP_HI": {
18276 "fields": [
18277 {"bits": [0, 31], "name": "ATOMIC_PREOP_HI"}
18278 ]
18279 },
18280 "CP_PFP_ATOMIC_PREOP_LO": {
18281 "fields": [
18282 {"bits": [0, 31], "name": "ATOMIC_PREOP_LO"}
18283 ]
18284 },
18285 "CP_PFP_COMPLETION_STATUS": {
18286 "fields": [
18287 {"bits": [0, 1], "name": "STATUS"}
18288 ]
18289 },
18290 "CP_PFP_GDS_ATOMIC0_PREOP_HI": {
18291 "fields": [
18292 {"bits": [0, 31], "name": "GDS_ATOMIC0_PREOP_HI"}
18293 ]
18294 },
18295 "CP_PFP_GDS_ATOMIC0_PREOP_LO": {
18296 "fields": [
18297 {"bits": [0, 31], "name": "GDS_ATOMIC0_PREOP_LO"}
18298 ]
18299 },
18300 "CP_PFP_GDS_ATOMIC1_PREOP_HI": {
18301 "fields": [
18302 {"bits": [0, 31], "name": "GDS_ATOMIC1_PREOP_HI"}
18303 ]
18304 },
18305 "CP_PFP_GDS_ATOMIC1_PREOP_LO": {
18306 "fields": [
18307 {"bits": [0, 31], "name": "GDS_ATOMIC1_PREOP_LO"}
18308 ]
18309 },
18310 "CP_PFP_IB_CONTROL": {
18311 "fields": [
18312 {"bits": [0, 7], "name": "IB_EN"}
18313 ]
18314 },
18315 "CP_PFP_LOAD_CONTROL": {
18316 "fields": [
18317 {"bits": [0, 0], "name": "CONFIG_REG_EN"},
18318 {"bits": [1, 1], "name": "CNTX_REG_EN"},
18319 {"bits": [16, 16], "name": "SH_GFX_REG_EN"},
18320 {"bits": [24, 24], "name": "SH_CS_REG_EN"}
18321 ]
18322 },
18323 "CP_PIPE_STATS_ADDR_HI": {
18324 "fields": [
18325 {"bits": [0, 15], "name": "PIPE_STATS_ADDR_HI"}
18326 ]
18327 },
18328 "CP_PIPE_STATS_ADDR_LO": {
18329 "fields": [
18330 {"bits": [2, 31], "name": "PIPE_STATS_ADDR_LO"}
18331 ]
18332 },
18333 "CP_PIPE_STATS_CONTROL": {
18334 "fields": [
18335 {"bits": [25, 26], "name": "CACHE_POLICY"}
18336 ]
18337 },
18338 "CP_PRED_NOT_VISIBLE": {
18339 "fields": [
18340 {"bits": [0, 0], "name": "NOT_VISIBLE"}
18341 ]
18342 },
18343 "CP_SAMPLE_STATUS": {
18344 "fields": [
18345 {"bits": [0, 0], "name": "Z_PASS_ACITVE"},
18346 {"bits": [1, 1], "name": "STREAMOUT_ACTIVE"},
18347 {"bits": [2, 2], "name": "PIPELINE_ACTIVE"},
18348 {"bits": [3, 3], "name": "STIPPLE_ACTIVE"},
18349 {"bits": [4, 4], "name": "VGT_BUFFERS_ACTIVE"},
18350 {"bits": [5, 5], "name": "SCREEN_EXT_ACTIVE"},
18351 {"bits": [6, 6], "name": "DRAW_INDIRECT_ACTIVE"},
18352 {"bits": [7, 7], "name": "DISP_INDIRECT_ACTIVE"}
18353 ]
18354 },
18355 "CP_SCRATCH_INDEX": {
18356 "fields": [
18357 {"bits": [0, 7], "name": "SCRATCH_INDEX"},
18358 {"bits": [31, 31], "name": "SCRATCH_INDEX_64BIT_MODE"}
18359 ]
18360 },
18361 "CP_SC_PSINVOC_COUNT0_HI": {
18362 "fields": [
18363 {"bits": [0, 31], "name": "PSINVOC_COUNT0_HI"}
18364 ]
18365 },
18366 "CP_SC_PSINVOC_COUNT0_LO": {
18367 "fields": [
18368 {"bits": [0, 31], "name": "PSINVOC_COUNT0_LO"}
18369 ]
18370 },
18371 "CP_SEM_WAIT_TIMER": {
18372 "fields": [
18373 {"bits": [0, 31], "name": "SEM_WAIT_TIMER"}
18374 ]
18375 },
18376 "CP_SIG_SEM_ADDR_HI": {
18377 "fields": [
18378 {"bits": [0, 15], "name": "SEM_ADDR_HI"},
18379 {"bits": [16, 16], "name": "SEM_USE_MAILBOX"},
18380 {"bits": [20, 20], "name": "SEM_SIGNAL_TYPE"},
18381 {"bits": [24, 25], "name": "SEM_CLIENT_CODE"},
18382 {"bits": [29, 31], "name": "SEM_SELECT"}
18383 ]
18384 },
18385 "CP_SIG_SEM_ADDR_LO": {
18386 "fields": [
18387 {"bits": [0, 1], "name": "SEM_ADDR_SWAP"},
18388 {"bits": [3, 31], "name": "SEM_ADDR_LO"}
18389 ]
18390 },
18391 "CP_STREAM_OUT_ADDR_HI": {
18392 "fields": [
18393 {"bits": [0, 15], "name": "STREAM_OUT_ADDR_HI"}
18394 ]
18395 },
18396 "CP_STREAM_OUT_ADDR_LO": {
18397 "fields": [
18398 {"bits": [2, 31], "name": "STREAM_OUT_ADDR_LO"}
18399 ]
18400 },
18401 "CP_STRMOUT_CNTL": {
18402 "fields": [
18403 {"bits": [0, 0], "name": "OFFSET_UPDATE_DONE"}
18404 ]
18405 },
18406 "CP_ST_BASE_HI": {
18407 "fields": [
18408 {"bits": [0, 15], "name": "ST_BASE_HI"}
18409 ]
18410 },
18411 "CP_ST_BASE_LO": {
18412 "fields": [
18413 {"bits": [2, 31], "name": "ST_BASE_LO"}
18414 ]
18415 },
18416 "CP_ST_BUFSZ": {
18417 "fields": [
18418 {"bits": [0, 19], "name": "ST_BUFSZ"}
18419 ]
18420 },
18421 "CP_ST_CMD_BUFSZ": {
18422 "fields": [
18423 {"bits": [0, 19], "name": "ST_CMD_REQSZ"}
18424 ]
18425 },
18426 "CP_VGT_CSINVOC_COUNT_HI": {
18427 "fields": [
18428 {"bits": [0, 31], "name": "CSINVOC_COUNT_HI"}
18429 ]
18430 },
18431 "CP_VGT_CSINVOC_COUNT_LO": {
18432 "fields": [
18433 {"bits": [0, 31], "name": "CSINVOC_COUNT_LO"}
18434 ]
18435 },
18436 "CP_VGT_DSINVOC_COUNT_HI": {
18437 "fields": [
18438 {"bits": [0, 31], "name": "DSINVOC_COUNT_HI"}
18439 ]
18440 },
18441 "CP_VGT_DSINVOC_COUNT_LO": {
18442 "fields": [
18443 {"bits": [0, 31], "name": "DSINVOC_COUNT_LO"}
18444 ]
18445 },
18446 "CP_VGT_GSINVOC_COUNT_HI": {
18447 "fields": [
18448 {"bits": [0, 31], "name": "GSINVOC_COUNT_HI"}
18449 ]
18450 },
18451 "CP_VGT_GSINVOC_COUNT_LO": {
18452 "fields": [
18453 {"bits": [0, 31], "name": "GSINVOC_COUNT_LO"}
18454 ]
18455 },
18456 "CP_VGT_GSPRIM_COUNT_HI": {
18457 "fields": [
18458 {"bits": [0, 31], "name": "GSPRIM_COUNT_HI"}
18459 ]
18460 },
18461 "CP_VGT_GSPRIM_COUNT_LO": {
18462 "fields": [
18463 {"bits": [0, 31], "name": "GSPRIM_COUNT_LO"}
18464 ]
18465 },
18466 "CP_VGT_HSINVOC_COUNT_HI": {
18467 "fields": [
18468 {"bits": [0, 31], "name": "HSINVOC_COUNT_HI"}
18469 ]
18470 },
18471 "CP_VGT_HSINVOC_COUNT_LO": {
18472 "fields": [
18473 {"bits": [0, 31], "name": "HSINVOC_COUNT_LO"}
18474 ]
18475 },
18476 "CP_VGT_IAPRIM_COUNT_HI": {
18477 "fields": [
18478 {"bits": [0, 31], "name": "IAPRIM_COUNT_HI"}
18479 ]
18480 },
18481 "CP_VGT_IAPRIM_COUNT_LO": {
18482 "fields": [
18483 {"bits": [0, 31], "name": "IAPRIM_COUNT_LO"}
18484 ]
18485 },
18486 "CP_VGT_IAVERT_COUNT_HI": {
18487 "fields": [
18488 {"bits": [0, 31], "name": "IAVERT_COUNT_HI"}
18489 ]
18490 },
18491 "CP_VGT_IAVERT_COUNT_LO": {
18492 "fields": [
18493 {"bits": [0, 31], "name": "IAVERT_COUNT_LO"}
18494 ]
18495 },
18496 "CP_VGT_VSINVOC_COUNT_HI": {
18497 "fields": [
18498 {"bits": [0, 31], "name": "VSINVOC_COUNT_HI"}
18499 ]
18500 },
18501 "CP_VGT_VSINVOC_COUNT_LO": {
18502 "fields": [
18503 {"bits": [0, 31], "name": "VSINVOC_COUNT_LO"}
18504 ]
18505 },
18506 "CP_WAIT_REG_MEM_TIMEOUT": {
18507 "fields": [
18508 {"bits": [0, 31], "name": "WAIT_REG_MEM_TIMEOUT"}
18509 ]
18510 },
18511 "CS_COPY_STATE": {
18512 "fields": [
18513 {"bits": [0, 2], "name": "SRC_STATE_ID"}
18514 ]
18515 },
18516 "DB_ALPHA_TO_MASK": {
18517 "fields": [
18518 {"bits": [0, 0], "name": "ALPHA_TO_MASK_ENABLE"},
18519 {"bits": [8, 9], "name": "ALPHA_TO_MASK_OFFSET0"},
18520 {"bits": [10, 11], "name": "ALPHA_TO_MASK_OFFSET1"},
18521 {"bits": [12, 13], "name": "ALPHA_TO_MASK_OFFSET2"},
18522 {"bits": [14, 15], "name": "ALPHA_TO_MASK_OFFSET3"},
18523 {"bits": [16, 16], "name": "OFFSET_ROUND"}
18524 ]
18525 },
18526 "DB_COUNT_CONTROL": {
18527 "fields": [
18528 {"bits": [0, 0], "name": "ZPASS_INCREMENT_DISABLE"},
18529 {"bits": [1, 1], "name": "PERFECT_ZPASS_COUNTS"},
18530 {"bits": [2, 2], "name": "DISABLE_CONSERVATIVE_ZPASS_COUNTS"},
18531 {"bits": [3, 3], "name": "ENHANCED_CONSERVATIVE_ZPASS_COUNTS"},
18532 {"bits": [4, 6], "name": "SAMPLE_RATE"},
18533 {"bits": [8, 11], "name": "ZPASS_ENABLE"},
18534 {"bits": [12, 15], "name": "ZFAIL_ENABLE"},
18535 {"bits": [16, 19], "name": "SFAIL_ENABLE"},
18536 {"bits": [20, 23], "name": "DBFAIL_ENABLE"},
18537 {"bits": [24, 27], "name": "SLICE_EVEN_ENABLE"},
18538 {"bits": [28, 31], "name": "SLICE_ODD_ENABLE"}
18539 ]
18540 },
18541 "DB_DEPTH_BOUNDS_MAX": {
18542 "fields": [
18543 {"bits": [0, 31], "name": "MAX"}
18544 ]
18545 },
18546 "DB_DEPTH_BOUNDS_MIN": {
18547 "fields": [
18548 {"bits": [0, 31], "name": "MIN"}
18549 ]
18550 },
18551 "DB_DEPTH_CLEAR": {
18552 "fields": [
18553 {"bits": [0, 31], "name": "DEPTH_CLEAR"}
18554 ]
18555 },
18556 "DB_DEPTH_CONTROL": {
18557 "fields": [
18558 {"bits": [0, 0], "name": "STENCIL_ENABLE"},
18559 {"bits": [1, 1], "name": "Z_ENABLE"},
18560 {"bits": [2, 2], "name": "Z_WRITE_ENABLE"},
18561 {"bits": [3, 3], "name": "DEPTH_BOUNDS_ENABLE"},
18562 {"bits": [4, 6], "enum_ref": "CompareFrag", "name": "ZFUNC"},
18563 {"bits": [7, 7], "name": "BACKFACE_ENABLE"},
18564 {"bits": [8, 10], "name": "STENCILFUNC"},
18565 {"bits": [20, 22], "name": "STENCILFUNC_BF"},
18566 {"bits": [30, 30], "name": "ENABLE_COLOR_WRITES_ON_DEPTH_FAIL"},
18567 {"bits": [31, 31], "name": "DISABLE_COLOR_WRITES_ON_DEPTH_PASS"}
18568 ]
18569 },
18570 "DB_DEPTH_INFO": {
18571 "fields": [
18572 {"bits": [0, 3], "name": "ADDR5_SWIZZLE_MASK"},
18573 {"bits": [4, 7], "name": "ARRAY_MODE"},
18574 {"bits": [8, 12], "name": "PIPE_CONFIG"},
18575 {"bits": [13, 14], "name": "BANK_WIDTH"},
18576 {"bits": [15, 16], "name": "BANK_HEIGHT"},
18577 {"bits": [17, 18], "name": "MACRO_TILE_ASPECT"},
18578 {"bits": [19, 20], "name": "NUM_BANKS"},
18579 {"bits": [28, 31], "name": "RESOURCE_LEVEL"}
18580 ]
18581 },
18582 "DB_DEPTH_SIZE_XY": {
18583 "fields": [
18584 {"bits": [0, 13], "name": "X_MAX"},
18585 {"bits": [16, 29], "name": "Y_MAX"}
18586 ]
18587 },
18588 "DB_DEPTH_VIEW": {
18589 "fields": [
18590 {"bits": [0, 10], "name": "SLICE_START"},
18591 {"bits": [11, 12], "name": "SLICE_START_HI"},
18592 {"bits": [13, 23], "name": "SLICE_MAX"},
18593 {"bits": [24, 24], "name": "Z_READ_ONLY"},
18594 {"bits": [25, 25], "name": "STENCIL_READ_ONLY"},
18595 {"bits": [26, 29], "name": "MIPID"},
18596 {"bits": [30, 31], "name": "SLICE_MAX_HI"}
18597 ]
18598 },
18599 "DB_DFSM_CONTROL": {
18600 "fields": [
18601 {"bits": [0, 1], "name": "PUNCHOUT_MODE"},
18602 {"bits": [2, 2], "name": "POPS_DRAIN_PS_ON_OVERLAP"},
18603 {"bits": [3, 3], "name": "DISALLOW_OVERFLOW"}
18604 ]
18605 },
18606 "DB_EQAA": {
18607 "fields": [
18608 {"bits": [0, 2], "name": "MAX_ANCHOR_SAMPLES"},
18609 {"bits": [4, 6], "name": "PS_ITER_SAMPLES"},
18610 {"bits": [8, 10], "name": "MASK_EXPORT_NUM_SAMPLES"},
18611 {"bits": [12, 14], "name": "ALPHA_TO_MASK_NUM_SAMPLES"},
18612 {"bits": [16, 16], "name": "HIGH_QUALITY_INTERSECTIONS"},
18613 {"bits": [17, 17], "name": "INCOHERENT_EQAA_READS"},
18614 {"bits": [18, 18], "name": "INTERPOLATE_COMP_Z"},
18615 {"bits": [19, 19], "name": "INTERPOLATE_SRC_Z"},
18616 {"bits": [20, 20], "name": "STATIC_ANCHOR_ASSOCIATIONS"},
18617 {"bits": [21, 21], "name": "ALPHA_TO_MASK_EQAA_DISABLE"},
18618 {"bits": [24, 26], "name": "OVERRASTERIZATION_AMOUNT"},
18619 {"bits": [27, 27], "name": "ENABLE_POSTZ_OVERRASTERIZATION"}
18620 ]
18621 },
18622 "DB_HTILE_SURFACE": {
18623 "fields": [
18624 {"bits": [0, 0], "name": "LINEAR"},
18625 {"bits": [1, 1], "name": "FULL_CACHE"},
18626 {"bits": [2, 2], "name": "HTILE_USES_PRELOAD_WIN"},
18627 {"bits": [3, 3], "name": "PRELOAD"},
18628 {"bits": [4, 9], "name": "PREFETCH_WIDTH"},
18629 {"bits": [10, 15], "name": "PREFETCH_HEIGHT"},
18630 {"bits": [16, 16], "name": "DST_OUTSIDE_ZERO_TO_ONE"},
18631 {"bits": [17, 17], "name": "TC_COMPATIBLE"},
18632 {"bits": [18, 18], "name": "PIPE_ALIGNED"}
18633 ]
18634 },
18635 "DB_PERFCOUNTER0_SELECT": {
18636 "fields": [
18637 {"bits": [0, 9], "enum_ref": "PerfCounter_Vals", "name": "PERF_SEL"},
18638 {"bits": [10, 19], "enum_ref": "PerfCounter_Vals", "name": "PERF_SEL1"},
18639 {"bits": [20, 23], "name": "CNTR_MODE"},
18640 {"bits": [24, 27], "name": "PERF_MODE1"},
18641 {"bits": [28, 31], "name": "PERF_MODE"}
18642 ]
18643 },
18644 "DB_PERFCOUNTER0_SELECT1": {
18645 "fields": [
18646 {"bits": [0, 9], "enum_ref": "PerfCounter_Vals", "name": "PERF_SEL2"},
18647 {"bits": [10, 19], "enum_ref": "PerfCounter_Vals", "name": "PERF_SEL3"},
18648 {"bits": [24, 27], "name": "PERF_MODE3"},
18649 {"bits": [28, 31], "name": "PERF_MODE2"}
18650 ]
18651 },
18652 "DB_PRELOAD_CONTROL": {
18653 "fields": [
18654 {"bits": [0, 7], "name": "START_X"},
18655 {"bits": [8, 15], "name": "START_Y"},
18656 {"bits": [16, 23], "name": "MAX_X"},
18657 {"bits": [24, 31], "name": "MAX_Y"}
18658 ]
18659 },
18660 "DB_RENDER_CONTROL": {
18661 "fields": [
18662 {"bits": [0, 0], "name": "DEPTH_CLEAR_ENABLE"},
18663 {"bits": [1, 1], "name": "STENCIL_CLEAR_ENABLE"},
18664 {"bits": [2, 2], "name": "DEPTH_COPY"},
18665 {"bits": [3, 3], "name": "STENCIL_COPY"},
18666 {"bits": [4, 4], "name": "RESUMMARIZE_ENABLE"},
18667 {"bits": [5, 5], "name": "STENCIL_COMPRESS_DISABLE"},
18668 {"bits": [6, 6], "name": "DEPTH_COMPRESS_DISABLE"},
18669 {"bits": [7, 7], "name": "COPY_CENTROID"},
18670 {"bits": [8, 11], "name": "COPY_SAMPLE"},
18671 {"bits": [12, 12], "name": "DECOMPRESS_ENABLE"}
18672 ]
18673 },
18674 "DB_RENDER_OVERRIDE": {
18675 "fields": [
18676 {"bits": [0, 1], "enum_ref": "ForceControl", "name": "FORCE_HIZ_ENABLE"},
18677 {"bits": [2, 3], "enum_ref": "ForceControl", "name": "FORCE_HIS_ENABLE0"},
18678 {"bits": [4, 5], "enum_ref": "ForceControl", "name": "FORCE_HIS_ENABLE1"},
18679 {"bits": [6, 6], "name": "FORCE_SHADER_Z_ORDER"},
18680 {"bits": [7, 7], "name": "FAST_Z_DISABLE"},
18681 {"bits": [8, 8], "name": "FAST_STENCIL_DISABLE"},
18682 {"bits": [9, 9], "name": "NOOP_CULL_DISABLE"},
18683 {"bits": [10, 10], "name": "FORCE_COLOR_KILL"},
18684 {"bits": [11, 11], "name": "FORCE_Z_READ"},
18685 {"bits": [12, 12], "name": "FORCE_STENCIL_READ"},
18686 {"bits": [13, 14], "enum_ref": "ForceControl", "name": "FORCE_FULL_Z_RANGE"},
18687 {"bits": [15, 15], "name": "FORCE_QC_SMASK_CONFLICT"},
18688 {"bits": [16, 16], "name": "DISABLE_VIEWPORT_CLAMP"},
18689 {"bits": [17, 17], "name": "IGNORE_SC_ZRANGE"},
18690 {"bits": [18, 18], "name": "DISABLE_FULLY_COVERED"},
18691 {"bits": [19, 20], "enum_ref": "ZLimitSumm", "name": "FORCE_Z_LIMIT_SUMM"},
18692 {"bits": [21, 25], "name": "MAX_TILES_IN_DTT"},
18693 {"bits": [26, 26], "name": "DISABLE_TILE_RATE_TILES"},
18694 {"bits": [27, 27], "name": "FORCE_Z_DIRTY"},
18695 {"bits": [28, 28], "name": "FORCE_STENCIL_DIRTY"},
18696 {"bits": [29, 29], "name": "FORCE_Z_VALID"},
18697 {"bits": [30, 30], "name": "FORCE_STENCIL_VALID"},
18698 {"bits": [31, 31], "name": "PRESERVE_COMPRESSION"}
18699 ]
18700 },
18701 "DB_RENDER_OVERRIDE2": {
18702 "fields": [
18703 {"bits": [0, 1], "enum_ref": "DbPSLControl", "name": "PARTIAL_SQUAD_LAUNCH_CONTROL"},
18704 {"bits": [2, 4], "name": "PARTIAL_SQUAD_LAUNCH_COUNTDOWN"},
18705 {"bits": [5, 5], "name": "DISABLE_ZMASK_EXPCLEAR_OPTIMIZATION"},
18706 {"bits": [6, 6], "name": "DISABLE_SMEM_EXPCLEAR_OPTIMIZATION"},
18707 {"bits": [7, 7], "name": "DISABLE_COLOR_ON_VALIDATION"},
18708 {"bits": [8, 8], "name": "DECOMPRESS_Z_ON_FLUSH"},
18709 {"bits": [9, 9], "name": "DISABLE_REG_SNOOP"},
18710 {"bits": [10, 10], "name": "DEPTH_BOUNDS_HIER_DEPTH_DISABLE"},
18711 {"bits": [11, 11], "name": "SEPARATE_HIZS_FUNC_ENABLE"},
18712 {"bits": [12, 14], "enum_ref": "CompareFrag", "name": "HIZ_ZFUNC"},
18713 {"bits": [15, 17], "name": "HIS_SFUNC_FF"},
18714 {"bits": [18, 20], "name": "HIS_SFUNC_BF"},
18715 {"bits": [21, 21], "name": "PRESERVE_ZRANGE"},
18716 {"bits": [22, 22], "name": "PRESERVE_SRESULTS"},
18717 {"bits": [23, 23], "name": "DISABLE_FAST_PASS"},
18718 {"bits": [25, 25], "name": "ALLOW_PARTIAL_RES_HIER_KILL"}
18719 ]
18720 },
18721 "DB_RMI_L2_CACHE_CONTROL": {
18722 "fields": [
18723 {"bits": [0, 1], "enum_ref": "WritePolicy", "name": "Z_WR_POLICY"},
18724 {"bits": [2, 3], "enum_ref": "WritePolicy", "name": "S_WR_POLICY"},
18725 {"bits": [4, 5], "enum_ref": "WritePolicy", "name": "HTILE_WR_POLICY"},
18726 {"bits": [6, 7], "enum_ref": "WritePolicy", "name": "ZPCPSD_WR_POLICY"},
18727 {"bits": [16, 17], "enum_ref": "ReadPolicy", "name": "Z_RD_POLICY"},
18728 {"bits": [18, 19], "enum_ref": "ReadPolicy", "name": "S_RD_POLICY"},
18729 {"bits": [20, 21], "enum_ref": "ReadPolicy", "name": "HTILE_RD_POLICY"},
18730 {"bits": [24, 24], "name": "Z_BIG_PAGE"},
18731 {"bits": [25, 25], "name": "S_BIG_PAGE"}
18732 ]
18733 },
18734 "DB_SHADER_CONTROL": {
18735 "fields": [
18736 {"bits": [0, 0], "name": "Z_EXPORT_ENABLE"},
18737 {"bits": [1, 1], "name": "STENCIL_TEST_VAL_EXPORT_ENABLE"},
18738 {"bits": [2, 2], "name": "STENCIL_OP_VAL_EXPORT_ENABLE"},
18739 {"bits": [4, 5], "enum_ref": "ZOrder", "name": "Z_ORDER"},
18740 {"bits": [6, 6], "name": "KILL_ENABLE"},
18741 {"bits": [7, 7], "name": "COVERAGE_TO_MASK_ENABLE"},
18742 {"bits": [8, 8], "name": "MASK_EXPORT_ENABLE"},
18743 {"bits": [9, 9], "name": "EXEC_ON_HIER_FAIL"},
18744 {"bits": [10, 10], "name": "EXEC_ON_NOOP"},
18745 {"bits": [11, 11], "name": "ALPHA_TO_MASK_DISABLE"},
18746 {"bits": [12, 12], "name": "DEPTH_BEFORE_SHADER"},
18747 {"bits": [13, 14], "enum_ref": "ConservativeZExport", "name": "CONSERVATIVE_Z_EXPORT"},
18748 {"bits": [15, 15], "name": "DUAL_QUAD_DISABLE"},
18749 {"bits": [16, 16], "name": "PRIMITIVE_ORDERED_PIXEL_SHADER"},
18750 {"bits": [17, 17], "name": "EXEC_IF_OVERLAPPED"},
18751 {"bits": [20, 22], "name": "POPS_OVERLAP_NUM_SAMPLES"},
18752 {"bits": [23, 23], "name": "PRE_SHADER_DEPTH_COVERAGE_ENABLE"}
18753 ]
18754 },
18755 "DB_SRESULTS_COMPARE_STATE0": {
18756 "fields": [
18757 {"bits": [0, 2], "name": "COMPAREFUNC0"},
18758 {"bits": [4, 11], "name": "COMPAREVALUE0"},
18759 {"bits": [12, 19], "name": "COMPAREMASK0"},
18760 {"bits": [24, 24], "name": "ENABLE0"}
18761 ]
18762 },
18763 "DB_SRESULTS_COMPARE_STATE1": {
18764 "fields": [
18765 {"bits": [0, 2], "name": "COMPAREFUNC1"},
18766 {"bits": [4, 11], "name": "COMPAREVALUE1"},
18767 {"bits": [12, 19], "name": "COMPAREMASK1"},
18768 {"bits": [24, 24], "name": "ENABLE1"}
18769 ]
18770 },
18771 "DB_STENCILREFMASK": {
18772 "fields": [
18773 {"bits": [0, 7], "name": "STENCILTESTVAL"},
18774 {"bits": [8, 15], "name": "STENCILMASK"},
18775 {"bits": [16, 23], "name": "STENCILWRITEMASK"},
18776 {"bits": [24, 31], "name": "STENCILOPVAL"}
18777 ]
18778 },
18779 "DB_STENCILREFMASK_BF": {
18780 "fields": [
18781 {"bits": [0, 7], "name": "STENCILTESTVAL_BF"},
18782 {"bits": [8, 15], "name": "STENCILMASK_BF"},
18783 {"bits": [16, 23], "name": "STENCILWRITEMASK_BF"},
18784 {"bits": [24, 31], "name": "STENCILOPVAL_BF"}
18785 ]
18786 },
18787 "DB_STENCIL_CLEAR": {
18788 "fields": [
18789 {"bits": [0, 7], "name": "CLEAR"}
18790 ]
18791 },
18792 "DB_STENCIL_CONTROL": {
18793 "fields": [
18794 {"bits": [0, 3], "enum_ref": "StencilOp", "name": "STENCILFAIL"},
18795 {"bits": [4, 7], "enum_ref": "StencilOp", "name": "STENCILZPASS"},
18796 {"bits": [8, 11], "enum_ref": "StencilOp", "name": "STENCILZFAIL"},
18797 {"bits": [12, 15], "enum_ref": "StencilOp", "name": "STENCILFAIL_BF"},
18798 {"bits": [16, 19], "enum_ref": "StencilOp", "name": "STENCILZPASS_BF"},
18799 {"bits": [20, 23], "enum_ref": "StencilOp", "name": "STENCILZFAIL_BF"}
18800 ]
18801 },
18802 "DB_STENCIL_INFO": {
18803 "fields": [
18804 {"bits": [0, 0], "name": "FORMAT"},
18805 {"bits": [4, 8], "name": "SW_MODE"},
18806 {"bits": [9, 10], "enum_ref": "DbPRTFaultBehavior", "name": "FAULT_BEHAVIOR"},
18807 {"bits": [11, 11], "name": "ITERATE_FLUSH"},
18808 {"bits": [12, 12], "name": "PARTIALLY_RESIDENT"},
18809 {"bits": [13, 15], "name": "TILE_SPLIT"},
18810 {"bits": [20, 20], "name": "ITERATE_256"},
18811 {"bits": [27, 27], "name": "ALLOW_EXPCLEAR"},
18812 {"bits": [29, 29], "name": "TILE_STENCIL_DISABLE"}
18813 ]
18814 },
18815 "DB_ZPASS_COUNT_HI": {
18816 "fields": [
18817 {"bits": [0, 30], "name": "COUNT_HI"}
18818 ]
18819 },
18820 "DB_ZPASS_COUNT_LOW": {
18821 "fields": [
18822 {"bits": [0, 31], "name": "COUNT_LOW"}
18823 ]
18824 },
18825 "DB_Z_INFO": {
18826 "fields": [
18827 {"bits": [0, 1], "name": "FORMAT"},
18828 {"bits": [2, 3], "name": "NUM_SAMPLES"},
18829 {"bits": [4, 8], "name": "SW_MODE"},
18830 {"bits": [9, 10], "enum_ref": "DbPRTFaultBehavior", "name": "FAULT_BEHAVIOR"},
18831 {"bits": [11, 11], "name": "ITERATE_FLUSH"},
18832 {"bits": [12, 12], "name": "PARTIALLY_RESIDENT"},
18833 {"bits": [13, 15], "name": "TILE_SPLIT"},
18834 {"bits": [16, 19], "name": "MAXMIP"},
18835 {"bits": [20, 20], "name": "ITERATE_256"},
18836 {"bits": [23, 26], "name": "DECOMPRESS_ON_N_ZPLANES"},
18837 {"bits": [27, 27], "name": "ALLOW_EXPCLEAR"},
18838 {"bits": [28, 28], "name": "READ_SIZE"},
18839 {"bits": [29, 29], "name": "TILE_SURFACE_ENABLE"},
18840 {"bits": [31, 31], "name": "ZRANGE_PRECISION"}
18841 ]
18842 },
18843 "DB_Z_READ_BASE_HI": {
18844 "fields": [
18845 {"bits": [0, 7], "name": "BASE_HI"}
18846 ]
18847 },
18848 "GCR_PERFCOUNTER0_SELECT": {
18849 "fields": [
18850 {"bits": [0, 8], "enum_ref": "GCRPerfSel", "name": "PERF_SEL"},
18851 {"bits": [10, 18], "enum_ref": "GCRPerfSel", "name": "PERF_SEL1"},
18852 {"bits": [20, 23], "name": "CNTR_MODE"},
18853 {"bits": [24, 27], "name": "PERF_MODE1"},
18854 {"bits": [28, 31], "name": "PERF_MODE"}
18855 ]
18856 },
18857 "GCR_PERFCOUNTER0_SELECT1": {
18858 "fields": [
18859 {"bits": [0, 8], "enum_ref": "GCRPerfSel", "name": "PERF_SEL2"},
18860 {"bits": [10, 18], "enum_ref": "GCRPerfSel", "name": "PERF_SEL3"},
18861 {"bits": [24, 27], "name": "PERF_MODE3"},
18862 {"bits": [28, 31], "name": "PERF_MODE2"}
18863 ]
18864 },
18865 "GCR_PERFCOUNTER1_SELECT": {
18866 "fields": [
18867 {"bits": [0, 8], "enum_ref": "GCRPerfSel", "name": "PERF_SEL"},
18868 {"bits": [24, 27], "name": "PERF_MODE"},
18869 {"bits": [28, 31], "name": "CNTL_MODE"}
18870 ]
18871 },
18872 "GDS_ATOM_BASE": {
18873 "fields": [
18874 {"bits": [0, 15], "name": "BASE"},
18875 {"bits": [16, 31], "name": "UNUSED"}
18876 ]
18877 },
18878 "GDS_ATOM_CNTL": {
18879 "fields": [
18880 {"bits": [0, 5], "name": "AINC"},
18881 {"bits": [6, 7], "name": "UNUSED1"},
18882 {"bits": [8, 9], "name": "DMODE"},
18883 {"bits": [10, 31], "name": "UNUSED2"}
18884 ]
18885 },
18886 "GDS_ATOM_COMPLETE": {
18887 "fields": [
18888 {"bits": [0, 0], "name": "COMPLETE"},
18889 {"bits": [1, 31], "name": "UNUSED"}
18890 ]
18891 },
18892 "GDS_ATOM_DST": {
18893 "fields": [
18894 {"bits": [0, 31], "name": "DST"}
18895 ]
18896 },
18897 "GDS_ATOM_OFFSET0": {
18898 "fields": [
18899 {"bits": [0, 7], "name": "OFFSET0"},
18900 {"bits": [8, 31], "name": "UNUSED"}
18901 ]
18902 },
18903 "GDS_ATOM_OFFSET1": {
18904 "fields": [
18905 {"bits": [0, 7], "name": "OFFSET1"},
18906 {"bits": [8, 31], "name": "UNUSED"}
18907 ]
18908 },
18909 "GDS_ATOM_OP": {
18910 "fields": [
18911 {"bits": [0, 7], "name": "OP"},
18912 {"bits": [8, 31], "name": "UNUSED"}
18913 ]
18914 },
18915 "GDS_ATOM_SIZE": {
18916 "fields": [
18917 {"bits": [0, 15], "name": "SIZE"},
18918 {"bits": [16, 31], "name": "UNUSED"}
18919 ]
18920 },
18921 "GDS_GWS_RESOURCE": {
18922 "fields": [
18923 {"bits": [0, 0], "name": "FLAG"},
18924 {"bits": [1, 12], "name": "COUNTER"},
18925 {"bits": [13, 13], "name": "TYPE"},
18926 {"bits": [14, 14], "name": "DED"},
18927 {"bits": [15, 15], "name": "RELEASE_ALL"},
18928 {"bits": [16, 26], "name": "HEAD_QUEUE"},
18929 {"bits": [27, 27], "name": "HEAD_VALID"},
18930 {"bits": [28, 28], "name": "HEAD_FLAG"},
18931 {"bits": [29, 29], "name": "HALTED"},
18932 {"bits": [30, 31], "name": "UNUSED1"}
18933 ]
18934 },
18935 "GDS_GWS_RESOURCE_CNT": {
18936 "fields": [
18937 {"bits": [0, 15], "name": "RESOURCE_CNT"},
18938 {"bits": [16, 31], "name": "UNUSED"}
18939 ]
18940 },
18941 "GDS_GWS_RESOURCE_CNTL": {
18942 "fields": [
18943 {"bits": [0, 5], "name": "INDEX"},
18944 {"bits": [6, 31], "name": "UNUSED"}
18945 ]
18946 },
18947 "GDS_OA_ADDRESS": {
18948 "fields": [
18949 {"bits": [0, 15], "name": "DS_ADDRESS"},
18950 {"bits": [16, 19], "name": "CRAWLER_TYPE"},
18951 {"bits": [20, 23], "name": "CRAWLER"},
18952 {"bits": [24, 29], "name": "UNUSED"},
18953 {"bits": [30, 30], "name": "NO_ALLOC"},
18954 {"bits": [31, 31], "name": "ENABLE"}
18955 ]
18956 },
18957 "GDS_OA_CNTL": {
18958 "fields": [
18959 {"bits": [0, 3], "name": "INDEX"},
18960 {"bits": [4, 31], "name": "UNUSED"}
18961 ]
18962 },
18963 "GDS_OA_COUNTER": {
18964 "fields": [
18965 {"bits": [0, 31], "name": "SPACE_AVAILABLE"}
18966 ]
18967 },
18968 "GDS_OA_INCDEC": {
18969 "fields": [
18970 {"bits": [0, 30], "name": "VALUE"},
18971 {"bits": [31, 31], "name": "INCDEC"}
18972 ]
18973 },
18974 "GDS_OA_RING_SIZE": {
18975 "fields": [
18976 {"bits": [0, 31], "name": "RING_SIZE"}
18977 ]
18978 },
18979 "GDS_RD_ADDR": {
18980 "fields": [
18981 {"bits": [0, 31], "name": "READ_ADDR"}
18982 ]
18983 },
18984 "GDS_RD_BURST_ADDR": {
18985 "fields": [
18986 {"bits": [0, 31], "name": "BURST_ADDR"}
18987 ]
18988 },
18989 "GDS_RD_BURST_COUNT": {
18990 "fields": [
18991 {"bits": [0, 31], "name": "BURST_COUNT"}
18992 ]
18993 },
18994 "GDS_RD_BURST_DATA": {
18995 "fields": [
18996 {"bits": [0, 31], "name": "BURST_DATA"}
18997 ]
18998 },
18999 "GDS_RD_DATA": {
19000 "fields": [
19001 {"bits": [0, 31], "name": "READ_DATA"}
19002 ]
19003 },
19004 "GDS_WRITE_COMPLETE": {
19005 "fields": [
19006 {"bits": [0, 31], "name": "WRITE_COMPLETE"}
19007 ]
19008 },
19009 "GDS_WR_ADDR": {
19010 "fields": [
19011 {"bits": [0, 31], "name": "WRITE_ADDR"}
19012 ]
19013 },
19014 "GDS_WR_DATA": {
19015 "fields": [
19016 {"bits": [0, 31], "name": "WRITE_DATA"}
19017 ]
19018 },
19019 "GE_CNTL": {
19020 "fields": [
19021 {"bits": [0, 8], "name": "PRIM_GRP_SIZE"},
19022 {"bits": [9, 17], "name": "VERT_GRP_SIZE"},
19023 {"bits": [18, 18], "name": "BREAK_WAVE_AT_EOI"},
19024 {"bits": [19, 19], "name": "PACKET_TO_ONE_PA"}
19025 ]
19026 },
19027 "GE_USER_VGPR_EN": {
19028 "fields": [
19029 {"bits": [0, 0], "name": "EN_USER_VGPR1"},
19030 {"bits": [1, 1], "name": "EN_USER_VGPR2"},
19031 {"bits": [2, 2], "name": "EN_USER_VGPR3"}
19032 ]
19033 },
19034 "GE_DMA_FIRST_INDEX": {
19035 "fields": [
19036 {"bits": [0, 31], "name": "FIRST_INDEX"}
19037 ]
19038 },
19039 "GE_INDX_OFFSET": {
19040 "fields": [
19041 {"bits": [0, 31], "name": "INDX_OFFSET"}
19042 ]
19043 },
19044 "GE_MAX_OUTPUT_PER_SUBGROUP": {
19045 "fields": [
19046 {"bits": [0, 9], "name": "MAX_VERTS_PER_SUBGROUP"}
19047 ]
19048 },
19049 "GE_MAX_VTX_INDX": {
19050 "fields": [
19051 {"bits": [0, 31], "name": "MAX_INDX"}
19052 ]
19053 },
19054 "GE_MIN_VTX_INDX": {
19055 "fields": [
19056 {"bits": [0, 31], "name": "MIN_INDX"}
19057 ]
19058 },
19059 "GE_MULTI_PRIM_IB_RESET_EN": {
19060 "fields": [
19061 {"bits": [0, 0], "name": "RESET_EN"},
19062 {"bits": [1, 1], "name": "MATCH_ALL_BITS"}
19063 ]
19064 },
19065 "GE_NGG_SUBGRP_CNTL": {
19066 "fields": [
19067 {"bits": [0, 8], "name": "PRIM_AMP_FACTOR"},
19068 {"bits": [9, 17], "name": "THDS_PER_SUBGRP"}
19069 ]
19070 },
19071 "GE_PC_ALLOC": {
19072 "fields": [
19073 {"bits": [0, 0], "name": "OVERSUB_EN"},
19074 {"bits": [1, 10], "name": "NUM_PC_LINES"}
19075 ]
19076 },
19077 "GE_PERFCOUNTER0_SELECT": {
19078 "fields": [
19079 {"bits": [0, 9], "enum_ref": "GE_PERFCOUNT_SELECT", "name": "PERF_SEL0"},
19080 {"bits": [10, 19], "enum_ref": "GE_PERFCOUNT_SELECT", "name": "PERF_SEL1"},
19081 {"bits": [20, 23], "name": "CNTR_MODE"},
19082 {"bits": [24, 27], "name": "PERF_MODE0"},
19083 {"bits": [28, 31], "name": "PERF_MODE1"}
19084 ]
19085 },
19086 "GE_PERFCOUNTER0_SELECT1": {
19087 "fields": [
19088 {"bits": [0, 9], "enum_ref": "GE_PERFCOUNT_SELECT", "name": "PERF_SEL2"},
19089 {"bits": [10, 19], "enum_ref": "GE_PERFCOUNT_SELECT", "name": "PERF_SEL3"},
19090 {"bits": [24, 27], "name": "PERF_MODE2"},
19091 {"bits": [28, 31], "name": "PERF_MODE3"}
19092 ]
19093 },
19094 "GE_PERFCOUNTER4_SELECT": {
19095 "fields": [
19096 {"bits": [0, 9], "enum_ref": "GE_PERFCOUNT_SELECT", "name": "PERF_SEL0"},
19097 {"bits": [28, 31], "name": "PERF_MODE"}
19098 ]
19099 },
19100 "GE_STEREO_CNTL": {
19101 "fields": [
19102 {"bits": [0, 2], "name": "RT_SLICE"},
19103 {"bits": [3, 6], "name": "VIEWPORT"},
19104 {"bits": [8, 8], "name": "EN_STEREO"}
19105 ]
19106 },
19107 "GL1A_PERFCOUNTER0_SELECT": {
19108 "fields": [
19109 {"bits": [0, 9], "enum_ref": "GL1A_PERF_SEL", "name": "PERF_SEL"},
19110 {"bits": [10, 19], "enum_ref": "GL1A_PERF_SEL", "name": "PERF_SEL1"},
19111 {"bits": [20, 23], "name": "CNTR_MODE"},
19112 {"bits": [24, 27], "name": "PERF_MODE1"},
19113 {"bits": [28, 31], "name": "PERF_MODE"}
19114 ]
19115 },
19116 "GL1A_PERFCOUNTER0_SELECT1": {
19117 "fields": [
19118 {"bits": [0, 9], "enum_ref": "GL1A_PERF_SEL", "name": "PERF_SEL2"},
19119 {"bits": [10, 19], "enum_ref": "GL1A_PERF_SEL", "name": "PERF_SEL3"},
19120 {"bits": [24, 27], "name": "PERF_MODE2"},
19121 {"bits": [28, 31], "name": "PERF_MODE3"}
19122 ]
19123 },
19124 "GL1A_PERFCOUNTER1_SELECT": {
19125 "fields": [
19126 {"bits": [0, 9], "enum_ref": "GL1A_PERF_SEL", "name": "PERF_SEL"},
19127 {"bits": [20, 23], "name": "CNTR_MODE"},
19128 {"bits": [28, 31], "name": "PERF_MODE"}
19129 ]
19130 },
19131 "GL1CG_PERFCOUNTER0_SELECT": {
19132 "fields": [
19133 {"bits": [0, 9], "enum_ref": "GL1CG_PERF_SEL", "name": "PERF_SEL"},
19134 {"bits": [10, 19], "enum_ref": "GL1CG_PERF_SEL", "name": "PERF_SEL1"},
19135 {"bits": [20, 23], "name": "CNTR_MODE"},
19136 {"bits": [24, 27], "name": "PERF_MODE1"},
19137 {"bits": [28, 31], "name": "PERF_MODE"}
19138 ]
19139 },
19140 "GL1CG_PERFCOUNTER0_SELECT1": {
19141 "fields": [
19142 {"bits": [0, 9], "enum_ref": "GL1CG_PERF_SEL", "name": "PERF_SEL2"},
19143 {"bits": [10, 19], "enum_ref": "GL1CG_PERF_SEL", "name": "PERF_SEL3"},
19144 {"bits": [24, 27], "name": "PERF_MODE2"},
19145 {"bits": [28, 31], "name": "PERF_MODE3"}
19146 ]
19147 },
19148 "GL1CG_PERFCOUNTER1_SELECT": {
19149 "fields": [
19150 {"bits": [0, 9], "enum_ref": "GL1CG_PERF_SEL", "name": "PERF_SEL"},
19151 {"bits": [20, 23], "name": "CNTR_MODE"},
19152 {"bits": [28, 31], "name": "PERF_MODE"}
19153 ]
19154 },
19155 "GL1C_PERFCOUNTER0_SELECT": {
19156 "fields": [
19157 {"bits": [0, 9], "enum_ref": "GL1C_PERF_SEL", "name": "PERF_SEL"},
19158 {"bits": [10, 19], "enum_ref": "GL1C_PERF_SEL", "name": "PERF_SEL1"},
19159 {"bits": [20, 23], "name": "CNTR_MODE"},
19160 {"bits": [24, 27], "name": "PERF_MODE1"},
19161 {"bits": [28, 31], "name": "PERF_MODE"}
19162 ]
19163 },
19164 "GL1C_PERFCOUNTER0_SELECT1": {
19165 "fields": [
19166 {"bits": [0, 9], "enum_ref": "GL1C_PERF_SEL", "name": "PERF_SEL2"},
19167 {"bits": [10, 19], "enum_ref": "GL1C_PERF_SEL", "name": "PERF_SEL3"},
19168 {"bits": [24, 27], "name": "PERF_MODE2"},
19169 {"bits": [28, 31], "name": "PERF_MODE3"}
19170 ]
19171 },
19172 "GL1C_PERFCOUNTER1_SELECT": {
19173 "fields": [
19174 {"bits": [0, 9], "enum_ref": "GL1C_PERF_SEL", "name": "PERF_SEL"},
19175 {"bits": [20, 23], "name": "CNTR_MODE"},
19176 {"bits": [28, 31], "name": "PERF_MODE"}
19177 ]
19178 },
19179 "GL2A_PERFCOUNTER0_SELECT": {
19180 "fields": [
19181 {"bits": [0, 9], "enum_ref": "GL2A_PERF_SEL", "name": "PERF_SEL"},
19182 {"bits": [10, 19], "enum_ref": "GL2A_PERF_SEL", "name": "PERF_SEL1"},
19183 {"bits": [20, 23], "name": "CNTR_MODE"},
19184 {"bits": [24, 27], "name": "PERF_MODE1"},
19185 {"bits": [28, 31], "name": "PERF_MODE"}
19186 ]
19187 },
19188 "GL2A_PERFCOUNTER0_SELECT1": {
19189 "fields": [
19190 {"bits": [0, 9], "enum_ref": "GL2A_PERF_SEL", "name": "PERF_SEL2"},
19191 {"bits": [10, 19], "enum_ref": "GL2A_PERF_SEL", "name": "PERF_SEL3"},
19192 {"bits": [24, 27], "name": "PERF_MODE2"},
19193 {"bits": [28, 31], "name": "PERF_MODE3"}
19194 ]
19195 },
19196 "GL2A_PERFCOUNTER2_SELECT": {
19197 "fields": [
19198 {"bits": [0, 9], "enum_ref": "GL2A_PERF_SEL", "name": "PERF_SEL"},
19199 {"bits": [20, 23], "name": "CNTR_MODE"},
19200 {"bits": [28, 31], "name": "PERF_MODE"}
19201 ]
19202 },
19203 "GL2C_PERFCOUNTER0_SELECT": {
19204 "fields": [
19205 {"bits": [0, 9], "enum_ref": "GL2C_PERF_SEL", "name": "PERF_SEL"},
19206 {"bits": [10, 19], "enum_ref": "GL2C_PERF_SEL", "name": "PERF_SEL1"},
19207 {"bits": [20, 23], "name": "CNTR_MODE"},
19208 {"bits": [24, 27], "name": "PERF_MODE1"},
19209 {"bits": [28, 31], "name": "PERF_MODE"}
19210 ]
19211 },
19212 "GL2C_PERFCOUNTER0_SELECT1": {
19213 "fields": [
19214 {"bits": [0, 9], "enum_ref": "GL2C_PERF_SEL", "name": "PERF_SEL2"},
19215 {"bits": [10, 19], "enum_ref": "GL2C_PERF_SEL", "name": "PERF_SEL3"},
19216 {"bits": [24, 27], "name": "PERF_MODE2"},
19217 {"bits": [28, 31], "name": "PERF_MODE3"}
19218 ]
19219 },
19220 "GL2C_PERFCOUNTER2_SELECT": {
19221 "fields": [
19222 {"bits": [0, 9], "enum_ref": "GL2C_PERF_SEL", "name": "PERF_SEL"},
19223 {"bits": [20, 23], "name": "CNTR_MODE"},
19224 {"bits": [28, 31], "name": "PERF_MODE"}
19225 ]
19226 },
19227 "GRBM_GFX_INDEX": {
19228 "fields": [
19229 {"bits": [0, 7], "name": "INSTANCE_INDEX"},
19230 {"bits": [8, 15], "name": "SA_INDEX"},
19231 {"bits": [16, 23], "name": "SE_INDEX"},
19232 {"bits": [29, 29], "name": "SA_BROADCAST_WRITES"},
19233 {"bits": [30, 30], "name": "INSTANCE_BROADCAST_WRITES"},
19234 {"bits": [31, 31], "name": "SE_BROADCAST_WRITES"}
19235 ]
19236 },
19237 "GRBM_PERFCOUNTER0_SELECT": {
19238 "fields": [
19239 {"bits": [0, 5], "enum_ref": "GRBM_PERF_SEL", "name": "PERF_SEL"},
19240 {"bits": [10, 10], "name": "DB_CLEAN_USER_DEFINED_MASK"},
19241 {"bits": [11, 11], "name": "CB_CLEAN_USER_DEFINED_MASK"},
19242 {"bits": [13, 13], "name": "TA_BUSY_USER_DEFINED_MASK"},
19243 {"bits": [14, 14], "name": "SX_BUSY_USER_DEFINED_MASK"},
19244 {"bits": [16, 16], "name": "SPI_BUSY_USER_DEFINED_MASK"},
19245 {"bits": [17, 17], "name": "SC_BUSY_USER_DEFINED_MASK"},
19246 {"bits": [18, 18], "name": "PA_BUSY_USER_DEFINED_MASK"},
19247 {"bits": [19, 19], "name": "GRBM_BUSY_USER_DEFINED_MASK"},
19248 {"bits": [20, 20], "name": "DB_BUSY_USER_DEFINED_MASK"},
19249 {"bits": [21, 21], "name": "CB_BUSY_USER_DEFINED_MASK"},
19250 {"bits": [22, 22], "name": "CP_BUSY_USER_DEFINED_MASK"},
19251 {"bits": [24, 24], "name": "GDS_BUSY_USER_DEFINED_MASK"},
19252 {"bits": [25, 25], "name": "BCI_BUSY_USER_DEFINED_MASK"},
19253 {"bits": [26, 26], "name": "RLC_BUSY_USER_DEFINED_MASK"},
19254 {"bits": [27, 27], "name": "TCP_BUSY_USER_DEFINED_MASK"},
19255 {"bits": [28, 28], "name": "GE_BUSY_USER_DEFINED_MASK"},
19256 {"bits": [29, 29], "name": "UTCL2_BUSY_USER_DEFINED_MASK"},
19257 {"bits": [30, 30], "name": "EA_BUSY_USER_DEFINED_MASK"},
19258 {"bits": [31, 31], "name": "RMI_BUSY_USER_DEFINED_MASK"}
19259 ]
19260 },
19261 "GRBM_PERFCOUNTER0_SELECT_HI": {
19262 "fields": [
19263 {"bits": [1, 1], "name": "UTCL1_BUSY_USER_DEFINED_MASK"},
19264 {"bits": [2, 2], "name": "GL2CC_BUSY_USER_DEFINED_MASK"},
19265 {"bits": [3, 3], "name": "SDMA_BUSY_USER_DEFINED_MASK"},
19266 {"bits": [4, 4], "name": "CH_BUSY_USER_DEFINED_MASK"},
19267 {"bits": [5, 5], "name": "PH_BUSY_USER_DEFINED_MASK"},
19268 {"bits": [6, 6], "name": "PMM_BUSY_USER_DEFINED_MASK"},
19269 {"bits": [7, 7], "name": "GUS_BUSY_USER_DEFINED_MASK"},
19270 {"bits": [8, 8], "name": "GL1CC_BUSY_USER_DEFINED_MASK"}
19271 ]
19272 },
19273 "GRBM_SE0_PERFCOUNTER_SELECT": {
19274 "fields": [
19275 {"bits": [0, 5], "enum_ref": "GRBM_SE0_PERF_SEL", "name": "PERF_SEL"},
19276 {"bits": [10, 10], "name": "DB_CLEAN_USER_DEFINED_MASK"},
19277 {"bits": [11, 11], "name": "CB_CLEAN_USER_DEFINED_MASK"},
19278 {"bits": [12, 12], "name": "TA_BUSY_USER_DEFINED_MASK"},
19279 {"bits": [13, 13], "name": "SX_BUSY_USER_DEFINED_MASK"},
19280 {"bits": [15, 15], "name": "SPI_BUSY_USER_DEFINED_MASK"},
19281 {"bits": [16, 16], "name": "SC_BUSY_USER_DEFINED_MASK"},
19282 {"bits": [17, 17], "name": "DB_BUSY_USER_DEFINED_MASK"},
19283 {"bits": [18, 18], "name": "CB_BUSY_USER_DEFINED_MASK"},
19284 {"bits": [20, 20], "name": "PA_BUSY_USER_DEFINED_MASK"},
19285 {"bits": [21, 21], "name": "BCI_BUSY_USER_DEFINED_MASK"},
19286 {"bits": [22, 22], "name": "RMI_BUSY_USER_DEFINED_MASK"},
19287 {"bits": [23, 23], "name": "UTCL1_BUSY_USER_DEFINED_MASK"},
19288 {"bits": [24, 24], "name": "TCP_BUSY_USER_DEFINED_MASK"},
19289 {"bits": [25, 25], "name": "GL1CC_BUSY_USER_DEFINED_MASK"}
19290 ]
19291 },
19292 "GRBM_SE1_PERFCOUNTER_SELECT": {
19293 "fields": [
19294 {"bits": [0, 5], "enum_ref": "GRBM_SE1_PERF_SEL", "name": "PERF_SEL"},
19295 {"bits": [10, 10], "name": "DB_CLEAN_USER_DEFINED_MASK"},
19296 {"bits": [11, 11], "name": "CB_CLEAN_USER_DEFINED_MASK"},
19297 {"bits": [12, 12], "name": "TA_BUSY_USER_DEFINED_MASK"},
19298 {"bits": [13, 13], "name": "SX_BUSY_USER_DEFINED_MASK"},
19299 {"bits": [15, 15], "name": "SPI_BUSY_USER_DEFINED_MASK"},
19300 {"bits": [16, 16], "name": "SC_BUSY_USER_DEFINED_MASK"},
19301 {"bits": [17, 17], "name": "DB_BUSY_USER_DEFINED_MASK"},
19302 {"bits": [18, 18], "name": "CB_BUSY_USER_DEFINED_MASK"},
19303 {"bits": [20, 20], "name": "PA_BUSY_USER_DEFINED_MASK"},
19304 {"bits": [21, 21], "name": "BCI_BUSY_USER_DEFINED_MASK"},
19305 {"bits": [22, 22], "name": "RMI_BUSY_USER_DEFINED_MASK"},
19306 {"bits": [23, 23], "name": "UTCL1_BUSY_USER_DEFINED_MASK"},
19307 {"bits": [24, 24], "name": "TCP_BUSY_USER_DEFINED_MASK"},
19308 {"bits": [25, 25], "name": "GL1CC_BUSY_USER_DEFINED_MASK"}
19309 ]
19310 },
19311 "GRBM_SE2_PERFCOUNTER_SELECT": {
19312 "fields": [
19313 {"bits": [0, 5], "enum_ref": "GRBM_SE2_PERF_SEL", "name": "PERF_SEL"},
19314 {"bits": [10, 10], "name": "DB_CLEAN_USER_DEFINED_MASK"},
19315 {"bits": [11, 11], "name": "CB_CLEAN_USER_DEFINED_MASK"},
19316 {"bits": [12, 12], "name": "TA_BUSY_USER_DEFINED_MASK"},
19317 {"bits": [13, 13], "name": "SX_BUSY_USER_DEFINED_MASK"},
19318 {"bits": [15, 15], "name": "SPI_BUSY_USER_DEFINED_MASK"},
19319 {"bits": [16, 16], "name": "SC_BUSY_USER_DEFINED_MASK"},
19320 {"bits": [17, 17], "name": "DB_BUSY_USER_DEFINED_MASK"},
19321 {"bits": [18, 18], "name": "CB_BUSY_USER_DEFINED_MASK"},
19322 {"bits": [20, 20], "name": "PA_BUSY_USER_DEFINED_MASK"},
19323 {"bits": [21, 21], "name": "BCI_BUSY_USER_DEFINED_MASK"},
19324 {"bits": [22, 22], "name": "RMI_BUSY_USER_DEFINED_MASK"},
19325 {"bits": [23, 23], "name": "UTCL1_BUSY_USER_DEFINED_MASK"},
19326 {"bits": [24, 24], "name": "TCP_BUSY_USER_DEFINED_MASK"},
19327 {"bits": [25, 25], "name": "GL1CC_BUSY_USER_DEFINED_MASK"}
19328 ]
19329 },
19330 "GRBM_SE3_PERFCOUNTER_SELECT": {
19331 "fields": [
19332 {"bits": [0, 5], "enum_ref": "GRBM_SE3_PERF_SEL", "name": "PERF_SEL"},
19333 {"bits": [10, 10], "name": "DB_CLEAN_USER_DEFINED_MASK"},
19334 {"bits": [11, 11], "name": "CB_CLEAN_USER_DEFINED_MASK"},
19335 {"bits": [12, 12], "name": "TA_BUSY_USER_DEFINED_MASK"},
19336 {"bits": [13, 13], "name": "SX_BUSY_USER_DEFINED_MASK"},
19337 {"bits": [15, 15], "name": "SPI_BUSY_USER_DEFINED_MASK"},
19338 {"bits": [16, 16], "name": "SC_BUSY_USER_DEFINED_MASK"},
19339 {"bits": [17, 17], "name": "DB_BUSY_USER_DEFINED_MASK"},
19340 {"bits": [18, 18], "name": "CB_BUSY_USER_DEFINED_MASK"},
19341 {"bits": [20, 20], "name": "PA_BUSY_USER_DEFINED_MASK"},
19342 {"bits": [21, 21], "name": "BCI_BUSY_USER_DEFINED_MASK"},
19343 {"bits": [22, 22], "name": "RMI_BUSY_USER_DEFINED_MASK"},
19344 {"bits": [23, 23], "name": "UTCL1_BUSY_USER_DEFINED_MASK"},
19345 {"bits": [24, 24], "name": "TCP_BUSY_USER_DEFINED_MASK"},
19346 {"bits": [25, 25], "name": "GL1CC_BUSY_USER_DEFINED_MASK"}
19347 ]
19348 },
19349 "IA_ENHANCE": {
19350 "fields": [
19351 {"bits": [0, 31], "name": "MISC"}
19352 ]
19353 },
19354 "IA_MULTI_VGT_PARAM": {
19355 "fields": [
19356 {"bits": [0, 15], "name": "PRIMGROUP_SIZE"},
19357 {"bits": [16, 16], "name": "PARTIAL_VS_WAVE_ON"},
19358 {"bits": [17, 17], "name": "SWITCH_ON_EOP"},
19359 {"bits": [18, 18], "name": "PARTIAL_ES_WAVE_ON"},
19360 {"bits": [19, 19], "name": "SWITCH_ON_EOI"},
19361 {"bits": [20, 20], "name": "WD_SWITCH_ON_EOP"}
19362 ]
19363 },
19364 "IA_MULTI_VGT_PARAM_PIPED": {
19365 "fields": [
19366 {"bits": [0, 15], "name": "PRIMGROUP_SIZE"},
19367 {"bits": [16, 16], "name": "PARTIAL_VS_WAVE_ON"},
19368 {"bits": [17, 17], "name": "SWITCH_ON_EOP"},
19369 {"bits": [18, 18], "name": "PARTIAL_ES_WAVE_ON"},
19370 {"bits": [19, 19], "name": "SWITCH_ON_EOI"},
19371 {"bits": [20, 20], "name": "WD_SWITCH_ON_EOP"},
19372 {"bits": [21, 21], "name": "EN_INST_OPT_BASIC"},
19373 {"bits": [22, 22], "name": "EN_INST_OPT_ADV"},
19374 {"bits": [23, 23], "name": "HW_USE_ONLY"}
19375 ]
19376 },
19377 "PA_CL_CLIP_CNTL": {
19378 "fields": [
19379 {"bits": [0, 0], "name": "UCP_ENA_0"},
19380 {"bits": [1, 1], "name": "UCP_ENA_1"},
19381 {"bits": [2, 2], "name": "UCP_ENA_2"},
19382 {"bits": [3, 3], "name": "UCP_ENA_3"},
19383 {"bits": [4, 4], "name": "UCP_ENA_4"},
19384 {"bits": [5, 5], "name": "UCP_ENA_5"},
19385 {"bits": [13, 13], "name": "PS_UCP_Y_SCALE_NEG"},
19386 {"bits": [14, 15], "name": "PS_UCP_MODE"},
19387 {"bits": [16, 16], "name": "CLIP_DISABLE"},
19388 {"bits": [17, 17], "name": "UCP_CULL_ONLY_ENA"},
19389 {"bits": [18, 18], "name": "BOUNDARY_EDGE_FLAG_ENA"},
19390 {"bits": [19, 19], "name": "DX_CLIP_SPACE_DEF"},
19391 {"bits": [20, 20], "name": "DIS_CLIP_ERR_DETECT"},
19392 {"bits": [21, 21], "name": "VTX_KILL_OR"},
19393 {"bits": [22, 22], "name": "DX_RASTERIZATION_KILL"},
19394 {"bits": [24, 24], "name": "DX_LINEAR_ATTR_CLIP_ENA"},
19395 {"bits": [25, 25], "name": "VTE_VPORT_PROVOKE_DISABLE"},
19396 {"bits": [26, 26], "name": "ZCLIP_NEAR_DISABLE"},
19397 {"bits": [27, 27], "name": "ZCLIP_FAR_DISABLE"},
19398 {"bits": [28, 28], "name": "ZCLIP_PROG_NEAR_ENA"}
19399 ]
19400 },
19401 "PA_CL_GB_VERT_CLIP_ADJ": {
19402 "fields": [
19403 {"bits": [0, 31], "name": "DATA_REGISTER"}
19404 ]
19405 },
19406 "PA_CL_NANINF_CNTL": {
19407 "fields": [
19408 {"bits": [0, 0], "name": "VTE_XY_INF_DISCARD"},
19409 {"bits": [1, 1], "name": "VTE_Z_INF_DISCARD"},
19410 {"bits": [2, 2], "name": "VTE_W_INF_DISCARD"},
19411 {"bits": [3, 3], "name": "VTE_0XNANINF_IS_0"},
19412 {"bits": [4, 4], "name": "VTE_XY_NAN_RETAIN"},
19413 {"bits": [5, 5], "name": "VTE_Z_NAN_RETAIN"},
19414 {"bits": [6, 6], "name": "VTE_W_NAN_RETAIN"},
19415 {"bits": [7, 7], "name": "VTE_W_RECIP_NAN_IS_0"},
19416 {"bits": [8, 8], "name": "VS_XY_NAN_TO_INF"},
19417 {"bits": [9, 9], "name": "VS_XY_INF_RETAIN"},
19418 {"bits": [10, 10], "name": "VS_Z_NAN_TO_INF"},
19419 {"bits": [11, 11], "name": "VS_Z_INF_RETAIN"},
19420 {"bits": [12, 12], "name": "VS_W_NAN_TO_INF"},
19421 {"bits": [13, 13], "name": "VS_W_INF_RETAIN"},
19422 {"bits": [14, 14], "name": "VS_CLIP_DIST_INF_DISCARD"},
19423 {"bits": [20, 20], "name": "VTE_NO_OUTPUT_NEG_0"}
19424 ]
19425 },
19426 "PA_CL_NGG_CNTL": {
19427 "fields": [
19428 {"bits": [0, 0], "name": "VERTEX_REUSE_OFF"},
19429 {"bits": [1, 1], "name": "INDEX_BUF_EDGE_FLAG_ENA"}
19430 ]
19431 },
19432 "PA_CL_OBJPRIM_ID_CNTL": {
19433 "fields": [
19434 {"bits": [0, 0], "name": "OBJ_ID_SEL"},
19435 {"bits": [1, 1], "name": "ADD_PIPED_PRIM_ID"}
19436 ]
19437 },
19438 "PA_CL_VPORT_XOFFSET": {
19439 "fields": [
19440 {"bits": [0, 31], "name": "VPORT_XOFFSET"}
19441 ]
19442 },
19443 "PA_CL_VPORT_XSCALE": {
19444 "fields": [
19445 {"bits": [0, 31], "name": "VPORT_XSCALE"}
19446 ]
19447 },
19448 "PA_CL_VPORT_YOFFSET": {
19449 "fields": [
19450 {"bits": [0, 31], "name": "VPORT_YOFFSET"}
19451 ]
19452 },
19453 "PA_CL_VPORT_YSCALE": {
19454 "fields": [
19455 {"bits": [0, 31], "name": "VPORT_YSCALE"}
19456 ]
19457 },
19458 "PA_CL_VPORT_ZOFFSET": {
19459 "fields": [
19460 {"bits": [0, 31], "name": "VPORT_ZOFFSET"}
19461 ]
19462 },
19463 "PA_CL_VPORT_ZSCALE": {
19464 "fields": [
19465 {"bits": [0, 31], "name": "VPORT_ZSCALE"}
19466 ]
19467 },
19468 "PA_CL_VS_OUT_CNTL": {
19469 "fields": [
19470 {"bits": [0, 0], "name": "CLIP_DIST_ENA_0"},
19471 {"bits": [1, 1], "name": "CLIP_DIST_ENA_1"},
19472 {"bits": [2, 2], "name": "CLIP_DIST_ENA_2"},
19473 {"bits": [3, 3], "name": "CLIP_DIST_ENA_3"},
19474 {"bits": [4, 4], "name": "CLIP_DIST_ENA_4"},
19475 {"bits": [5, 5], "name": "CLIP_DIST_ENA_5"},
19476 {"bits": [6, 6], "name": "CLIP_DIST_ENA_6"},
19477 {"bits": [7, 7], "name": "CLIP_DIST_ENA_7"},
19478 {"bits": [8, 8], "name": "CULL_DIST_ENA_0"},
19479 {"bits": [9, 9], "name": "CULL_DIST_ENA_1"},
19480 {"bits": [10, 10], "name": "CULL_DIST_ENA_2"},
19481 {"bits": [11, 11], "name": "CULL_DIST_ENA_3"},
19482 {"bits": [12, 12], "name": "CULL_DIST_ENA_4"},
19483 {"bits": [13, 13], "name": "CULL_DIST_ENA_5"},
19484 {"bits": [14, 14], "name": "CULL_DIST_ENA_6"},
19485 {"bits": [15, 15], "name": "CULL_DIST_ENA_7"},
19486 {"bits": [16, 16], "name": "USE_VTX_POINT_SIZE"},
19487 {"bits": [17, 17], "name": "USE_VTX_EDGE_FLAG"},
19488 {"bits": [18, 18], "name": "USE_VTX_RENDER_TARGET_INDX"},
19489 {"bits": [19, 19], "name": "USE_VTX_VIEWPORT_INDX"},
19490 {"bits": [20, 20], "name": "USE_VTX_KILL_FLAG"},
19491 {"bits": [21, 21], "name": "VS_OUT_MISC_VEC_ENA"},
19492 {"bits": [22, 22], "name": "VS_OUT_CCDIST0_VEC_ENA"},
19493 {"bits": [23, 23], "name": "VS_OUT_CCDIST1_VEC_ENA"},
19494 {"bits": [24, 24], "name": "VS_OUT_MISC_SIDE_BUS_ENA"},
19495 {"bits": [25, 25], "name": "USE_VTX_GS_CUT_FLAG"},
19496 {"bits": [26, 26], "name": "USE_VTX_SHD_OBJPRIM_ID"},
19497 {"bits": [27, 27], "name": "USE_VTX_LINE_WIDTH"}
19498 ]
19499 },
19500 "PA_CL_VTE_CNTL": {
19501 "fields": [
19502 {"bits": [0, 0], "name": "VPORT_X_SCALE_ENA"},
19503 {"bits": [1, 1], "name": "VPORT_X_OFFSET_ENA"},
19504 {"bits": [2, 2], "name": "VPORT_Y_SCALE_ENA"},
19505 {"bits": [3, 3], "name": "VPORT_Y_OFFSET_ENA"},
19506 {"bits": [4, 4], "name": "VPORT_Z_SCALE_ENA"},
19507 {"bits": [5, 5], "name": "VPORT_Z_OFFSET_ENA"},
19508 {"bits": [8, 8], "name": "VTX_XY_FMT"},
19509 {"bits": [9, 9], "name": "VTX_Z_FMT"},
19510 {"bits": [10, 10], "name": "VTX_W0_FMT"},
19511 {"bits": [11, 11], "name": "PERFCOUNTER_REF"}
19512 ]
19513 },
19514 "PA_PH_PERFCOUNTER0_SELECT": {
19515 "fields": [
19516 {"bits": [0, 9], "enum_ref": "PH_PERFCNT_SEL", "name": "PERF_SEL"},
19517 {"bits": [10, 19], "enum_ref": "PH_PERFCNT_SEL", "name": "PERF_SEL1"},
19518 {"bits": [20, 23], "name": "CNTR_MODE"},
19519 {"bits": [24, 27], "name": "PERF_MODE1"},
19520 {"bits": [28, 31], "name": "PERF_MODE"}
19521 ]
19522 },
19523 "PA_PH_PERFCOUNTER0_SELECT1": {
19524 "fields": [
19525 {"bits": [0, 9], "enum_ref": "PH_PERFCNT_SEL", "name": "PERF_SEL2"},
19526 {"bits": [10, 19], "enum_ref": "PH_PERFCNT_SEL", "name": "PERF_SEL3"},
19527 {"bits": [24, 27], "name": "PERF_MODE3"},
19528 {"bits": [28, 31], "name": "PERF_MODE2"}
19529 ]
19530 },
19531 "PA_PH_PERFCOUNTER4_SELECT": {
19532 "fields": [
19533 {"bits": [0, 9], "enum_ref": "PH_PERFCNT_SEL", "name": "PERF_SEL"}
19534 ]
19535 },
19536 "PA_SC_AA_CONFIG": {
19537 "fields": [
19538 {"bits": [0, 2], "name": "MSAA_NUM_SAMPLES"},
19539 {"bits": [4, 4], "name": "AA_MASK_CENTROID_DTMN"},
19540 {"bits": [13, 16], "name": "MAX_SAMPLE_DIST"},
19541 {"bits": [20, 22], "name": "MSAA_EXPOSED_SAMPLES"},
19542 {"bits": [24, 25], "name": "DETAIL_TO_EXPOSED_MODE"},
19543 {"bits": [26, 27], "enum_ref": "CovToShaderSel", "name": "COVERAGE_TO_SHADER_SELECT"}
19544 ]
19545 },
19546 "PA_SC_AA_MASK_X0Y0_X1Y0": {
19547 "fields": [
19548 {"bits": [0, 15], "name": "AA_MASK_X0Y0"},
19549 {"bits": [16, 31], "name": "AA_MASK_X1Y0"}
19550 ]
19551 },
19552 "PA_SC_AA_MASK_X0Y1_X1Y1": {
19553 "fields": [
19554 {"bits": [0, 15], "name": "AA_MASK_X0Y1"},
19555 {"bits": [16, 31], "name": "AA_MASK_X1Y1"}
19556 ]
19557 },
19558 "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_0": {
19559 "fields": [
19560 {"bits": [0, 3], "name": "S0_X"},
19561 {"bits": [4, 7], "name": "S0_Y"},
19562 {"bits": [8, 11], "name": "S1_X"},
19563 {"bits": [12, 15], "name": "S1_Y"},
19564 {"bits": [16, 19], "name": "S2_X"},
19565 {"bits": [20, 23], "name": "S2_Y"},
19566 {"bits": [24, 27], "name": "S3_X"},
19567 {"bits": [28, 31], "name": "S3_Y"}
19568 ]
19569 },
19570 "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_1": {
19571 "fields": [
19572 {"bits": [0, 3], "name": "S4_X"},
19573 {"bits": [4, 7], "name": "S4_Y"},
19574 {"bits": [8, 11], "name": "S5_X"},
19575 {"bits": [12, 15], "name": "S5_Y"},
19576 {"bits": [16, 19], "name": "S6_X"},
19577 {"bits": [20, 23], "name": "S6_Y"},
19578 {"bits": [24, 27], "name": "S7_X"},
19579 {"bits": [28, 31], "name": "S7_Y"}
19580 ]
19581 },
19582 "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_2": {
19583 "fields": [
19584 {"bits": [0, 3], "name": "S8_X"},
19585 {"bits": [4, 7], "name": "S8_Y"},
19586 {"bits": [8, 11], "name": "S9_X"},
19587 {"bits": [12, 15], "name": "S9_Y"},
19588 {"bits": [16, 19], "name": "S10_X"},
19589 {"bits": [20, 23], "name": "S10_Y"},
19590 {"bits": [24, 27], "name": "S11_X"},
19591 {"bits": [28, 31], "name": "S11_Y"}
19592 ]
19593 },
19594 "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_3": {
19595 "fields": [
19596 {"bits": [0, 3], "name": "S12_X"},
19597 {"bits": [4, 7], "name": "S12_Y"},
19598 {"bits": [8, 11], "name": "S13_X"},
19599 {"bits": [12, 15], "name": "S13_Y"},
19600 {"bits": [16, 19], "name": "S14_X"},
19601 {"bits": [20, 23], "name": "S14_Y"},
19602 {"bits": [24, 27], "name": "S15_X"},
19603 {"bits": [28, 31], "name": "S15_Y"}
19604 ]
19605 },
19606 "PA_SC_BINNER_CNTL_0": {
19607 "fields": [
19608 {"bits": [0, 1], "enum_ref": "BinningMode", "name": "BINNING_MODE"},
19609 {"bits": [2, 2], "name": "BIN_SIZE_X"},
19610 {"bits": [3, 3], "name": "BIN_SIZE_Y"},
19611 {"bits": [4, 6], "enum_ref": "BinSizeExtend", "name": "BIN_SIZE_X_EXTEND"},
19612 {"bits": [7, 9], "enum_ref": "BinSizeExtend", "name": "BIN_SIZE_Y_EXTEND"},
19613 {"bits": [10, 12], "name": "CONTEXT_STATES_PER_BIN"},
19614 {"bits": [13, 17], "name": "PERSISTENT_STATES_PER_BIN"},
19615 {"bits": [18, 18], "name": "DISABLE_START_OF_PRIM"},
19616 {"bits": [19, 26], "name": "FPOVS_PER_BATCH"},
19617 {"bits": [27, 27], "name": "OPTIMAL_BIN_SELECTION"},
19618 {"bits": [28, 28], "name": "FLUSH_ON_BINNING_TRANSITION"},
19619 {"bits": [29, 30], "enum_ref": "BinMapMode", "name": "BIN_MAPPING_MODE"}
19620 ]
19621 },
19622 "PA_SC_BINNER_CNTL_1": {
19623 "fields": [
19624 {"bits": [0, 15], "name": "MAX_ALLOC_COUNT"},
19625 {"bits": [16, 31], "name": "MAX_PRIM_PER_BATCH"}
19626 ]
19627 },
19628 "PA_SC_CENTROID_PRIORITY_0": {
19629 "fields": [
19630 {"bits": [0, 3], "name": "DISTANCE_0"},
19631 {"bits": [4, 7], "name": "DISTANCE_1"},
19632 {"bits": [8, 11], "name": "DISTANCE_2"},
19633 {"bits": [12, 15], "name": "DISTANCE_3"},
19634 {"bits": [16, 19], "name": "DISTANCE_4"},
19635 {"bits": [20, 23], "name": "DISTANCE_5"},
19636 {"bits": [24, 27], "name": "DISTANCE_6"},
19637 {"bits": [28, 31], "name": "DISTANCE_7"}
19638 ]
19639 },
19640 "PA_SC_CENTROID_PRIORITY_1": {
19641 "fields": [
19642 {"bits": [0, 3], "name": "DISTANCE_8"},
19643 {"bits": [4, 7], "name": "DISTANCE_9"},
19644 {"bits": [8, 11], "name": "DISTANCE_10"},
19645 {"bits": [12, 15], "name": "DISTANCE_11"},
19646 {"bits": [16, 19], "name": "DISTANCE_12"},
19647 {"bits": [20, 23], "name": "DISTANCE_13"},
19648 {"bits": [24, 27], "name": "DISTANCE_14"},
19649 {"bits": [28, 31], "name": "DISTANCE_15"}
19650 ]
19651 },
19652 "PA_SC_CLIPRECT_0_BR": {
19653 "fields": [
19654 {"bits": [0, 14], "name": "BR_X"},
19655 {"bits": [16, 30], "name": "BR_Y"}
19656 ]
19657 },
19658 "PA_SC_CLIPRECT_0_TL": {
19659 "fields": [
19660 {"bits": [0, 14], "name": "TL_X"},
19661 {"bits": [16, 30], "name": "TL_Y"}
19662 ]
19663 },
19664 "PA_SC_CLIPRECT_RULE": {
19665 "fields": [
19666 {"bits": [0, 15], "name": "CLIP_RULE"}
19667 ]
19668 },
19669 "PA_SC_CONSERVATIVE_RASTERIZATION_CNTL": {
19670 "fields": [
19671 {"bits": [0, 0], "name": "OVER_RAST_ENABLE"},
19672 {"bits": [1, 4], "name": "OVER_RAST_SAMPLE_SELECT"},
19673 {"bits": [5, 5], "name": "UNDER_RAST_ENABLE"},
19674 {"bits": [6, 9], "name": "UNDER_RAST_SAMPLE_SELECT"},
19675 {"bits": [10, 10], "name": "PBB_UNCERTAINTY_REGION_ENABLE"},
19676 {"bits": [11, 11], "name": "ZMM_TRI_EXTENT"},
19677 {"bits": [12, 12], "name": "ZMM_TRI_OFFSET"},
19678 {"bits": [13, 13], "name": "OVERRIDE_OVER_RAST_INNER_TO_NORMAL"},
19679 {"bits": [14, 14], "name": "OVERRIDE_UNDER_RAST_INNER_TO_NORMAL"},
19680 {"bits": [15, 15], "name": "DEGENERATE_OVERRIDE_INNER_TO_NORMAL_DISABLE"},
19681 {"bits": [16, 17], "enum_ref": "ScUncertaintyRegionMode", "name": "UNCERTAINTY_REGION_MODE"},
19682 {"bits": [18, 18], "name": "OUTER_UNCERTAINTY_EDGERULE_OVERRIDE"},
19683 {"bits": [19, 19], "name": "INNER_UNCERTAINTY_EDGERULE_OVERRIDE"},
19684 {"bits": [20, 20], "name": "NULL_SQUAD_AA_MASK_ENABLE"},
19685 {"bits": [21, 21], "name": "COVERAGE_AA_MASK_ENABLE"},
19686 {"bits": [22, 22], "name": "PREZ_AA_MASK_ENABLE"},
19687 {"bits": [23, 23], "name": "POSTZ_AA_MASK_ENABLE"},
19688 {"bits": [24, 24], "name": "CENTROID_SAMPLE_OVERRIDE"},
19689 {"bits": [25, 26], "enum_ref": "ScUncertaintyRegionMult", "name": "UNCERTAINTY_REGION_MULT"},
19690 {"bits": [27, 28], "enum_ref": "ScUncertaintyRegionMult", "name": "UNCERTAINTY_REGION_PBB_MULT"}
19691 ]
19692 },
19693 "PA_SC_EDGERULE": {
19694 "fields": [
19695 {"bits": [0, 3], "name": "ER_TRI"},
19696 {"bits": [4, 7], "name": "ER_POINT"},
19697 {"bits": [8, 11], "name": "ER_RECT"},
19698 {"bits": [12, 17], "name": "ER_LINE_LR"},
19699 {"bits": [18, 23], "name": "ER_LINE_RL"},
19700 {"bits": [24, 27], "name": "ER_LINE_TB"},
19701 {"bits": [28, 31], "name": "ER_LINE_BT"}
19702 ]
19703 },
19704 "PA_SC_GENERIC_SCISSOR_TL": {
19705 "fields": [
19706 {"bits": [0, 14], "name": "TL_X"},
19707 {"bits": [16, 30], "name": "TL_Y"},
19708 {"bits": [31, 31], "name": "WINDOW_OFFSET_DISABLE"}
19709 ]
19710 },
19711 "PA_SC_HORIZ_GRID": {
19712 "fields": [
19713 {"bits": [0, 7], "name": "TOP_QTR"},
19714 {"bits": [8, 15], "name": "TOP_HALF"},
19715 {"bits": [16, 23], "name": "BOT_HALF"},
19716 {"bits": [24, 31], "name": "BOT_QTR"}
19717 ]
19718 },
19719 "PA_SC_LINE_CNTL": {
19720 "fields": [
19721 {"bits": [9, 9], "name": "EXPAND_LINE_WIDTH"},
19722 {"bits": [10, 10], "name": "LAST_PIXEL"},
19723 {"bits": [11, 11], "name": "PERPENDICULAR_ENDCAP_ENA"},
19724 {"bits": [12, 12], "name": "DX10_DIAMOND_TEST_ENA"},
19725 {"bits": [13, 13], "name": "EXTRA_DX_DY_PRECISION"}
19726 ]
19727 },
19728 "PA_SC_LINE_STIPPLE": {
19729 "fields": [
19730 {"bits": [0, 15], "name": "LINE_PATTERN"},
19731 {"bits": [16, 23], "name": "REPEAT_COUNT"},
19732 {"bits": [28, 28], "name": "PATTERN_BIT_ORDER"},
19733 {"bits": [29, 30], "name": "AUTO_RESET_CNTL"}
19734 ]
19735 },
19736 "PA_SC_LINE_STIPPLE_STATE": {
19737 "fields": [
19738 {"bits": [0, 3], "name": "CURRENT_PTR"},
19739 {"bits": [8, 15], "name": "CURRENT_COUNT"}
19740 ]
19741 },
19742 "PA_SC_MODE_CNTL_0": {
19743 "fields": [
19744 {"bits": [0, 0], "name": "MSAA_ENABLE"},
19745 {"bits": [1, 1], "name": "VPORT_SCISSOR_ENABLE"},
19746 {"bits": [2, 2], "name": "LINE_STIPPLE_ENABLE"},
19747 {"bits": [3, 3], "name": "SEND_UNLIT_STILES_TO_PKR"},
19748 {"bits": [4, 4], "name": "SCALE_LINE_WIDTH_PAD"},
19749 {"bits": [5, 5], "name": "ALTERNATE_RBS_PER_TILE"},
19750 {"bits": [6, 6], "name": "COARSE_TILE_STARTS_ON_EVEN_RB"}
19751 ]
19752 },
19753 "PA_SC_MODE_CNTL_1": {
19754 "fields": [
19755 {"bits": [0, 0], "name": "WALK_SIZE"},
19756 {"bits": [1, 1], "name": "WALK_ALIGNMENT"},
19757 {"bits": [2, 2], "name": "WALK_ALIGN8_PRIM_FITS_ST"},
19758 {"bits": [3, 3], "name": "WALK_FENCE_ENABLE"},
19759 {"bits": [4, 6], "name": "WALK_FENCE_SIZE"},
19760 {"bits": [7, 7], "name": "SUPERTILE_WALK_ORDER_ENABLE"},
19761 {"bits": [8, 8], "name": "TILE_WALK_ORDER_ENABLE"},
19762 {"bits": [9, 9], "name": "TILE_COVER_DISABLE"},
19763 {"bits": [10, 10], "name": "TILE_COVER_NO_SCISSOR"},
19764 {"bits": [11, 11], "name": "ZMM_LINE_EXTENT"},
19765 {"bits": [12, 12], "name": "ZMM_LINE_OFFSET"},
19766 {"bits": [13, 13], "name": "ZMM_RECT_EXTENT"},
19767 {"bits": [14, 14], "name": "KILL_PIX_POST_HI_Z"},
19768 {"bits": [15, 15], "name": "KILL_PIX_POST_DETAIL_MASK"},
19769 {"bits": [16, 16], "name": "PS_ITER_SAMPLE"},
19770 {"bits": [17, 17], "name": "MULTI_SHADER_ENGINE_PRIM_DISCARD_ENABLE"},
19771 {"bits": [18, 18], "name": "MULTI_GPU_SUPERTILE_ENABLE"},
19772 {"bits": [19, 19], "name": "GPU_ID_OVERRIDE_ENABLE"},
19773 {"bits": [20, 23], "name": "GPU_ID_OVERRIDE"},
19774 {"bits": [24, 24], "name": "MULTI_GPU_PRIM_DISCARD_ENABLE"},
19775 {"bits": [25, 25], "name": "FORCE_EOV_CNTDWN_ENABLE"},
19776 {"bits": [26, 26], "name": "FORCE_EOV_REZ_ENABLE"},
19777 {"bits": [27, 27], "name": "OUT_OF_ORDER_PRIMITIVE_ENABLE"},
19778 {"bits": [28, 30], "name": "OUT_OF_ORDER_WATER_MARK"}
19779 ]
19780 },
19781 "PA_SC_NGG_MODE_CNTL": {
19782 "fields": [
19783 {"bits": [0, 10], "name": "MAX_DEALLOCS_IN_WAVE"},
19784 {"bits": [16, 23], "name": "MAX_FPOVS_IN_WAVE"}
19785 ]
19786 },
19787 "PA_SC_P3D_TRAP_SCREEN_H": {
19788 "fields": [
19789 {"bits": [0, 13], "name": "X_COORD"}
19790 ]
19791 },
19792 "PA_SC_P3D_TRAP_SCREEN_HV_EN": {
19793 "fields": [
19794 {"bits": [0, 0], "name": "ENABLE_HV_PRE_SHADER"},
19795 {"bits": [1, 1], "name": "FORCE_PRE_SHADER_ALL_PIXELS"}
19796 ]
19797 },
19798 "PA_SC_P3D_TRAP_SCREEN_V": {
19799 "fields": [
19800 {"bits": [0, 13], "name": "Y_COORD"}
19801 ]
19802 },
19803 "PA_SC_PERFCOUNTER0_SELECT": {
19804 "fields": [
19805 {"bits": [0, 9], "enum_ref": "SC_PERFCNT_SEL", "name": "PERF_SEL"},
19806 {"bits": [10, 19], "enum_ref": "SC_PERFCNT_SEL", "name": "PERF_SEL1"},
19807 {"bits": [20, 23], "name": "CNTR_MODE"},
19808 {"bits": [24, 27], "name": "PERF_MODE1"},
19809 {"bits": [28, 31], "name": "PERF_MODE"}
19810 ]
19811 },
19812 "PA_SC_PERFCOUNTER0_SELECT1": {
19813 "fields": [
19814 {"bits": [0, 9], "enum_ref": "SC_PERFCNT_SEL", "name": "PERF_SEL2"},
19815 {"bits": [10, 19], "enum_ref": "SC_PERFCNT_SEL", "name": "PERF_SEL3"},
19816 {"bits": [24, 27], "name": "PERF_MODE3"},
19817 {"bits": [28, 31], "name": "PERF_MODE2"}
19818 ]
19819 },
19820 "PA_SC_PERFCOUNTER1_SELECT": {
19821 "fields": [
19822 {"bits": [0, 9], "enum_ref": "SC_PERFCNT_SEL", "name": "PERF_SEL"}
19823 ]
19824 },
19825 "PA_SC_RASTER_CONFIG": {
19826 "fields": [
19827 {"bits": [0, 1], "enum_ref": "RbMap", "name": "RB_MAP_PKR0"},
19828 {"bits": [2, 3], "enum_ref": "RbMap", "name": "RB_MAP_PKR1"},
19829 {"bits": [4, 5], "enum_ref": "RbXsel2", "name": "RB_XSEL2"},
19830 {"bits": [6, 6], "enum_ref": "RbXsel", "name": "RB_XSEL"},
19831 {"bits": [7, 7], "enum_ref": "RbYsel", "name": "RB_YSEL"},
19832 {"bits": [8, 9], "enum_ref": "PkrMap", "name": "PKR_MAP"},
19833 {"bits": [10, 11], "enum_ref": "PkrXsel", "name": "PKR_XSEL"},
19834 {"bits": [12, 13], "enum_ref": "PkrYsel", "name": "PKR_YSEL"},
19835 {"bits": [14, 15], "enum_ref": "PkrXsel2", "name": "PKR_XSEL2"},
19836 {"bits": [16, 17], "enum_ref": "ScMap", "name": "SC_MAP"},
19837 {"bits": [18, 19], "enum_ref": "ScXsel", "name": "SC_XSEL"},
19838 {"bits": [20, 21], "enum_ref": "ScYsel", "name": "SC_YSEL"},
19839 {"bits": [24, 25], "enum_ref": "SeMap", "name": "SE_MAP"},
19840 {"bits": [26, 27], "enum_ref": "SeXsel", "name": "SE_XSEL"},
19841 {"bits": [28, 29], "enum_ref": "SeYsel", "name": "SE_YSEL"}
19842 ]
19843 },
19844 "PA_SC_RASTER_CONFIG_1": {
19845 "fields": [
19846 {"bits": [0, 1], "enum_ref": "SePairMap", "name": "SE_PAIR_MAP"},
19847 {"bits": [2, 3], "enum_ref": "SePairXsel", "name": "SE_PAIR_XSEL"},
19848 {"bits": [4, 5], "enum_ref": "SePairYsel", "name": "SE_PAIR_YSEL"}
19849 ]
19850 },
19851 "PA_SC_RIGHT_VERT_GRID": {
19852 "fields": [
19853 {"bits": [0, 7], "name": "LEFT_QTR"},
19854 {"bits": [8, 15], "name": "LEFT_HALF"},
19855 {"bits": [16, 23], "name": "RIGHT_HALF"},
19856 {"bits": [24, 31], "name": "RIGHT_QTR"}
19857 ]
19858 },
19859 "PA_SC_SCREEN_EXTENT_CONTROL": {
19860 "fields": [
19861 {"bits": [0, 1], "name": "SLICE_EVEN_ENABLE"},
19862 {"bits": [2, 3], "name": "SLICE_ODD_ENABLE"}
19863 ]
19864 },
19865 "PA_SC_SCREEN_EXTENT_MIN_0": {
19866 "fields": [
19867 {"bits": [0, 15], "name": "X"},
19868 {"bits": [16, 31], "name": "Y"}
19869 ]
19870 },
19871 "PA_SC_SCREEN_SCISSOR_BR": {
19872 "fields": [
19873 {"bits": [0, 15], "name": "BR_X"},
19874 {"bits": [16, 31], "name": "BR_Y"}
19875 ]
19876 },
19877 "PA_SC_SCREEN_SCISSOR_TL": {
19878 "fields": [
19879 {"bits": [0, 15], "name": "TL_X"},
19880 {"bits": [16, 31], "name": "TL_Y"}
19881 ]
19882 },
19883 "PA_SC_SHADER_CONTROL": {
19884 "fields": [
19885 {"bits": [0, 1], "name": "REALIGN_DQUADS_AFTER_N_WAVES"},
19886 {"bits": [2, 2], "name": "LOAD_COLLISION_WAVEID"},
19887 {"bits": [3, 3], "name": "LOAD_INTRAWAVE_COLLISION"},
19888 {"bits": [5, 6], "name": "WAVE_BREAK_REGION_SIZE"}
19889 ]
19890 },
19891 "PA_SC_TILE_STEERING_OVERRIDE": {
19892 "fields": [
19893 {"bits": [0, 0], "name": "ENABLE"},
19894 {"bits": [1, 2], "name": "NUM_SE"},
19895 {"bits": [5, 6], "name": "NUM_RB_PER_SE"},
19896 {"bits": [12, 13], "name": "NUM_SC"},
19897 {"bits": [16, 17], "name": "NUM_RB_PER_SC"},
19898 {"bits": [20, 20], "name": "NUM_PACKER_PER_SC"}
19899 ]
19900 },
19901 "PA_SC_VPORT_ZMAX_0": {
19902 "fields": [
19903 {"bits": [0, 31], "name": "VPORT_ZMAX"}
19904 ]
19905 },
19906 "PA_SC_VPORT_ZMIN_0": {
19907 "fields": [
19908 {"bits": [0, 31], "name": "VPORT_ZMIN"}
19909 ]
19910 },
19911 "PA_SC_WINDOW_OFFSET": {
19912 "fields": [
19913 {"bits": [0, 15], "name": "WINDOW_X_OFFSET"},
19914 {"bits": [16, 31], "name": "WINDOW_Y_OFFSET"}
19915 ]
19916 },
19917 "PA_STATE_STEREO_X": {
19918 "fields": [
19919 {"bits": [0, 31], "name": "STEREO_X_OFFSET"}
19920 ]
19921 },
19922 "PA_STEREO_CNTL": {
19923 "fields": [
19924 {"bits": [1, 4], "name": "STEREO_MODE"},
19925 {"bits": [5, 7], "name": "RT_SLICE_MODE"},
19926 {"bits": [8, 11], "name": "RT_SLICE_OFFSET"},
19927 {"bits": [16, 18], "name": "VP_ID_MODE"},
19928 {"bits": [19, 22], "name": "VP_ID_OFFSET"}
19929 ]
19930 },
19931 "PA_SU_HARDWARE_SCREEN_OFFSET": {
19932 "fields": [
19933 {"bits": [0, 8], "name": "HW_SCREEN_OFFSET_X"},
19934 {"bits": [16, 24], "name": "HW_SCREEN_OFFSET_Y"}
19935 ]
19936 },
19937 "PA_SU_LINE_CNTL": {
19938 "fields": [
19939 {"bits": [0, 15], "name": "WIDTH"}
19940 ]
19941 },
19942 "PA_SU_LINE_STIPPLE_CNTL": {
19943 "fields": [
19944 {"bits": [0, 1], "name": "LINE_STIPPLE_RESET"},
19945 {"bits": [2, 2], "name": "EXPAND_FULL_LENGTH"},
19946 {"bits": [3, 3], "name": "FRACTIONAL_ACCUM"},
19947 {"bits": [4, 4], "name": "DIAMOND_ADJUST"}
19948 ]
19949 },
19950 "PA_SU_LINE_STIPPLE_SCALE": {
19951 "fields": [
19952 {"bits": [0, 31], "name": "LINE_STIPPLE_SCALE"}
19953 ]
19954 },
19955 "PA_SU_LINE_STIPPLE_VALUE": {
19956 "fields": [
19957 {"bits": [0, 23], "name": "LINE_STIPPLE_VALUE"}
19958 ]
19959 },
19960 "PA_SU_OVER_RASTERIZATION_CNTL": {
19961 "fields": [
19962 {"bits": [0, 0], "name": "DISCARD_0_AREA_TRIANGLES"},
19963 {"bits": [1, 1], "name": "DISCARD_0_AREA_LINES"},
19964 {"bits": [2, 2], "name": "DISCARD_0_AREA_POINTS"},
19965 {"bits": [3, 3], "name": "DISCARD_0_AREA_RECTANGLES"},
19966 {"bits": [4, 4], "name": "USE_PROVOKING_ZW"}
19967 ]
19968 },
19969 "PA_SU_PERFCOUNTER0_HI": {
19970 "fields": [
19971 {"bits": [0, 15], "name": "PERFCOUNTER_HI"}
19972 ]
19973 },
19974 "PA_SU_PERFCOUNTER0_SELECT": {
19975 "fields": [
19976 {"bits": [0, 9], "enum_ref": "SU_PERFCNT_SEL", "name": "PERF_SEL"},
19977 {"bits": [10, 19], "enum_ref": "SU_PERFCNT_SEL", "name": "PERF_SEL1"},
19978 {"bits": [20, 23], "name": "CNTR_MODE"},
19979 {"bits": [24, 27], "name": "PERF_MODE1"},
19980 {"bits": [28, 31], "name": "PERF_MODE"}
19981 ]
19982 },
19983 "PA_SU_PERFCOUNTER0_SELECT1": {
19984 "fields": [
19985 {"bits": [0, 9], "enum_ref": "SU_PERFCNT_SEL", "name": "PERF_SEL2"},
19986 {"bits": [10, 19], "enum_ref": "SU_PERFCNT_SEL", "name": "PERF_SEL3"},
19987 {"bits": [24, 27], "name": "PERF_MODE3"},
19988 {"bits": [28, 31], "name": "PERF_MODE2"}
19989 ]
19990 },
19991 "PA_SU_POINT_MINMAX": {
19992 "fields": [
19993 {"bits": [0, 15], "name": "MIN_SIZE"},
19994 {"bits": [16, 31], "name": "MAX_SIZE"}
19995 ]
19996 },
19997 "PA_SU_POINT_SIZE": {
19998 "fields": [
19999 {"bits": [0, 15], "name": "HEIGHT"},
20000 {"bits": [16, 31], "name": "WIDTH"}
20001 ]
20002 },
20003 "PA_SU_POLY_OFFSET_CLAMP": {
20004 "fields": [
20005 {"bits": [0, 31], "name": "CLAMP"}
20006 ]
20007 },
20008 "PA_SU_POLY_OFFSET_DB_FMT_CNTL": {
20009 "fields": [
20010 {"bits": [0, 7], "name": "POLY_OFFSET_NEG_NUM_DB_BITS"},
20011 {"bits": [8, 8], "name": "POLY_OFFSET_DB_IS_FLOAT_FMT"}
20012 ]
20013 },
20014 "PA_SU_POLY_OFFSET_FRONT_SCALE": {
20015 "fields": [
20016 {"bits": [0, 31], "name": "SCALE"}
20017 ]
20018 },
20019 "PA_SU_PRIM_FILTER_CNTL": {
20020 "fields": [
20021 {"bits": [0, 0], "name": "TRIANGLE_FILTER_DISABLE"},
20022 {"bits": [1, 1], "name": "LINE_FILTER_DISABLE"},
20023 {"bits": [2, 2], "name": "POINT_FILTER_DISABLE"},
20024 {"bits": [3, 3], "name": "RECTANGLE_FILTER_DISABLE"},
20025 {"bits": [4, 4], "name": "TRIANGLE_EXPAND_ENA"},
20026 {"bits": [5, 5], "name": "LINE_EXPAND_ENA"},
20027 {"bits": [6, 6], "name": "POINT_EXPAND_ENA"},
20028 {"bits": [7, 7], "name": "RECTANGLE_EXPAND_ENA"},
20029 {"bits": [8, 15], "name": "PRIM_EXPAND_CONSTANT"},
20030 {"bits": [30, 30], "name": "XMAX_RIGHT_EXCLUSION"},
20031 {"bits": [31, 31], "name": "YMAX_BOTTOM_EXCLUSION"}
20032 ]
20033 },
20034 "PA_SU_SC_MODE_CNTL": {
20035 "fields": [
20036 {"bits": [0, 0], "name": "CULL_FRONT"},
20037 {"bits": [1, 1], "name": "CULL_BACK"},
20038 {"bits": [2, 2], "name": "FACE"},
20039 {"bits": [3, 4], "name": "POLY_MODE"},
20040 {"bits": [5, 7], "name": "POLYMODE_FRONT_PTYPE"},
20041 {"bits": [8, 10], "name": "POLYMODE_BACK_PTYPE"},
20042 {"bits": [11, 11], "name": "POLY_OFFSET_FRONT_ENABLE"},
20043 {"bits": [12, 12], "name": "POLY_OFFSET_BACK_ENABLE"},
20044 {"bits": [13, 13], "name": "POLY_OFFSET_PARA_ENABLE"},
20045 {"bits": [16, 16], "name": "VTX_WINDOW_OFFSET_ENABLE"},
20046 {"bits": [19, 19], "name": "PROVOKING_VTX_LAST"},
20047 {"bits": [20, 20], "name": "PERSP_CORR_DIS"},
20048 {"bits": [21, 21], "name": "MULTI_PRIM_IB_ENA"},
20049 {"bits": [22, 22], "name": "RIGHT_TRIANGLE_ALTERNATE_GRADIENT_REF"},
20050 {"bits": [23, 23], "name": "NEW_QUAD_DECOMPOSITION"},
20051 {"bits": [24, 24], "name": "KEEP_TOGETHER_ENABLE"}
20052 ]
20053 },
20054 "PA_SU_SMALL_PRIM_FILTER_CNTL": {
20055 "fields": [
20056 {"bits": [0, 0], "name": "SMALL_PRIM_FILTER_ENABLE"},
20057 {"bits": [1, 1], "name": "TRIANGLE_FILTER_DISABLE"},
20058 {"bits": [2, 2], "name": "LINE_FILTER_DISABLE"},
20059 {"bits": [3, 3], "name": "POINT_FILTER_DISABLE"},
20060 {"bits": [4, 4], "name": "RECTANGLE_FILTER_DISABLE"},
20061 {"bits": [6, 6], "name": "SC_1XMSAA_COMPATIBLE_DISABLE"}
20062 ]
20063 },
20064 "PA_SU_VTX_CNTL": {
20065 "fields": [
20066 {"bits": [0, 0], "name": "PIX_CENTER"},
20067 {"bits": [1, 2], "name": "ROUND_MODE"},
20068 {"bits": [3, 5], "name": "QUANT_MODE"}
20069 ]
20070 },
20071 "RLC_CSIB_ADDR_LO": {
20072 "fields": [
20073 {"bits": [0, 31], "name": "ADDRESS"}
20074 ]
20075 },
20076 "RLC_GPM_PERF_COUNT_0": {
20077 "fields": [
20078 {"bits": [0, 3], "name": "FEATURE_SEL"},
20079 {"bits": [4, 7], "name": "SE_INDEX"},
20080 {"bits": [8, 11], "name": "SA_INDEX"},
20081 {"bits": [12, 15], "name": "WGP_INDEX"},
20082 {"bits": [16, 17], "name": "EVENT_SEL"},
20083 {"bits": [18, 19], "name": "UNUSED"},
20084 {"bits": [20, 20], "name": "ENABLE"},
20085 {"bits": [21, 31], "name": "RESERVED"}
20086 ]
20087 },
20088 "RLC_GPU_IOV_PERF_CNT_CNTL": {
20089 "fields": [
20090 {"bits": [0, 0], "name": "ENABLE"},
20091 {"bits": [1, 1], "name": "MODE_SELECT"},
20092 {"bits": [2, 2], "name": "RESET"},
20093 {"bits": [3, 31], "name": "RESERVED"}
20094 ]
20095 },
20096 "RLC_GPU_IOV_PERF_CNT_WR_ADDR": {
20097 "fields": [
20098 {"bits": [0, 3], "name": "VFID"},
20099 {"bits": [4, 5], "name": "CNT_ID"},
20100 {"bits": [6, 31], "name": "RESERVED"}
20101 ]
20102 },
20103 "RLC_PERFCOUNTER0_SELECT": {
20104 "fields": [
20105 {"bits": [0, 7], "name": "PERFCOUNTER_SELECT"}
20106 ]
20107 },
20108 "RLC_PERFMON_CLK_CNTL": {
20109 "fields": [
20110 {"bits": [0, 0], "name": "PERFMON_CLOCK_STATE"}
20111 ]
20112 },
20113 "RLC_PERFMON_CNTL": {
20114 "fields": [
20115 {"bits": [0, 2], "name": "PERFMON_STATE"},
20116 {"bits": [10, 10], "name": "PERFMON_SAMPLE_ENABLE"}
20117 ]
20118 },
20119 "RLC_SPM_ACCUM_CTRL": {
20120 "fields": [
20121 {"bits": [0, 0], "name": "StrobeResetPerfMonitors"},
20122 {"bits": [1, 1], "name": "StrobeStartAccumulation"},
20123 {"bits": [2, 2], "name": "StrobeRearmAccum"},
20124 {"bits": [3, 3], "name": "StrobeSpmDoneInt"},
20125 {"bits": [4, 4], "name": "StrobeAccumDoneInt"},
20126 {"bits": [5, 5], "name": "StrobeResetAccum"},
20127 {"bits": [6, 9], "name": "StrobeStartSpm"},
20128 {"bits": [10, 31], "name": "RESERVED"}
20129 ]
20130 },
20131 "RLC_SPM_ACCUM_CTRLRAM_ADDR": {
20132 "fields": [
20133 {"bits": [0, 8], "name": "addr"},
20134 {"bits": [9, 31], "name": "RESERVED"}
20135 ]
20136 },
20137 "RLC_SPM_ACCUM_CTRLRAM_DATA": {
20138 "fields": [
20139 {"bits": [0, 7], "name": "data"},
20140 {"bits": [8, 31], "name": "RESERVED"}
20141 ]
20142 },
20143 "RLC_SPM_ACCUM_DATARAM_ADDR": {
20144 "fields": [
20145 {"bits": [0, 6], "name": "addr"},
20146 {"bits": [7, 31], "name": "RESERVED"}
20147 ]
20148 },
20149 "RLC_SPM_ACCUM_DATARAM_DATA": {
20150 "fields": [
20151 {"bits": [0, 31], "name": "data"}
20152 ]
20153 },
20154 "RLC_SPM_ACCUM_DATARAM_WRCOUNT": {
20155 "fields": [
20156 {"bits": [0, 18], "name": "DataRamWrCount"},
20157 {"bits": [19, 31], "name": "RESERVED"}
20158 ]
20159 },
20160 "RLC_SPM_ACCUM_MODE": {
20161 "fields": [
20162 {"bits": [0, 0], "name": "EnableAccum"},
20163 {"bits": [1, 1], "name": "AutoAccumEn"},
20164 {"bits": [2, 2], "name": "AutoSpmEn"},
20165 {"bits": [3, 3], "name": "Globals_LoadOverride"},
20166 {"bits": [4, 4], "name": "SE0_LoadOverride"},
20167 {"bits": [5, 5], "name": "SE1_LoadOverride"},
20168 {"bits": [6, 6], "name": "AutoResetPerfmonDisable"},
20169 {"bits": [7, 31], "name": "RESERVED"}
20170 ]
20171 },
20172 "RLC_SPM_ACCUM_SAMPLES_REQUESTED": {
20173 "fields": [
20174 {"bits": [0, 7], "name": "SamplesRequested"},
20175 {"bits": [8, 31], "name": "RESERVED"}
20176 ]
20177 },
20178 "RLC_SPM_ACCUM_STATUS": {
20179 "fields": [
20180 {"bits": [0, 7], "name": "NumbSamplesCompleted"},
20181 {"bits": [8, 8], "name": "AccumDone"},
20182 {"bits": [9, 9], "name": "SpmDone"},
20183 {"bits": [10, 10], "name": "AccumOverflow"},
20184 {"bits": [11, 11], "name": "AccumArmed"},
20185 {"bits": [12, 12], "name": "SequenceInProgress"},
20186 {"bits": [13, 13], "name": "FinalSequenceInProgress"},
20187 {"bits": [14, 14], "name": "AllFifosEmpty"},
20188 {"bits": [15, 15], "name": "FSMIsIdle"},
20189 {"bits": [16, 31], "name": "RESERVED"}
20190 ]
20191 },
20192 "RLC_SPM_ACCUM_THRESHOLD": {
20193 "fields": [
20194 {"bits": [0, 15], "name": "Threshold"},
20195 {"bits": [16, 31], "name": "RESERVED"}
20196 ]
20197 },
20198 "RLC_SPM_DESER_START_SKEW": {
20199 "fields": [
20200 {"bits": [0, 6], "name": "DESER_START_SKEW"},
20201 {"bits": [7, 31], "name": "RESERVED"}
20202 ]
20203 },
20204 "RLC_SPM_GLB_SAMPLEDELAY_IND_ADDR": {
20205 "fields": [
20206 {"bits": [0, 31], "name": "GLB_SAMPLEDELAY_INDEX"}
20207 ]
20208 },
20209 "RLC_SPM_GLB_SAMPLEDELAY_IND_DATA": {
20210 "fields": [
20211 {"bits": [0, 6], "name": "data"},
20212 {"bits": [7, 31], "name": "RESERVED"}
20213 ]
20214 },
20215 "RLC_SPM_GLOBALS_MUXSEL_SKEW": {
20216 "fields": [
20217 {"bits": [0, 6], "name": "GLOBALS_MUXSEL_SKEW"},
20218 {"bits": [7, 31], "name": "RESERVED"}
20219 ]
20220 },
20221 "RLC_SPM_GLOBALS_SAMPLE_SKEW": {
20222 "fields": [
20223 {"bits": [0, 6], "name": "GLOBALS_SAMPLE_SKEW"},
20224 {"bits": [7, 31], "name": "RESERVED"}
20225 ]
20226 },
20227 "RLC_SPM_GLOBAL_MUXSEL_ADDR": {
20228 "fields": [
20229 {"bits": [0, 7], "name": "PERFMON_SEL_ADDR"},
20230 {"bits": [8, 31], "name": "RESERVED"}
20231 ]
20232 },
20233 "RLC_SPM_PERFMON_CNTL": {
20234 "fields": [
20235 {"bits": [0, 11], "name": "RESERVED1"},
20236 {"bits": [12, 13], "name": "PERFMON_RING_MODE"},
20237 {"bits": [14, 15], "name": "RESERVED"},
20238 {"bits": [16, 31], "name": "PERFMON_SAMPLE_INTERVAL"}
20239 ]
20240 },
20241 "RLC_SPM_PERFMON_GLB_SEGMENT_SIZE": {
20242 "fields": [
20243 {"bits": [0, 7], "name": "PERFMON_SEGMENT_SIZE"},
20244 {"bits": [8, 15], "name": "GLOBAL_NUM_LINE"},
20245 {"bits": [16, 31], "name": "RESERVED"}
20246 ]
20247 },
20248 "RLC_SPM_PERFMON_RING_BASE_HI": {
20249 "fields": [
20250 {"bits": [0, 15], "name": "RING_BASE_HI"},
20251 {"bits": [16, 31], "name": "RESERVED"}
20252 ]
20253 },
20254 "RLC_SPM_PERFMON_RING_BASE_LO": {
20255 "fields": [
20256 {"bits": [0, 31], "name": "RING_BASE_LO"}
20257 ]
20258 },
20259 "RLC_SPM_PERFMON_RING_SIZE": {
20260 "fields": [
20261 {"bits": [0, 31], "name": "RING_BASE_SIZE"}
20262 ]
20263 },
20264 "RLC_SPM_PERFMON_SE3TO0_SEGMENT_SIZE": {
20265 "fields": [
20266 {"bits": [0, 7], "name": "SE0_NUM_LINE"},
20267 {"bits": [8, 15], "name": "SE1_NUM_LINE"},
20268 {"bits": [16, 23], "name": "SE2_NUM_LINE"},
20269 {"bits": [24, 31], "name": "SE3_NUM_LINE"}
20270 ]
20271 },
20272 "RLC_SPM_PERFMON_SEGMENT_SIZE": {
20273 "fields": [
20274 {"bits": [0, 7], "name": "PERFMON_SEGMENT_SIZE"},
20275 {"bits": [8, 10], "name": "RESERVED1"},
20276 {"bits": [11, 15], "name": "GLOBAL_NUM_LINE"},
20277 {"bits": [16, 20], "name": "SE0_NUM_LINE"},
20278 {"bits": [21, 25], "name": "SE1_NUM_LINE"},
20279 {"bits": [26, 30], "name": "SE2_NUM_LINE"},
20280 {"bits": [31, 31], "name": "RESERVED"}
20281 ]
20282 },
20283 "RLC_SPM_RING_RDPTR": {
20284 "fields": [
20285 {"bits": [0, 31], "name": "PERFMON_RING_RDPTR"}
20286 ]
20287 },
20288 "RLC_SPM_SEGMENT_THRESHOLD": {
20289 "fields": [
20290 {"bits": [0, 7], "name": "NUM_SEGMENT_THRESHOLD"},
20291 {"bits": [8, 31], "name": "RESERVED"}
20292 ]
20293 },
20294 "RLC_SPM_SE_MUXSEL_ADDR": {
20295 "fields": [
20296 {"bits": [0, 8], "name": "PERFMON_SEL_ADDR"},
20297 {"bits": [9, 31], "name": "RESERVED"}
20298 ]
20299 },
20300 "RLC_SPM_SE_MUXSEL_DATA": {
20301 "fields": [
20302 {"bits": [0, 31], "name": "PERFMON_SEL_DATA"}
20303 ]
20304 },
20305 "RLC_SPM_SE_MUXSEL_SKEW": {
20306 "fields": [
20307 {"bits": [0, 6], "name": "SE_MUXSEL_SKEW"},
20308 {"bits": [7, 31], "name": "RESERVED"}
20309 ]
20310 },
20311 "RLC_SPM_SE_SAMPLEDELAY_IND_ADDR": {
20312 "fields": [
20313 {"bits": [0, 31], "name": "SE_SAMPLEDELAY_INDEX"}
20314 ]
20315 },
20316 "RLC_SPM_SE_SAMPLE_SKEW": {
20317 "fields": [
20318 {"bits": [0, 6], "name": "SE_SAMPLE_SKEW"},
20319 {"bits": [7, 31], "name": "RESERVED"}
20320 ]
20321 },
20322 "RMI_PERFCOUNTER0_SELECT": {
20323 "fields": [
20324 {"bits": [0, 8], "enum_ref": "RMIPerfSel", "name": "PERF_SEL"},
20325 {"bits": [10, 18], "enum_ref": "RMIPerfSel", "name": "PERF_SEL1"},
20326 {"bits": [20, 23], "name": "CNTR_MODE"},
20327 {"bits": [24, 27], "name": "PERF_MODE1"},
20328 {"bits": [28, 31], "name": "PERF_MODE"}
20329 ]
20330 },
20331 "RMI_PERFCOUNTER0_SELECT1": {
20332 "fields": [
20333 {"bits": [0, 8], "enum_ref": "RMIPerfSel", "name": "PERF_SEL2"},
20334 {"bits": [10, 18], "enum_ref": "RMIPerfSel", "name": "PERF_SEL3"},
20335 {"bits": [24, 27], "name": "PERF_MODE3"},
20336 {"bits": [28, 31], "name": "PERF_MODE2"}
20337 ]
20338 },
20339 "RMI_PERFCOUNTER1_SELECT": {
20340 "fields": [
20341 {"bits": [0, 8], "enum_ref": "RMIPerfSel", "name": "PERF_SEL"},
20342 {"bits": [28, 31], "name": "PERF_MODE"}
20343 ]
20344 },
20345 "RMI_PERF_COUNTER_CNTL": {
20346 "fields": [
20347 {"bits": [0, 1], "name": "TRANS_BASED_PERF_EN_SEL"},
20348 {"bits": [2, 3], "name": "EVENT_BASED_PERF_EN_SEL"},
20349 {"bits": [4, 5], "name": "TC_PERF_EN_SEL"},
20350 {"bits": [6, 7], "name": "PERF_EVENT_WINDOW_MASK0"},
20351 {"bits": [8, 9], "name": "PERF_EVENT_WINDOW_MASK1"},
20352 {"bits": [10, 13], "name": "PERF_COUNTER_CID"},
20353 {"bits": [14, 18], "name": "PERF_COUNTER_VMID"},
20354 {"bits": [19, 24], "name": "PERF_COUNTER_BURST_LENGTH_THRESHOLD"},
20355 {"bits": [25, 25], "name": "PERF_SOFT_RESET"},
20356 {"bits": [26, 26], "name": "PERF_CNTR_SPM_SEL"}
20357 ]
20358 },
20359 "SCRATCH_ADDR": {
20360 "fields": [
20361 {"bits": [0, 31], "name": "OBSOLETE_ADDR"}
20362 ]
20363 },
20364 "SCRATCH_REG0": {
20365 "fields": [
20366 {"bits": [0, 31], "name": "SCRATCH_REG0"}
20367 ]
20368 },
20369 "SCRATCH_REG1": {
20370 "fields": [
20371 {"bits": [0, 31], "name": "SCRATCH_REG1"}
20372 ]
20373 },
20374 "SCRATCH_REG2": {
20375 "fields": [
20376 {"bits": [0, 31], "name": "SCRATCH_REG2"}
20377 ]
20378 },
20379 "SCRATCH_REG3": {
20380 "fields": [
20381 {"bits": [0, 31], "name": "SCRATCH_REG3"}
20382 ]
20383 },
20384 "SCRATCH_REG4": {
20385 "fields": [
20386 {"bits": [0, 31], "name": "SCRATCH_REG4"}
20387 ]
20388 },
20389 "SCRATCH_REG5": {
20390 "fields": [
20391 {"bits": [0, 31], "name": "SCRATCH_REG5"}
20392 ]
20393 },
20394 "SCRATCH_REG6": {
20395 "fields": [
20396 {"bits": [0, 31], "name": "SCRATCH_REG6"}
20397 ]
20398 },
20399 "SCRATCH_REG7": {
20400 "fields": [
20401 {"bits": [0, 31], "name": "SCRATCH_REG7"}
20402 ]
20403 },
20404 "SCRATCH_UMSK": {
20405 "fields": [
20406 {"bits": [0, 7], "name": "OBSOLETE_UMSK"},
20407 {"bits": [16, 17], "name": "OBSOLETE_SWAP"}
20408 ]
20409 },
20410 "SPI_BARYC_CNTL": {
20411 "fields": [
20412 {"bits": [0, 0], "name": "PERSP_CENTER_CNTL"},
20413 {"bits": [4, 4], "name": "PERSP_CENTROID_CNTL"},
20414 {"bits": [8, 8], "name": "LINEAR_CENTER_CNTL"},
20415 {"bits": [12, 12], "name": "LINEAR_CENTROID_CNTL"},
20416 {"bits": [16, 17], "name": "POS_FLOAT_LOCATION"},
20417 {"bits": [20, 20], "name": "POS_FLOAT_ULC"},
20418 {"bits": [24, 24], "name": "FRONT_FACE_ALL_BITS"}
20419 ]
20420 },
20421 "SPI_CONFIG_CNTL": {
20422 "fields": [
20423 {"bits": [0, 20], "name": "GPR_WRITE_PRIORITY"},
20424 {"bits": [21, 23], "name": "EXP_PRIORITY_ORDER"},
20425 {"bits": [24, 24], "name": "ENABLE_SQG_TOP_EVENTS"},
20426 {"bits": [25, 25], "name": "ENABLE_SQG_BOP_EVENTS"},
20427 {"bits": [26, 26], "name": "RSRC_MGMT_RESET"},
20428 {"bits": [27, 27], "name": "TTRACE_STALL_ALL"},
20429 {"bits": [28, 28], "name": "ALLOC_ARB_LRU_ENA"},
20430 {"bits": [29, 29], "name": "EXP_ARB_LRU_ENA"},
20431 {"bits": [30, 31], "name": "PS_PKR_PRIORITY_CNTL"}
20432 ]
20433 },
20434 "SPI_CONFIG_CNTL_1": {
20435 "fields": [
20436 {"bits": [0, 3], "name": "VTX_DONE_DELAY"},
20437 {"bits": [4, 4], "name": "INTERP_ONE_PRIM_PER_ROW"},
20438 {"bits": [5, 6], "name": "PC_LIMIT_ENABLE"},
20439 {"bits": [7, 7], "name": "PC_LIMIT_STRICT"},
20440 {"bits": [8, 8], "name": "CRC_SIMD_ID_WADDR_DISABLE"},
20441 {"bits": [9, 9], "name": "LBPW_CU_CHK_MODE"},
20442 {"bits": [10, 13], "name": "LBPW_CU_CHK_CNT"},
20443 {"bits": [14, 14], "name": "CSC_PWR_SAVE_DISABLE"},
20444 {"bits": [15, 15], "name": "CSG_PWR_SAVE_DISABLE"},
20445 {"bits": [16, 20], "name": "MAX_VTX_SYNC_CNT"}
20446 ]
20447 },
20448 "SPI_CONFIG_CNTL_2": {
20449 "fields": [
20450 {"bits": [0, 3], "name": "CONTEXT_SAVE_WAIT_GDS_REQUEST_CYCLE_OVHD"},
20451 {"bits": [4, 7], "name": "CONTEXT_SAVE_WAIT_GDS_GRANT_CYCLE_OVHD"}
20452 ]
20453 },
20454 "SPI_CONFIG_CNTL_REMAP": {
20455 "fields": [
20456 {"bits": [0, 31], "name": "RESERVED"}
20457 ]
20458 },
20459 "SPI_CSQ_WF_ACTIVE_COUNT_0": {
20460 "fields": [
20461 {"bits": [0, 10], "name": "COUNT"},
20462 {"bits": [16, 26], "name": "EVENTS"}
20463 ]
20464 },
20465 "SPI_CSQ_WF_ACTIVE_STATUS": {
20466 "fields": [
20467 {"bits": [0, 31], "name": "ACTIVE"}
20468 ]
20469 },
20470 "SPI_DEBUG_BUSY": {
20471 "fields": [
20472 {"bits": [0, 0], "name": "LS_BUSY"},
20473 {"bits": [1, 1], "name": "HS_BUSY"},
20474 {"bits": [2, 2], "name": "ES_BUSY"},
20475 {"bits": [3, 3], "name": "GS_BUSY"},
20476 {"bits": [4, 4], "name": "VS_BUSY"},
20477 {"bits": [5, 5], "name": "PS0_BUSY"},
20478 {"bits": [6, 6], "name": "PS1_BUSY"},
20479 {"bits": [7, 7], "name": "PS2_BUSY"},
20480 {"bits": [8, 8], "name": "PS3_BUSY"},
20481 {"bits": [9, 9], "name": "CSG_BUSY"},
20482 {"bits": [10, 10], "name": "CS0_BUSY"},
20483 {"bits": [11, 11], "name": "CS1_BUSY"},
20484 {"bits": [12, 12], "name": "CS2_BUSY"},
20485 {"bits": [13, 13], "name": "CS3_BUSY"},
20486 {"bits": [14, 14], "name": "CS4_BUSY"},
20487 {"bits": [15, 15], "name": "CS5_BUSY"},
20488 {"bits": [16, 16], "name": "CS6_BUSY"},
20489 {"bits": [17, 17], "name": "CS7_BUSY"},
20490 {"bits": [18, 18], "name": "LDS_WR_CTL0_BUSY"},
20491 {"bits": [19, 19], "name": "LDS_WR_CTL1_BUSY"},
20492 {"bits": [20, 20], "name": "PC_DEALLOC_BUSY"},
20493 {"bits": [21, 21], "name": "OFC_LDS_BUSY"},
20494 {"bits": [22, 22], "name": "EVENT_CLCTR_BUSY"},
20495 {"bits": [23, 23], "name": "GRBM_BUSY"},
20496 {"bits": [24, 24], "name": "SPIS_BUSY"},
20497 {"bits": [25, 25], "name": "RSRC_ALLOC_BUSY"}
20498 ]
20499 },
20500 "SPI_DEBUG_CNTL": {
20501 "fields": [
20502 {"bits": [0, 0], "name": "DEBUG_GRBM_OVERRIDE"},
20503 {"bits": [1, 3], "name": "DEBUG_THREAD_TYPE_SEL"},
20504 {"bits": [4, 9], "name": "DEBUG_GROUP_SEL"},
20505 {"bits": [10, 15], "name": "DEBUG_SIMD_SEL"},
20506 {"bits": [16, 16], "name": "DEBUG_SH_SEL"},
20507 {"bits": [17, 17], "name": "SPI_ECO_SPARE_0"},
20508 {"bits": [18, 18], "name": "SPI_ECO_SPARE_1"},
20509 {"bits": [19, 19], "name": "SPI_ECO_SPARE_2"},
20510 {"bits": [20, 20], "name": "SPI_ECO_SPARE_3"},
20511 {"bits": [21, 21], "name": "CGTS_VBUS_SP0_OVERRIDE"},
20512 {"bits": [22, 22], "name": "CGTS_VBUS_SP1_OVERRIDE"},
20513 {"bits": [23, 23], "name": "CGTS_VBUS_LDS_OVERRIDE"},
20514 {"bits": [24, 24], "name": "CGTT_LEGACY_MODE"},
20515 {"bits": [25, 27], "name": "DEBUG_PIPE_SEL"},
20516 {"bits": [28, 29], "name": "DEBUG_PIXEL_PIPE_SEL"},
20517 {"bits": [30, 30], "name": "BCI_PIPE_PER_STAGE_CG_OVERRIDE"},
20518 {"bits": [31, 31], "name": "DEBUG_REG_EN"}
20519 ]
20520 },
20521 "SPI_DEBUG_CNTL_2": {
20522 "fields": [
20523 {"bits": [0, 0], "name": "ECO_SPARE_0"},
20524 {"bits": [1, 1], "name": "ECO_SPARE_1"},
20525 {"bits": [2, 2], "name": "ECO_SPARE_2"},
20526 {"bits": [3, 3], "name": "ECO_SPARE_3"},
20527 {"bits": [4, 4], "name": "ECO_SPARE_4"},
20528 {"bits": [5, 5], "name": "ECO_SPARE_5"},
20529 {"bits": [6, 6], "name": "ECO_SPARE_6"},
20530 {"bits": [7, 7], "name": "ECO_SPARE_7"}
20531 ]
20532 },
20533 "SPI_DSM_CNTL": {
20534 "fields": [
20535 {"bits": [0, 1], "name": "SPI_SR_MEM_DSM_IRRITATOR_DATA"},
20536 {"bits": [2, 2], "name": "SPI_SR_MEM_ENABLE_SINGLE_WRITE"}
20537 ]
20538 },
20539 "SPI_DSM_CNTL2": {
20540 "fields": [
20541 {"bits": [0, 1], "name": "SPI_SR_MEM_ENABLE_ERROR_INJECT"},
20542 {"bits": [2, 2], "name": "SPI_SR_MEM_SELECT_INJECT_DELAY"},
20543 {"bits": [3, 8], "name": "SPI_SR_MEM_INJECT_DELAY"}
20544 ]
20545 },
20546 "SPI_EDC_CNT": {
20547 "fields": [
20548 {"bits": [0, 1], "name": "SPI_SR_MEM_SED_COUNT"}
20549 ]
20550 },
20551 "SPI_GDS_CREDITS": {
20552 "fields": [
20553 {"bits": [0, 7], "name": "DS_DATA_CREDITS"},
20554 {"bits": [8, 15], "name": "DS_CMD_CREDITS"}
20555 ]
20556 },
20557 "SPI_GFX_CNTL": {
20558 "fields": [
20559 {"bits": [0, 0], "name": "RESET_COUNTS"}
20560 ]
20561 },
20562 "SPI_INTERP_CONTROL_0": {
20563 "fields": [
20564 {"bits": [0, 0], "name": "FLAT_SHADE_ENA"},
20565 {"bits": [1, 1], "name": "PNT_SPRITE_ENA"},
20566 {"bits": [2, 4], "enum_ref": "SPI_PNT_SPRITE_OVERRIDE", "name": "PNT_SPRITE_OVRD_X"},
20567 {"bits": [5, 7], "enum_ref": "SPI_PNT_SPRITE_OVERRIDE", "name": "PNT_SPRITE_OVRD_Y"},
20568 {"bits": [8, 10], "enum_ref": "SPI_PNT_SPRITE_OVERRIDE", "name": "PNT_SPRITE_OVRD_Z"},
20569 {"bits": [11, 13], "enum_ref": "SPI_PNT_SPRITE_OVERRIDE", "name": "PNT_SPRITE_OVRD_W"},
20570 {"bits": [14, 14], "name": "PNT_SPRITE_TOP_1"}
20571 ]
20572 },
20573 "SPI_LB_CTR_CTRL": {
20574 "fields": [
20575 {"bits": [0, 0], "name": "LOAD"},
20576 {"bits": [1, 2], "enum_ref": "SPI_LB_WAVES_SELECT", "name": "WAVES_SELECT"},
20577 {"bits": [3, 3], "name": "CLEAR_ON_READ"},
20578 {"bits": [4, 4], "name": "RESET_COUNTS"}
20579 ]
20580 },
20581 "SPI_LB_DATA_PERWGP_WAVE_CS": {
20582 "fields": [
20583 {"bits": [0, 15], "name": "ACTIVE"}
20584 ]
20585 },
20586 "SPI_LB_DATA_PERWGP_WAVE_HSGS": {
20587 "fields": [
20588 {"bits": [0, 15], "name": "WGP_USED_HS"},
20589 {"bits": [16, 31], "name": "WGP_USED_GS"}
20590 ]
20591 },
20592 "SPI_LB_DATA_PERWGP_WAVE_VSPS": {
20593 "fields": [
20594 {"bits": [0, 15], "name": "WGP_USED_VS"},
20595 {"bits": [16, 31], "name": "WGP_USED_PS"}
20596 ]
20597 },
20598 "SPI_LB_DATA_REG": {
20599 "fields": [
20600 {"bits": [0, 31], "name": "CNT_DATA"}
20601 ]
20602 },
20603 "SPI_LB_DATA_WAVES": {
20604 "fields": [
20605 {"bits": [0, 15], "name": "COUNT0"},
20606 {"bits": [16, 31], "name": "COUNT1"}
20607 ]
20608 },
20609 "SPI_LB_WGP_MASK": {
20610 "fields": [
20611 {"bits": [0, 15], "name": "WGP_MASK"}
20612 ]
20613 },
20614 "SPI_P0_TRAP_SCREEN_GPR_MIN": {
20615 "fields": [
20616 {"bits": [0, 5], "name": "VGPR_MIN"},
20617 {"bits": [6, 9], "name": "SGPR_MIN"}
20618 ]
20619 },
20620 "SPI_P0_TRAP_SCREEN_PSBA_HI": {
20621 "fields": [
20622 {"bits": [0, 7], "name": "MEM_BASE"}
20623 ]
20624 },
20625 "SPI_P0_TRAP_SCREEN_PSBA_LO": {
20626 "fields": [
20627 {"bits": [0, 31], "name": "MEM_BASE"}
20628 ]
20629 },
20630 "SPI_PERFCOUNTER0_SELECT": {
20631 "fields": [
20632 {"bits": [0, 9], "enum_ref": "SPI_PERFCNT_SEL", "name": "PERF_SEL"},
20633 {"bits": [10, 19], "enum_ref": "SPI_PERFCNT_SEL", "name": "PERF_SEL1"},
20634 {"bits": [20, 23], "name": "CNTR_MODE"},
20635 {"bits": [24, 27], "name": "PERF_MODE1"},
20636 {"bits": [28, 31], "name": "PERF_MODE"}
20637 ]
20638 },
20639 "SPI_PERFCOUNTER0_SELECT1": {
20640 "fields": [
20641 {"bits": [0, 9], "enum_ref": "SPI_PERFCNT_SEL", "name": "PERF_SEL2"},
20642 {"bits": [10, 19], "enum_ref": "SPI_PERFCNT_SEL", "name": "PERF_SEL3"},
20643 {"bits": [24, 27], "name": "PERF_MODE3"},
20644 {"bits": [28, 31], "name": "PERF_MODE2"}
20645 ]
20646 },
20647 "SPI_PERFCOUNTER4_SELECT": {
20648 "fields": [
20649 {"bits": [0, 9], "enum_ref": "SPI_PERFCNT_SEL", "name": "PERF_SEL"}
20650 ]
20651 },
20652 "SPI_PERFCOUNTER_BINS": {
20653 "fields": [
20654 {"bits": [0, 3], "name": "BIN0_MIN"},
20655 {"bits": [4, 7], "name": "BIN0_MAX"},
20656 {"bits": [8, 11], "name": "BIN1_MIN"},
20657 {"bits": [12, 15], "name": "BIN1_MAX"},
20658 {"bits": [16, 19], "name": "BIN2_MIN"},
20659 {"bits": [20, 23], "name": "BIN2_MAX"},
20660 {"bits": [24, 27], "name": "BIN3_MIN"},
20661 {"bits": [28, 31], "name": "BIN3_MAX"}
20662 ]
20663 },
20664 "SPI_PS_INPUT_CNTL_0": {
20665 "fields": [
20666 {"bits": [0, 5], "name": "OFFSET"},
20667 {"bits": [8, 9], "name": "DEFAULT_VAL"},
20668 {"bits": [10, 10], "name": "FLAT_SHADE"},
20669 {"bits": [13, 16], "name": "CYL_WRAP"},
20670 {"bits": [17, 17], "name": "PT_SPRITE_TEX"},
20671 {"bits": [18, 18], "name": "DUP"},
20672 {"bits": [19, 19], "name": "FP16_INTERP_MODE"},
20673 {"bits": [20, 20], "name": "USE_DEFAULT_ATTR1"},
20674 {"bits": [21, 22], "name": "DEFAULT_VAL_ATTR1"},
20675 {"bits": [23, 23], "name": "PT_SPRITE_TEX_ATTR1"},
20676 {"bits": [24, 24], "name": "ATTR0_VALID"},
20677 {"bits": [25, 25], "name": "ATTR1_VALID"}
20678 ]
20679 },
20680 "SPI_PS_INPUT_CNTL_20": {
20681 "fields": [
20682 {"bits": [0, 5], "name": "OFFSET"},
20683 {"bits": [8, 9], "name": "DEFAULT_VAL"},
20684 {"bits": [10, 10], "name": "FLAT_SHADE"},
20685 {"bits": [18, 18], "name": "DUP"},
20686 {"bits": [19, 19], "name": "FP16_INTERP_MODE"},
20687 {"bits": [20, 20], "name": "USE_DEFAULT_ATTR1"},
20688 {"bits": [21, 22], "name": "DEFAULT_VAL_ATTR1"},
20689 {"bits": [24, 24], "name": "ATTR0_VALID"},
20690 {"bits": [25, 25], "name": "ATTR1_VALID"}
20691 ]
20692 },
20693 "SPI_PS_INPUT_ENA": {
20694 "fields": [
20695 {"bits": [0, 0], "name": "PERSP_SAMPLE_ENA"},
20696 {"bits": [1, 1], "name": "PERSP_CENTER_ENA"},
20697 {"bits": [2, 2], "name": "PERSP_CENTROID_ENA"},
20698 {"bits": [3, 3], "name": "PERSP_PULL_MODEL_ENA"},
20699 {"bits": [4, 4], "name": "LINEAR_SAMPLE_ENA"},
20700 {"bits": [5, 5], "name": "LINEAR_CENTER_ENA"},
20701 {"bits": [6, 6], "name": "LINEAR_CENTROID_ENA"},
20702 {"bits": [7, 7], "name": "LINE_STIPPLE_TEX_ENA"},
20703 {"bits": [8, 8], "name": "POS_X_FLOAT_ENA"},
20704 {"bits": [9, 9], "name": "POS_Y_FLOAT_ENA"},
20705 {"bits": [10, 10], "name": "POS_Z_FLOAT_ENA"},
20706 {"bits": [11, 11], "name": "POS_W_FLOAT_ENA"},
20707 {"bits": [12, 12], "name": "FRONT_FACE_ENA"},
20708 {"bits": [13, 13], "name": "ANCILLARY_ENA"},
20709 {"bits": [14, 14], "name": "SAMPLE_COVERAGE_ENA"},
20710 {"bits": [15, 15], "name": "POS_FIXED_PT_ENA"}
20711 ]
20712 },
20713 "SPI_PS_IN_CONTROL": {
20714 "fields": [
20715 {"bits": [0, 5], "name": "NUM_INTERP"},
20716 {"bits": [6, 6], "name": "PARAM_GEN"},
20717 {"bits": [7, 7], "name": "OFFCHIP_PARAM_EN"},
20718 {"bits": [8, 8], "name": "LATE_PC_DEALLOC"},
20719 {"bits": [14, 14], "name": "BC_OPTIMIZE_DISABLE"},
20720 {"bits": [15, 15], "name": "PS_W32_EN"}
20721 ]
20722 },
20723 "SPI_PS_MAX_WAVE_ID": {
20724 "fields": [
20725 {"bits": [0, 11], "name": "MAX_WAVE_ID"},
20726 {"bits": [16, 25], "name": "MAX_COLLISION_WAVE_ID"}
20727 ]
20728 },
20729 "SPI_SHADER_COL_FORMAT": {
20730 "fields": [
20731 {"bits": [0, 3], "enum_ref": "SPI_SHADER_EX_FORMAT", "name": "COL0_EXPORT_FORMAT"},
20732 {"bits": [4, 7], "enum_ref": "SPI_SHADER_EX_FORMAT", "name": "COL1_EXPORT_FORMAT"},
20733 {"bits": [8, 11], "enum_ref": "SPI_SHADER_EX_FORMAT", "name": "COL2_EXPORT_FORMAT"},
20734 {"bits": [12, 15], "enum_ref": "SPI_SHADER_EX_FORMAT", "name": "COL3_EXPORT_FORMAT"},
20735 {"bits": [16, 19], "enum_ref": "SPI_SHADER_EX_FORMAT", "name": "COL4_EXPORT_FORMAT"},
20736 {"bits": [20, 23], "enum_ref": "SPI_SHADER_EX_FORMAT", "name": "COL5_EXPORT_FORMAT"},
20737 {"bits": [24, 27], "enum_ref": "SPI_SHADER_EX_FORMAT", "name": "COL6_EXPORT_FORMAT"},
20738 {"bits": [28, 31], "enum_ref": "SPI_SHADER_EX_FORMAT", "name": "COL7_EXPORT_FORMAT"}
20739 ]
20740 },
20741 "SPI_SHADER_IDX_FORMAT": {
20742 "fields": [
20743 {"bits": [0, 3], "enum_ref": "SPI_SHADER_FORMAT", "name": "IDX0_EXPORT_FORMAT"}
20744 ]
20745 },
20746 "SPI_SHADER_LATE_ALLOC_VS": {
20747 "fields": [
20748 {"bits": [0, 5], "name": "LIMIT"}
20749 ]
20750 },
20751 "SPI_SHADER_PGM_RSRC1_ES": {
20752 "fields": [
20753 {"bits": [0, 5], "name": "VGPRS"},
20754 {"bits": [6, 9], "name": "SGPRS"},
20755 {"bits": [10, 11], "name": "PRIORITY"},
20756 {"bits": [12, 19], "name": "FLOAT_MODE"},
20757 {"bits": [20, 20], "name": "PRIV"},
20758 {"bits": [21, 21], "name": "DX10_CLAMP"},
20759 {"bits": [22, 22], "name": "DEBUG_MODE"},
20760 {"bits": [23, 23], "name": "IEEE_MODE"},
20761 {"bits": [24, 25], "name": "VGPR_COMP_CNT"},
20762 {"bits": [26, 26], "name": "CU_GROUP_ENABLE"},
20763 {"bits": [30, 30], "name": "CDBG_USER"},
20764 {"bits": [31, 31], "name": "FP16_OVFL"}
20765 ]
20766 },
20767 "SPI_SHADER_PGM_RSRC1_GS": {
20768 "fields": [
20769 {"bits": [0, 5], "name": "VGPRS"},
20770 {"bits": [6, 9], "name": "SGPRS"},
20771 {"bits": [10, 11], "name": "PRIORITY"},
20772 {"bits": [12, 19], "name": "FLOAT_MODE"},
20773 {"bits": [20, 20], "name": "PRIV"},
20774 {"bits": [21, 21], "name": "DX10_CLAMP"},
20775 {"bits": [22, 22], "name": "DEBUG_MODE"},
20776 {"bits": [23, 23], "name": "IEEE_MODE"},
20777 {"bits": [24, 24], "name": "CU_GROUP_ENABLE"},
20778 {"bits": [25, 25], "name": "MEM_ORDERED"},
20779 {"bits": [26, 26], "name": "FWD_PROGRESS"},
20780 {"bits": [27, 27], "name": "WGP_MODE"},
20781 {"bits": [28, 28], "name": "CDBG_USER"},
20782 {"bits": [29, 30], "name": "GS_VGPR_COMP_CNT"},
20783 {"bits": [31, 31], "name": "FP16_OVFL"}
20784 ]
20785 },
20786 "SPI_SHADER_PGM_RSRC1_HS": {
20787 "fields": [
20788 {"bits": [0, 5], "name": "VGPRS"},
20789 {"bits": [6, 9], "name": "SGPRS"},
20790 {"bits": [10, 11], "name": "PRIORITY"},
20791 {"bits": [12, 19], "name": "FLOAT_MODE"},
20792 {"bits": [20, 20], "name": "PRIV"},
20793 {"bits": [21, 21], "name": "DX10_CLAMP"},
20794 {"bits": [22, 22], "name": "DEBUG_MODE"},
20795 {"bits": [23, 23], "name": "IEEE_MODE"},
20796 {"bits": [24, 24], "name": "MEM_ORDERED"},
20797 {"bits": [25, 25], "name": "FWD_PROGRESS"},
20798 {"bits": [26, 26], "name": "WGP_MODE"},
20799 {"bits": [27, 27], "name": "CDBG_USER"},
20800 {"bits": [28, 29], "name": "LS_VGPR_COMP_CNT"},
20801 {"bits": [30, 30], "name": "FP16_OVFL"}
20802 ]
20803 },
20804 "SPI_SHADER_PGM_RSRC1_LS": {
20805 "fields": [
20806 {"bits": [0, 5], "name": "VGPRS"},
20807 {"bits": [6, 9], "name": "SGPRS"},
20808 {"bits": [10, 11], "name": "PRIORITY"},
20809 {"bits": [12, 19], "name": "FLOAT_MODE"},
20810 {"bits": [20, 20], "name": "PRIV"},
20811 {"bits": [21, 21], "name": "DX10_CLAMP"},
20812 {"bits": [22, 22], "name": "DEBUG_MODE"},
20813 {"bits": [23, 23], "name": "IEEE_MODE"},
20814 {"bits": [24, 25], "name": "VGPR_COMP_CNT"},
20815 {"bits": [29, 29], "name": "CDBG_USER"},
20816 {"bits": [30, 30], "name": "FP16_OVFL"}
20817 ]
20818 },
20819 "SPI_SHADER_PGM_RSRC1_PS": {
20820 "fields": [
20821 {"bits": [0, 5], "name": "VGPRS"},
20822 {"bits": [6, 9], "name": "SGPRS"},
20823 {"bits": [10, 11], "name": "PRIORITY"},
20824 {"bits": [12, 19], "name": "FLOAT_MODE"},
20825 {"bits": [20, 20], "name": "PRIV"},
20826 {"bits": [21, 21], "name": "DX10_CLAMP"},
20827 {"bits": [22, 22], "name": "DEBUG_MODE"},
20828 {"bits": [23, 23], "name": "IEEE_MODE"},
20829 {"bits": [24, 24], "name": "CU_GROUP_DISABLE"},
20830 {"bits": [25, 25], "name": "MEM_ORDERED"},
20831 {"bits": [26, 26], "name": "FWD_PROGRESS"},
20832 {"bits": [28, 28], "name": "CDBG_USER"},
20833 {"bits": [29, 29], "name": "FP16_OVFL"}
20834 ]
20835 },
20836 "SPI_SHADER_PGM_RSRC1_VS": {
20837 "fields": [
20838 {"bits": [0, 5], "name": "VGPRS"},
20839 {"bits": [6, 9], "name": "SGPRS"},
20840 {"bits": [10, 11], "name": "PRIORITY"},
20841 {"bits": [12, 19], "name": "FLOAT_MODE"},
20842 {"bits": [20, 20], "name": "PRIV"},
20843 {"bits": [21, 21], "name": "DX10_CLAMP"},
20844 {"bits": [22, 22], "name": "DEBUG_MODE"},
20845 {"bits": [23, 23], "name": "IEEE_MODE"},
20846 {"bits": [24, 25], "name": "VGPR_COMP_CNT"},
20847 {"bits": [26, 26], "name": "CU_GROUP_ENABLE"},
20848 {"bits": [27, 27], "name": "MEM_ORDERED"},
20849 {"bits": [28, 28], "name": "FWD_PROGRESS"},
20850 {"bits": [30, 30], "name": "CDBG_USER"},
20851 {"bits": [31, 31], "name": "FP16_OVFL"}
20852 ]
20853 },
20854 "SPI_SHADER_PGM_RSRC2_ES_VS": {
20855 "fields": [
20856 {"bits": [0, 0], "name": "SCRATCH_EN"},
20857 {"bits": [1, 5], "name": "USER_SGPR"},
20858 {"bits": [6, 6], "name": "TRAP_PRESENT"},
20859 {"bits": [7, 7], "name": "OC_LDS_EN"},
20860 {"bits": [8, 16], "name": "EXCP_EN"},
20861 {"bits": [20, 28], "name": "LDS_SIZE"}
20862 ]
20863 },
20864 "SPI_SHADER_PGM_RSRC2_GS": {
20865 "fields": [
20866 {"bits": [0, 0], "name": "SCRATCH_EN"},
20867 {"bits": [1, 5], "name": "USER_SGPR"},
20868 {"bits": [6, 6], "name": "TRAP_PRESENT"},
20869 {"bits": [7, 15], "name": "EXCP_EN"},
20870 {"bits": [16, 17], "name": "ES_VGPR_COMP_CNT"},
20871 {"bits": [18, 18], "name": "OC_LDS_EN"},
20872 {"bits": [19, 26], "name": "LDS_SIZE"},
20873 {"bits": [27, 27], "name": "USER_SGPR_MSB"},
20874 {"bits": [28, 31], "name": "SHARED_VGPR_CNT"}
20875 ]
20876 },
20877 "SPI_SHADER_PGM_RSRC2_GS_VS": {
20878 "fields": [
20879 {"bits": [0, 0], "name": "SCRATCH_EN"},
20880 {"bits": [1, 5], "name": "USER_SGPR"},
20881 {"bits": [6, 6], "name": "TRAP_PRESENT"},
20882 {"bits": [7, 15], "name": "EXCP_EN"},
20883 {"bits": [16, 17], "name": "VGPR_COMP_CNT"},
20884 {"bits": [18, 18], "name": "OC_LDS_EN"},
20885 {"bits": [19, 26], "name": "LDS_SIZE"},
20886 {"bits": [27, 27], "name": "SKIP_USGPR0"},
20887 {"bits": [28, 28], "name": "USER_SGPR_MSB"}
20888 ]
20889 },
20890 "SPI_SHADER_PGM_RSRC2_HS": {
20891 "fields": [
20892 {"bits": [0, 0], "name": "SCRATCH_EN"},
20893 {"bits": [1, 5], "name": "USER_SGPR"},
20894 {"bits": [6, 6], "name": "TRAP_PRESENT"},
20895 {"bits": [7, 7], "name": "OC_LDS_EN"},
20896 {"bits": [8, 8], "name": "TG_SIZE_EN"},
20897 {"bits": [9, 17], "name": "EXCP_EN"},
20898 {"bits": [18, 26], "name": "LDS_SIZE"},
20899 {"bits": [27, 27], "name": "USER_SGPR_MSB"},
20900 {"bits": [28, 31], "name": "SHARED_VGPR_CNT"}
20901 ]
20902 },
20903 "SPI_SHADER_PGM_RSRC2_LS_VS": {
20904 "fields": [
20905 {"bits": [0, 0], "name": "SCRATCH_EN"},
20906 {"bits": [1, 5], "name": "USER_SGPR"},
20907 {"bits": [6, 6], "name": "TRAP_PRESENT"},
20908 {"bits": [7, 15], "name": "LDS_SIZE"},
20909 {"bits": [16, 24], "name": "EXCP_EN"}
20910 ]
20911 },
20912 "SPI_SHADER_PGM_RSRC2_PS": {
20913 "fields": [
20914 {"bits": [0, 0], "name": "SCRATCH_EN"},
20915 {"bits": [1, 5], "name": "USER_SGPR"},
20916 {"bits": [6, 6], "name": "TRAP_PRESENT"},
20917 {"bits": [7, 7], "name": "WAVE_CNT_EN"},
20918 {"bits": [8, 15], "name": "EXTRA_LDS_SIZE"},
20919 {"bits": [16, 24], "name": "EXCP_EN"},
20920 {"bits": [25, 25], "name": "LOAD_COLLISION_WAVEID"},
20921 {"bits": [26, 26], "name": "LOAD_INTRAWAVE_COLLISION"},
20922 {"bits": [27, 27], "name": "USER_SGPR_MSB"},
20923 {"bits": [28, 31], "name": "SHARED_VGPR_CNT"}
20924 ]
20925 },
20926 "SPI_SHADER_PGM_RSRC2_VS": {
20927 "fields": [
20928 {"bits": [0, 0], "name": "SCRATCH_EN"},
20929 {"bits": [1, 5], "name": "USER_SGPR"},
20930 {"bits": [6, 6], "name": "TRAP_PRESENT"},
20931 {"bits": [7, 7], "name": "OC_LDS_EN"},
20932 {"bits": [8, 8], "name": "SO_BASE0_EN"},
20933 {"bits": [9, 9], "name": "SO_BASE1_EN"},
20934 {"bits": [10, 10], "name": "SO_BASE2_EN"},
20935 {"bits": [11, 11], "name": "SO_BASE3_EN"},
20936 {"bits": [12, 12], "name": "SO_EN"},
20937 {"bits": [13, 21], "name": "EXCP_EN"},
20938 {"bits": [22, 22], "name": "PC_BASE_EN"},
20939 {"bits": [24, 24], "name": "DISPATCH_DRAW_EN"},
20940 {"bits": [27, 27], "name": "USER_SGPR_MSB"},
20941 {"bits": [28, 31], "name": "SHARED_VGPR_CNT"}
20942 ]
20943 },
20944 "SPI_SHADER_PGM_RSRC3_GS": {
20945 "fields": [
20946 {"bits": [0, 15], "name": "CU_EN"},
20947 {"bits": [16, 21], "name": "WAVE_LIMIT"},
20948 {"bits": [22, 25], "name": "LOCK_LOW_THRESHOLD"},
20949 {"bits": [26, 31], "name": "GROUP_FIFO_DEPTH"}
20950 ]
20951 },
20952 "SPI_SHADER_PGM_RSRC3_HS": {
20953 "fields": [
20954 {"bits": [0, 5], "name": "WAVE_LIMIT"},
20955 {"bits": [6, 9], "name": "LOCK_LOW_THRESHOLD"},
20956 {"bits": [10, 15], "name": "GROUP_FIFO_DEPTH"},
20957 {"bits": [16, 31], "name": "CU_EN"}
20958 ]
20959 },
20960 "SPI_SHADER_PGM_RSRC3_PS": {
20961 "fields": [
20962 {"bits": [0, 15], "name": "CU_EN"},
20963 {"bits": [16, 21], "name": "WAVE_LIMIT"},
20964 {"bits": [22, 25], "name": "LOCK_LOW_THRESHOLD"}
20965 ]
20966 },
20967 "SPI_SHADER_PGM_RSRC4_GS": {
20968 "fields": [
20969 {"bits": [0, 15], "name": "CU_EN"},
20970 {"bits": [16, 22], "name": "SPI_SHADER_LATE_ALLOC_GS"}
20971 ]
20972 },
20973 "SPI_SHADER_PGM_RSRC4_PS": {
20974 "fields": [
20975 {"bits": [0, 15], "name": "CU_EN"}
20976 ]
20977 },
20978 "SPI_SHADER_POS_FORMAT": {
20979 "fields": [
20980 {"bits": [0, 3], "enum_ref": "SPI_SHADER_FORMAT", "name": "POS0_EXPORT_FORMAT"},
20981 {"bits": [4, 7], "enum_ref": "SPI_SHADER_FORMAT", "name": "POS1_EXPORT_FORMAT"},
20982 {"bits": [8, 11], "enum_ref": "SPI_SHADER_FORMAT", "name": "POS2_EXPORT_FORMAT"},
20983 {"bits": [12, 15], "enum_ref": "SPI_SHADER_FORMAT", "name": "POS3_EXPORT_FORMAT"},
20984 {"bits": [16, 19], "enum_ref": "SPI_SHADER_FORMAT", "name": "POS4_EXPORT_FORMAT"}
20985 ]
20986 },
20987 "SPI_SHADER_REQ_CTRL_ESGS": {
20988 "fields": [
20989 {"bits": [0, 0], "name": "SOFT_GROUPING_EN"},
20990 {"bits": [1, 4], "name": "NUMBER_OF_REQUESTS_PER_CU"},
20991 {"bits": [5, 8], "name": "SOFT_GROUPING_ALLOCATION_TIMEOUT"},
20992 {"bits": [9, 9], "name": "HARD_LOCK_HYSTERESIS"},
20993 {"bits": [10, 14], "name": "HARD_LOCK_LOW_THRESHOLD"},
20994 {"bits": [15, 15], "name": "PRODUCER_REQUEST_LOCKOUT"},
20995 {"bits": [16, 16], "name": "GLOBAL_SCANNING_EN"},
20996 {"bits": [17, 19], "name": "ALLOCATION_RATE_THROTTLING_THRESHOLD"}
20997 ]
20998 },
20999 "SPI_SHADER_Z_FORMAT": {
21000 "fields": [
21001 {"bits": [0, 3], "enum_ref": "SPI_SHADER_EX_FORMAT", "name": "Z_EXPORT_FORMAT"}
21002 ]
21003 },
21004 "SPI_SLAVE_DEBUG_BUSY": {
21005 "fields": [
21006 {"bits": [0, 0], "name": "LS_VTX_BUSY"},
21007 {"bits": [1, 1], "name": "HS_VTX_BUSY"},
21008 {"bits": [2, 2], "name": "ES_VTX_BUSY"},
21009 {"bits": [3, 3], "name": "GS_VTX_BUSY"},
21010 {"bits": [4, 4], "name": "VS_VTX_BUSY"},
21011 {"bits": [5, 5], "name": "VGPR_WC00_BUSY"},
21012 {"bits": [6, 6], "name": "VGPR_WC01_BUSY"},
21013 {"bits": [7, 7], "name": "SGPR_WC00_BUSY"},
21014 {"bits": [8, 8], "name": "SGPR_WC01_BUSY"},
21015 {"bits": [9, 9], "name": "WAVEBUFFER_BUSY"},
21016 {"bits": [10, 10], "name": "WAVE_WR_WCTL_BUSY"},
21017 {"bits": [11, 11], "name": "EVENT_CNTL_BUSY"},
21018 {"bits": [12, 12], "name": "SAVE_CTX_BUSY"},
21019 {"bits": [13, 13], "name": "WR_CTL_MUX_BUSY"}
21020 ]
21021 },
21022 "SPI_START_PHASE": {
21023 "fields": [
21024 {"bits": [0, 1], "name": "PC_X_PHASE"}
21025 ]
21026 },
21027 "SPI_SX_EXPORT_BUFFER_SIZES": {
21028 "fields": [
21029 {"bits": [0, 15], "name": "COLOR_BUFFER_SIZE"},
21030 {"bits": [16, 31], "name": "POSITION_BUFFER_SIZE"}
21031 ]
21032 },
21033 "SPI_SX_SCOREBOARD_BUFFER_SIZES": {
21034 "fields": [
21035 {"bits": [0, 15], "name": "COLOR_SCOREBOARD_SIZE"},
21036 {"bits": [16, 31], "name": "POSITION_SCOREBOARD_SIZE"}
21037 ]
21038 },
21039 "SPI_VS_OUT_CONFIG": {
21040 "fields": [
21041 {"bits": [1, 5], "name": "VS_EXPORT_COUNT"},
21042 {"bits": [6, 6], "name": "VS_HALF_PACK"},
21043 {"bits": [7, 7], "name": "NO_PC_EXPORT"}
21044 ]
21045 },
21046 "SPI_WAVE_LIMIT_CNTL": {
21047 "fields": [
21048 {"bits": [0, 1], "name": "PS_WAVE_GRAN"},
21049 {"bits": [2, 3], "name": "VS_WAVE_GRAN"},
21050 {"bits": [4, 5], "name": "GS_WAVE_GRAN"},
21051 {"bits": [6, 7], "name": "HS_WAVE_GRAN"}
21052 ]
21053 },
21054 "SPI_WF_LIFETIME_CNTL": {
21055 "fields": [
21056 {"bits": [0, 3], "name": "SAMPLE_PERIOD"},
21057 {"bits": [4, 4], "name": "EN"}
21058 ]
21059 },
21060 "SPI_WF_LIFETIME_DEBUG": {
21061 "fields": [
21062 {"bits": [0, 30], "name": "START_VALUE"},
21063 {"bits": [31, 31], "name": "OVERRIDE_EN"}
21064 ]
21065 },
21066 "SPI_WF_LIFETIME_LIMIT_0": {
21067 "fields": [
21068 {"bits": [0, 30], "name": "MAX_CNT"},
21069 {"bits": [31, 31], "name": "EN_WARN"}
21070 ]
21071 },
21072 "SPI_WF_LIFETIME_STATUS_0": {
21073 "fields": [
21074 {"bits": [0, 30], "name": "MAX_CNT"},
21075 {"bits": [31, 31], "name": "INT_SENT"}
21076 ]
21077 },
21078 "SQC_CACHES": {
21079 "fields": [
21080 {"bits": [0, 0], "name": "TARGET_INST"},
21081 {"bits": [1, 1], "name": "TARGET_DATA"},
21082 {"bits": [2, 2], "name": "INVALIDATE"},
21083 {"bits": [3, 3], "name": "WRITEBACK"},
21084 {"bits": [4, 4], "name": "VOL"},
21085 {"bits": [16, 16], "name": "COMPLETE"},
21086 {"bits": [17, 18], "name": "L2_WB_POLICY"}
21087 ]
21088 },
21089 "SQC_WRITEBACK": {
21090 "fields": [
21091 {"bits": [0, 0], "name": "DWB"},
21092 {"bits": [1, 1], "name": "DIRTY"}
21093 ]
21094 },
21095 "SQ_PERFCOUNTER0_SELECT": {
21096 "fields": [
21097 {"bits": [0, 8], "enum_ref": "SQ_PERF_SEL", "name": "PERF_SEL"},
21098 {"bits": [12, 15], "name": "SQC_BANK_MASK"},
21099 {"bits": [20, 23], "name": "SPM_MODE"},
21100 {"bits": [28, 31], "name": "PERF_MODE"}
21101 ]
21102 },
21103 "SQ_PERFCOUNTER_CTRL": {
21104 "fields": [
21105 {"bits": [0, 0], "name": "PS_EN"},
21106 {"bits": [1, 1], "name": "VS_EN"},
21107 {"bits": [2, 2], "name": "GS_EN"},
21108 {"bits": [3, 3], "name": "ES_EN"},
21109 {"bits": [4, 4], "name": "HS_EN"},
21110 {"bits": [5, 5], "name": "LS_EN"},
21111 {"bits": [6, 6], "name": "CS_EN"},
21112 {"bits": [8, 9], "name": "CNTR_RATE"},
21113 {"bits": [13, 13], "name": "DISABLE_FLUSH"}
21114 ]
21115 },
21116 "SQ_PERFCOUNTER_CTRL2": {
21117 "fields": [
21118 {"bits": [0, 0], "name": "FORCE_EN"}
21119 ]
21120 },
21121 "SQ_THREAD_TRACE_BUF0_BASE": {
21122 "fields": [
21123 {"bits": [0, 31], "name": "BASE_LO"}
21124 ]
21125 },
21126 "SQ_THREAD_TRACE_BUF0_SIZE": {
21127 "fields": [
21128 {"bits": [0, 3], "name": "BASE_HI"},
21129 {"bits": [8, 29], "name": "SIZE"}
21130 ]
21131 },
21132 "SQ_THREAD_TRACE_WPTR": {
21133 "fields": [
21134 {"bits": [0, 28], "name": "OFFSET"},
21135 {"bits": [31, 31], "name": "BUFFER_ID"}
21136 ]
21137 },
21138 "SQ_THREAD_TRACE_MASK": {
21139 "fields": [
21140 {"bits": [0, 1], "name": "SIMD_SEL"},
21141 {"bits": [4, 7], "name": "WGP_SEL"},
21142 {"bits": [9, 9], "name": "SA_SEL"},
21143 {"bits": [10, 16], "name": "WTYPE_INCLUDE"}
21144 ]
21145 },
21146 "SQ_THREAD_TRACE_TOKEN_MASK": {
21147 "fields": [
21148 {"bits": [0, 11], "enum_ref": "ThreadTraceTokenExclude", "name": "TOKEN_EXCLUDE"},
21149 {"bits": [16, 23], "enum_ref": "ThreadTraceRegInclude", "name": "REG_INCLUDE"},
21150 {"bits": [24, 25], "name": "INST_EXCLUDE"},
21151 {"bits": [31, 31], "name": "REG_DETAIL_ALL"}
21152 ]
21153 },
21154 "SQ_THREAD_TRACE_CTRL": {
21155 "fields": [
21156 {"bits": [0, 1], "name": "MODE"},
21157 {"bits": [2, 2], "name": "ALL_VMID"},
21158 {"bits": [3, 3], "name": "CH_PERF_END"},
21159 {"bits": [4, 4], "name": "INTERRUPT_EN"},
21160 {"bits": [5, 5], "name": "DOUBLE_BUFFER"},
21161 {"bits": [6, 8], "name": "HIWATER"},
21162 {"bits": [9, 9], "name": "REG_STALL_EN"},
21163 {"bits": [10, 10], "name": "SPI_STALL_EN"},
21164 {"bits": [11, 11], "name": "SQ_STALL_EN"},
21165 {"bits": [12, 12], "name": "REG_DROP_ON_STALL"},
21166 {"bits": [13, 13], "name": "UTIL_TIMER"},
21167 {"bits": [14, 15], "name": "WAVESTART_MODE"},
21168 {"bits": [16, 17], "name": "RT_FREQ"},
21169 {"bits": [18, 18], "name": "SYNC_COUNT_MARKERS"},
21170 {"bits": [19, 19], "name": "SYNC_COUNT_DRAWS"},
21171 {"bits": [30, 30], "name": "CAPTURE_ALL"},
21172 {"bits": [31, 31], "name": "DRAW_EVENT_EN"}
21173 ]
21174 },
21175 "SQ_THREAD_TRACE_STATUS": {
21176 "fields": [
21177 {"bits": [0, 11], "name": "FINISH_PENDING"},
21178 {"bits": [12, 23], "name": "FINISH_DONE"},
21179 {"bits": [24, 24], "name": "UTC_ERR"},
21180 {"bits": [25, 25], "name": "BUSY"},
21181 {"bits": [26, 26], "name": "EVENT_CNTR_OVERFLOW"},
21182 {"bits": [27, 27], "name": "EVENT_CNTR_STALL"}
21183 ]
21184 },
21185 "SQ_THREAD_TRACE_DROPPED_CNTR": {
21186 "fields": [
21187 {"bits": [0, 31], "name": "CNTR"}
21188 ]
21189 },
21190 "SX_BLEND_OPT_CONTROL": {
21191 "fields": [
21192 {"bits": [0, 0], "name": "MRT0_COLOR_OPT_DISABLE"},
21193 {"bits": [1, 1], "name": "MRT0_ALPHA_OPT_DISABLE"},
21194 {"bits": [4, 4], "name": "MRT1_COLOR_OPT_DISABLE"},
21195 {"bits": [5, 5], "name": "MRT1_ALPHA_OPT_DISABLE"},
21196 {"bits": [8, 8], "name": "MRT2_COLOR_OPT_DISABLE"},
21197 {"bits": [9, 9], "name": "MRT2_ALPHA_OPT_DISABLE"},
21198 {"bits": [12, 12], "name": "MRT3_COLOR_OPT_DISABLE"},
21199 {"bits": [13, 13], "name": "MRT3_ALPHA_OPT_DISABLE"},
21200 {"bits": [16, 16], "name": "MRT4_COLOR_OPT_DISABLE"},
21201 {"bits": [17, 17], "name": "MRT4_ALPHA_OPT_DISABLE"},
21202 {"bits": [20, 20], "name": "MRT5_COLOR_OPT_DISABLE"},
21203 {"bits": [21, 21], "name": "MRT5_ALPHA_OPT_DISABLE"},
21204 {"bits": [24, 24], "name": "MRT6_COLOR_OPT_DISABLE"},
21205 {"bits": [25, 25], "name": "MRT6_ALPHA_OPT_DISABLE"},
21206 {"bits": [28, 28], "name": "MRT7_COLOR_OPT_DISABLE"},
21207 {"bits": [29, 29], "name": "MRT7_ALPHA_OPT_DISABLE"},
21208 {"bits": [31, 31], "name": "PIXEN_ZERO_OPT_DISABLE"}
21209 ]
21210 },
21211 "SX_BLEND_OPT_EPSILON": {
21212 "fields": [
21213 {"bits": [0, 3], "name": "MRT0_EPSILON"},
21214 {"bits": [4, 7], "name": "MRT1_EPSILON"},
21215 {"bits": [8, 11], "name": "MRT2_EPSILON"},
21216 {"bits": [12, 15], "name": "MRT3_EPSILON"},
21217 {"bits": [16, 19], "name": "MRT4_EPSILON"},
21218 {"bits": [20, 23], "name": "MRT5_EPSILON"},
21219 {"bits": [24, 27], "name": "MRT6_EPSILON"},
21220 {"bits": [28, 31], "name": "MRT7_EPSILON"}
21221 ]
21222 },
21223 "SX_DEBUG_1": {
21224 "fields": [
21225 {"bits": [0, 6], "name": "SX_DB_QUAD_CREDIT"},
21226 {"bits": [8, 8], "name": "DISABLE_BLEND_OPT_DONT_RD_DST"},
21227 {"bits": [9, 9], "name": "DISABLE_BLEND_OPT_BYPASS"},
21228 {"bits": [10, 10], "name": "DISABLE_BLEND_OPT_DISCARD_PIXEL"},
21229 {"bits": [11, 11], "name": "DISABLE_QUAD_PAIR_OPT"},
21230 {"bits": [12, 12], "name": "DISABLE_PIX_EN_ZERO_OPT"},
21231 {"bits": [13, 13], "name": "DISABLE_SX_DB_FGCG"},
21232 {"bits": [14, 14], "name": "ENABLE_SAME_PC_GDS_CGTS"},
21233 {"bits": [15, 15], "name": "DISABLE_RAM_FGCG"},
21234 {"bits": [16, 16], "name": "PC_DISABLE_SAME_ADDR_OPT"},
21235 {"bits": [17, 31], "name": "DEBUG_DATA"}
21236 ]
21237 },
21238 "SX_DEBUG_BUSY": {
21239 "fields": [
21240 {"bits": [0, 0], "name": "POS_FREE_OR_VALIDS"},
21241 {"bits": [1, 1], "name": "POS_REQUESTER_BUSY"},
21242 {"bits": [2, 2], "name": "PA_SX_BUSY"},
21243 {"bits": [3, 3], "name": "POS_SCBD_BUSY"},
21244 {"bits": [4, 4], "name": "POS_BANK3VAL3_BUSY"},
21245 {"bits": [5, 5], "name": "POS_BANK3VAL2_BUSY"},
21246 {"bits": [6, 6], "name": "POS_BANK3VAL1_BUSY"},
21247 {"bits": [7, 7], "name": "POS_BANK3VAL0_BUSY"},
21248 {"bits": [8, 8], "name": "POS_BANK2VAL3_BUSY"},
21249 {"bits": [9, 9], "name": "POS_BANK2VAL2_BUSY"},
21250 {"bits": [10, 10], "name": "POS_BANK2VAL1_BUSY"},
21251 {"bits": [11, 11], "name": "POS_BANK2VAL0_BUSY"},
21252 {"bits": [12, 12], "name": "POS_BANK1VAL3_BUSY"},
21253 {"bits": [13, 13], "name": "POS_BANK1VAL2_BUSY"},
21254 {"bits": [14, 14], "name": "POS_BANK1VAL1_BUSY"},
21255 {"bits": [15, 15], "name": "POS_BANK1VAL0_BUSY"},
21256 {"bits": [16, 16], "name": "POS_BANK0VAL3_BUSY"},
21257 {"bits": [17, 17], "name": "POS_BANK0VAL2_BUSY"},
21258 {"bits": [18, 18], "name": "POS_BANK0VAL1_BUSY"},
21259 {"bits": [19, 19], "name": "POS_BANK0VAL0_BUSY"},
21260 {"bits": [20, 20], "name": "RESERVED"},
21261 {"bits": [21, 21], "name": "COL_WRCTRL1_VALIDQ3"},
21262 {"bits": [22, 22], "name": "COL_WRCTRL1_VALIDQ2"},
21263 {"bits": [23, 23], "name": "COL_WRCTRL1_VALIDQ1"},
21264 {"bits": [24, 24], "name": "COL_WRCTRL0_VALIDQ3"},
21265 {"bits": [25, 25], "name": "COL_WRCTRL0_VALIDQ2"},
21266 {"bits": [26, 26], "name": "COL_WRCTRL0_VALIDQ1"},
21267 {"bits": [27, 27], "name": "PCCMD_VALID"},
21268 {"bits": [28, 28], "name": "VDATA1_VALID"},
21269 {"bits": [29, 29], "name": "VDATA0_VALID"},
21270 {"bits": [30, 30], "name": "CMD_BUSYORVAL"},
21271 {"bits": [31, 31], "name": "ADDR_BUSYORVAL"}
21272 ]
21273 },
21274 "SX_DEBUG_BUSY_2": {
21275 "fields": [
21276 {"bits": [0, 0], "name": "COL_SCBD0_BUSY"},
21277 {"bits": [1, 1], "name": "COL_REQ3_FREECNT_NE0"},
21278 {"bits": [2, 2], "name": "COL_REQ3_IDLE"},
21279 {"bits": [3, 3], "name": "COL_REQ3_BUSY"},
21280 {"bits": [4, 4], "name": "COL_REQ2_FREECNT_NE0"},
21281 {"bits": [5, 5], "name": "COL_REQ2_IDLE"},
21282 {"bits": [6, 6], "name": "COL_REQ2_BUSY"},
21283 {"bits": [7, 7], "name": "COL_REQ1_FREECNT_NE0"},
21284 {"bits": [8, 8], "name": "COL_REQ1_IDLE"},
21285 {"bits": [9, 9], "name": "COL_REQ1_BUSY"},
21286 {"bits": [10, 10], "name": "COL_REQ0_FREECNT_NE0"},
21287 {"bits": [11, 11], "name": "COL_REQ0_IDLE"},
21288 {"bits": [12, 12], "name": "COL_REQ0_BUSY"},
21289 {"bits": [13, 13], "name": "COL_DBIF3_SENDFREE_BUSY"},
21290 {"bits": [14, 14], "name": "COL_DBIF3_FIFO_BUSY"},
21291 {"bits": [15, 15], "name": "COL_DBIF3_QUAD_FREE"},
21292 {"bits": [16, 16], "name": "COL_DBIF2_SENDFREE_BUSY"},
21293 {"bits": [17, 17], "name": "COL_DBIF2_FIFO_BUSY"},
21294 {"bits": [18, 18], "name": "COL_DBIF2_QUAD_FREE"},
21295 {"bits": [19, 19], "name": "COL_DBIF1_SENDFREE_BUSY"},
21296 {"bits": [20, 20], "name": "COL_DBIF1_FIFO_BUSY"},
21297 {"bits": [21, 21], "name": "COL_DBIF1_QUAD_FREE"},
21298 {"bits": [22, 22], "name": "COL_DBIF0_SENDFREE_BUSY"},
21299 {"bits": [23, 23], "name": "COL_DBIF0_FIFO_BUSY"},
21300 {"bits": [24, 24], "name": "COL_DBIF0_QUAD_FREE"},
21301 {"bits": [25, 25], "name": "COL_BUFF3_BANK3_VAL3_BUSY"},
21302 {"bits": [26, 26], "name": "COL_BUFF3_BANK3_VAL2_BUSY"},
21303 {"bits": [27, 27], "name": "COL_BUFF3_BANK3_VAL1_BUSY"},
21304 {"bits": [28, 28], "name": "COL_BUFF3_BANK3_VAL0_BUSY"},
21305 {"bits": [29, 29], "name": "COL_BUFF3_BANK2_VAL3_BUSY"},
21306 {"bits": [30, 30], "name": "COL_BUFF3_BANK2_VAL2_BUSY"},
21307 {"bits": [31, 31], "name": "COL_BUFF3_BANK2_VAL1_BUSY"}
21308 ]
21309 },
21310 "SX_DEBUG_BUSY_3": {
21311 "fields": [
21312 {"bits": [0, 0], "name": "COL_BUFF3_BANK2_VAL0_BUSY"},
21313 {"bits": [1, 1], "name": "COL_BUFF3_BANK1_VAL3_BUSY"},
21314 {"bits": [2, 2], "name": "COL_BUFF3_BANK1_VAL2_BUSY"},
21315 {"bits": [3, 3], "name": "COL_BUFF3_BANK1_VAL1_BUSY"},
21316 {"bits": [4, 4], "name": "COL_BUFF3_BANK1_VAL0_BUSY"},
21317 {"bits": [5, 5], "name": "COL_BUFF3_BANK0_VAL3_BUSY"},
21318 {"bits": [6, 6], "name": "COL_BUFF3_BANK0_VAL2_BUSY"},
21319 {"bits": [7, 7], "name": "COL_BUFF3_BANK0_VAL1_BUSY"},
21320 {"bits": [8, 8], "name": "COL_BUFF3_BANK0_VAL0_BUSY"},
21321 {"bits": [9, 9], "name": "COL_BUFF2_BANK3_VAL3_BUSY"},
21322 {"bits": [10, 10], "name": "COL_BUFF2_BANK3_VAL2_BUSY"},
21323 {"bits": [11, 11], "name": "COL_BUFF2_BANK3_VAL1_BUSY"},
21324 {"bits": [12, 12], "name": "COL_BUFF2_BANK3_VAL0_BUSY"},
21325 {"bits": [13, 13], "name": "COL_BUFF2_BANK2_VAL3_BUSY"},
21326 {"bits": [14, 14], "name": "COL_BUFF2_BANK2_VAL2_BUSY"},
21327 {"bits": [15, 15], "name": "COL_BUFF2_BANK2_VAL1_BUSY"},
21328 {"bits": [16, 16], "name": "COL_BUFF2_BANK2_VAL0_BUSY"},
21329 {"bits": [17, 17], "name": "COL_BUFF2_BANK1_VAL3_BUSY"},
21330 {"bits": [18, 18], "name": "COL_BUFF2_BANK1_VAL2_BUSY"},
21331 {"bits": [19, 19], "name": "COL_BUFF2_BANK1_VAL1_BUSY"},
21332 {"bits": [20, 20], "name": "COL_BUFF2_BANK1_VAL0_BUSY"},
21333 {"bits": [21, 21], "name": "COL_BUFF2_BANK0_VAL3_BUSY"},
21334 {"bits": [22, 22], "name": "COL_BUFF2_BANK0_VAL2_BUSY"},
21335 {"bits": [23, 23], "name": "COL_BUFF2_BANK0_VAL1_BUSY"},
21336 {"bits": [24, 24], "name": "COL_BUFF2_BANK0_VAL0_BUSY"},
21337 {"bits": [25, 25], "name": "COL_BUFF1_BANK3_VAL3_BUSY"},
21338 {"bits": [26, 26], "name": "COL_BUFF1_BANK3_VAL2_BUSY"},
21339 {"bits": [27, 27], "name": "COL_BUFF1_BANK3_VAL1_BUSY"},
21340 {"bits": [28, 28], "name": "COL_BUFF1_BANK3_VAL0_BUSY"},
21341 {"bits": [29, 29], "name": "COL_BUFF1_BANK2_VAL3_BUSY"},
21342 {"bits": [30, 30], "name": "COL_BUFF1_BANK2_VAL2_BUSY"},
21343 {"bits": [31, 31], "name": "COL_BUFF1_BANK2_VAL1_BUSY"}
21344 ]
21345 },
21346 "SX_DEBUG_BUSY_4": {
21347 "fields": [
21348 {"bits": [0, 0], "name": "COL_BUFF1_BANK2_VAL0_BUSY"},
21349 {"bits": [1, 1], "name": "COL_BUFF1_BANK1_VAL3_BUSY"},
21350 {"bits": [2, 2], "name": "COL_BUFF1_BANK1_VAL2_BUSY"},
21351 {"bits": [3, 3], "name": "COL_BUFF1_BANK1_VAL1_BUSY"},
21352 {"bits": [4, 4], "name": "COL_BUFF1_BANK1_VAL0_BUSY"},
21353 {"bits": [5, 5], "name": "COL_BUFF1_BANK0_VAL3_BUSY"},
21354 {"bits": [6, 6], "name": "COL_BUFF1_BANK0_VAL2_BUSY"},
21355 {"bits": [7, 7], "name": "COL_BUFF1_BANK0_VAL1_BUSY"},
21356 {"bits": [8, 8], "name": "COL_BUFF1_BANK0_VAL0_BUSY"},
21357 {"bits": [9, 9], "name": "COL_BUFF0_BANK3_VAL3_BUSY"},
21358 {"bits": [10, 10], "name": "COL_BUFF0_BANK3_VAL2_BUSY"},
21359 {"bits": [11, 11], "name": "COL_BUFF0_BANK3_VAL1_BUSY"},
21360 {"bits": [12, 12], "name": "COL_BUFF0_BANK3_VAL0_BUSY"},
21361 {"bits": [13, 13], "name": "COL_BUFF0_BANK2_VAL3_BUSY"},
21362 {"bits": [14, 14], "name": "COL_BUFF0_BANK2_VAL2_BUSY"},
21363 {"bits": [15, 15], "name": "COL_BUFF0_BANK2_VAL1_BUSY"},
21364 {"bits": [16, 16], "name": "COL_BUFF0_BANK2_VAL0_BUSY"},
21365 {"bits": [17, 17], "name": "COL_BUFF0_BANK1_VAL3_BUSY"},
21366 {"bits": [18, 18], "name": "COL_BUFF0_BANK1_VAL2_BUSY"},
21367 {"bits": [19, 19], "name": "COL_BUFF0_BANK1_VAL1_BUSY"},
21368 {"bits": [20, 20], "name": "COL_BUFF0_BANK1_VAL0_BUSY"},
21369 {"bits": [21, 21], "name": "COL_BUFF0_BANK0_VAL3_BUSY"},
21370 {"bits": [22, 22], "name": "COL_BUFF0_BANK0_VAL2_BUSY"},
21371 {"bits": [23, 23], "name": "COL_BUFF0_BANK0_VAL1_BUSY"},
21372 {"bits": [24, 24], "name": "COL_BUFF0_BANK0_VAL0_BUSY"},
21373 {"bits": [25, 25], "name": "COL_BUFF3_BANK7_VAL3_BUSY"},
21374 {"bits": [26, 26], "name": "COL_BUFF3_BANK7_VAL2_BUSY"},
21375 {"bits": [27, 27], "name": "COL_BUFF3_BANK7_VAL1_BUSY"},
21376 {"bits": [28, 28], "name": "COL_BUFF3_BANK7_VAL0_BUSY"},
21377 {"bits": [29, 29], "name": "COL_BUFF3_BANK6_VAL3_BUSY"},
21378 {"bits": [30, 30], "name": "COL_BUFF3_BANK6_VAL2_BUSY"},
21379 {"bits": [31, 31], "name": "COL_BUFF3_BANK6_VAL1_BUSY"}
21380 ]
21381 },
21382 "SX_DEBUG_BUSY_5": {
21383 "fields": [
21384 {"bits": [0, 0], "name": "COL_BUFF3_BANK6_VAL0_BUSY"},
21385 {"bits": [1, 1], "name": "COL_BUFF3_BANK5_VAL3_BUSY"},
21386 {"bits": [2, 2], "name": "COL_BUFF3_BANK5_VAL2_BUSY"},
21387 {"bits": [3, 3], "name": "COL_BUFF3_BANK5_VAL1_BUSY"},
21388 {"bits": [4, 4], "name": "COL_BUFF3_BANK5_VAL0_BUSY"},
21389 {"bits": [5, 5], "name": "COL_BUFF3_BANK4_VAL3_BUSY"},
21390 {"bits": [6, 6], "name": "COL_BUFF3_BANK4_VAL2_BUSY"},
21391 {"bits": [7, 7], "name": "COL_BUFF3_BANK4_VAL1_BUSY"},
21392 {"bits": [8, 8], "name": "COL_BUFF3_BANK4_VAL0_BUSY"},
21393 {"bits": [9, 9], "name": "COL_BUFF2_BANK7_VAL3_BUSY"},
21394 {"bits": [10, 10], "name": "COL_BUFF2_BANK7_VAL2_BUSY"},
21395 {"bits": [11, 11], "name": "COL_BUFF2_BANK7_VAL1_BUSY"},
21396 {"bits": [12, 12], "name": "COL_BUFF2_BANK7_VAL0_BUSY"},
21397 {"bits": [13, 13], "name": "COL_BUFF2_BANK6_VAL3_BUSY"},
21398 {"bits": [14, 14], "name": "COL_BUFF2_BANK6_VAL2_BUSY"},
21399 {"bits": [15, 15], "name": "COL_BUFF2_BANK6_VAL1_BUSY"},
21400 {"bits": [16, 16], "name": "COL_BUFF2_BANK6_VAL0_BUSY"},
21401 {"bits": [17, 17], "name": "COL_BUFF2_BANK5_VAL3_BUSY"},
21402 {"bits": [18, 18], "name": "COL_BUFF2_BANK5_VAL2_BUSY"},
21403 {"bits": [19, 19], "name": "COL_BUFF2_BANK5_VAL1_BUSY"},
21404 {"bits": [20, 20], "name": "COL_BUFF2_BANK5_VAL0_BUSY"},
21405 {"bits": [21, 21], "name": "COL_BUFF2_BANK4_VAL3_BUSY"},
21406 {"bits": [22, 22], "name": "COL_BUFF2_BANK4_VAL2_BUSY"},
21407 {"bits": [23, 23], "name": "COL_BUFF2_BANK4_VAL1_BUSY"},
21408 {"bits": [24, 24], "name": "COL_BUFF2_BANK4_VAL0_BUSY"},
21409 {"bits": [25, 25], "name": "COL_BUFF1_BANK7_VAL3_BUSY"},
21410 {"bits": [26, 26], "name": "COL_BUFF1_BANK7_VAL2_BUSY"},
21411 {"bits": [27, 27], "name": "COL_BUFF1_BANK7_VAL1_BUSY"},
21412 {"bits": [28, 28], "name": "COL_BUFF1_BANK7_VAL0_BUSY"},
21413 {"bits": [29, 29], "name": "COL_BUFF1_BANK6_VAL3_BUSY"},
21414 {"bits": [30, 30], "name": "COL_BUFF1_BANK6_VAL2_BUSY"},
21415 {"bits": [31, 31], "name": "COL_BUFF1_BANK6_VAL1_BUSY"}
21416 ]
21417 },
21418 "SX_DEBUG_BUSY_6": {
21419 "fields": [
21420 {"bits": [0, 0], "name": "COL_BUFF1_BANK6_VAL0_BUSY"},
21421 {"bits": [1, 1], "name": "COL_BUFF1_BANK5_VAL3_BUSY"},
21422 {"bits": [2, 2], "name": "COL_BUFF1_BANK5_VAL2_BUSY"},
21423 {"bits": [3, 3], "name": "COL_BUFF1_BANK5_VAL1_BUSY"},
21424 {"bits": [4, 4], "name": "COL_BUFF1_BANK5_VAL0_BUSY"},
21425 {"bits": [5, 5], "name": "COL_BUFF1_BANK4_VAL3_BUSY"},
21426 {"bits": [6, 6], "name": "COL_BUFF1_BANK4_VAL2_BUSY"},
21427 {"bits": [7, 7], "name": "COL_BUFF1_BANK4_VAL1_BUSY"},
21428 {"bits": [8, 8], "name": "COL_BUFF1_BANK4_VAL0_BUSY"},
21429 {"bits": [9, 9], "name": "COL_BUFF0_BANK7_VAL3_BUSY"},
21430 {"bits": [10, 10], "name": "COL_BUFF0_BANK7_VAL2_BUSY"},
21431 {"bits": [11, 11], "name": "COL_BUFF0_BANK7_VAL1_BUSY"},
21432 {"bits": [12, 12], "name": "COL_BUFF0_BANK7_VAL0_BUSY"},
21433 {"bits": [13, 13], "name": "COL_BUFF0_BANK6_VAL3_BUSY"},
21434 {"bits": [14, 14], "name": "COL_BUFF0_BANK6_VAL2_BUSY"},
21435 {"bits": [15, 15], "name": "COL_BUFF0_BANK6_VAL1_BUSY"},
21436 {"bits": [16, 16], "name": "COL_BUFF0_BANK6_VAL0_BUSY"},
21437 {"bits": [17, 17], "name": "COL_BUFF0_BANK5_VAL3_BUSY"},
21438 {"bits": [18, 18], "name": "COL_BUFF0_BANK5_VAL2_BUSY"},
21439 {"bits": [19, 19], "name": "COL_BUFF0_BANK5_VAL1_BUSY"},
21440 {"bits": [20, 20], "name": "COL_BUFF0_BANK5_VAL0_BUSY"},
21441 {"bits": [21, 21], "name": "COL_BUFF0_BANK4_VAL3_BUSY"},
21442 {"bits": [22, 22], "name": "COL_BUFF0_BANK4_VAL2_BUSY"},
21443 {"bits": [23, 23], "name": "COL_BUFF0_BANK4_VAL1_BUSY"},
21444 {"bits": [24, 24], "name": "COL_BUFF0_BANK4_VAL0_BUSY"},
21445 {"bits": [25, 25], "name": "COL_REQ3_CREDIT_BUSY"},
21446 {"bits": [26, 26], "name": "COL_REQ3_FLOP_BUSY"},
21447 {"bits": [27, 27], "name": "COL_REQ2_CREDIT_BUSY"},
21448 {"bits": [28, 28], "name": "COL_REQ2_FLOP_BUSY"},
21449 {"bits": [29, 29], "name": "COL_REQ1_CREDIT_BUSY"},
21450 {"bits": [30, 30], "name": "COL_REQ1_FLOP_BUSY"},
21451 {"bits": [31, 31], "name": "COL_REQ0_CREDIT_BUSY"}
21452 ]
21453 },
21454 "SX_DEBUG_BUSY_7": {
21455 "fields": [
21456 {"bits": [0, 0], "name": "COL_REQ0_FLOP_BUSY"},
21457 {"bits": [1, 1], "name": "COL_SCBD0_BUSY"},
21458 {"bits": [2, 2], "name": "COL_BLEND3_DATA_VALIDQ1"},
21459 {"bits": [3, 3], "name": "COL_BLEND3_DATA_VALIDQ1_ADJ"},
21460 {"bits": [4, 4], "name": "COL_BLEND3_DATA_VALIDQ2"},
21461 {"bits": [5, 5], "name": "COL_BLEND3_DATA_VALIDQ3"},
21462 {"bits": [6, 6], "name": "COL_BLEND3_DATA_VALIDQ4"},
21463 {"bits": [7, 7], "name": "COL_BLEND3_DATA_VALIDQ5"},
21464 {"bits": [8, 8], "name": "COL_BLEND3_DATA_VALID_OUT"},
21465 {"bits": [9, 9], "name": "RESERVED"},
21466 {"bits": [10, 10], "name": "COL_BLEND2_DATA_VALIDQ1"},
21467 {"bits": [11, 11], "name": "COL_BLEND2_DATA_VALIDQ1_ADJ"},
21468 {"bits": [12, 12], "name": "COL_BLEND2_DATA_VALIDQ2"},
21469 {"bits": [13, 13], "name": "COL_BLEND2_DATA_VALIDQ3"},
21470 {"bits": [14, 14], "name": "COL_BLEND2_DATA_VALIDQ4"},
21471 {"bits": [15, 15], "name": "COL_BLEND2_DATA_VALIDQ5"},
21472 {"bits": [16, 16], "name": "COL_BLEND2_DATA_VALID_OUT"},
21473 {"bits": [17, 17], "name": "RESERVED"},
21474 {"bits": [18, 18], "name": "COL_BLEND1_DATA_VALIDQ1"},
21475 {"bits": [19, 19], "name": "COL_BLEND1_DATA_VALIDQ1_ADJ"},
21476 {"bits": [20, 20], "name": "COL_BLEND1_DATA_VALIDQ2"},
21477 {"bits": [21, 21], "name": "COL_BLEND1_DATA_VALIDQ3"},
21478 {"bits": [22, 22], "name": "COL_BLEND1_DATA_VALIDQ4"},
21479 {"bits": [23, 23], "name": "COL_BLEND1_DATA_VALIDQ5"},
21480 {"bits": [24, 24], "name": "COL_BLEND1_DATA_VALID_OUT"},
21481 {"bits": [25, 25], "name": "RESERVED"},
21482 {"bits": [26, 26], "name": "COL_BLEND0_DATA_VALIDQ1"},
21483 {"bits": [27, 27], "name": "COL_BLEND0_DATA_VALIDQ1_ADJ"},
21484 {"bits": [28, 28], "name": "COL_BLEND0_DATA_VALIDQ2"},
21485 {"bits": [29, 29], "name": "COL_BLEND0_DATA_VALIDQ3"},
21486 {"bits": [30, 30], "name": "COL_BLEND0_DATA_VALIDQ4"},
21487 {"bits": [31, 31], "name": "COL_BLEND0_DATA_VALIDQ5"}
21488 ]
21489 },
21490 "SX_DEBUG_BUSY_8": {
21491 "fields": [
21492 {"bits": [0, 0], "name": "COL_BLEND0_DATA_VALID_OUT"},
21493 {"bits": [1, 1], "name": "RESERVED"},
21494 {"bits": [2, 2], "name": "POS_BANK7VAL3_BUSY"},
21495 {"bits": [3, 3], "name": "POS_BANK7VAL2_BUSY"},
21496 {"bits": [4, 4], "name": "POS_BANK7VAL1_BUSY"},
21497 {"bits": [5, 5], "name": "POS_BANK7VAL0_BUSY"},
21498 {"bits": [6, 6], "name": "POS_BANK6VAL3_BUSY"},
21499 {"bits": [7, 7], "name": "POS_BANK6VAL2_BUSY"},
21500 {"bits": [8, 8], "name": "POS_BANK6VAL1_BUSY"},
21501 {"bits": [9, 9], "name": "POS_BANK6VAL0_BUSY"},
21502 {"bits": [10, 10], "name": "POS_BANK5VAL3_BUSY"},
21503 {"bits": [11, 11], "name": "POS_BANK5VAL2_BUSY"},
21504 {"bits": [12, 12], "name": "POS_BANK5VAL1_BUSY"},
21505 {"bits": [13, 13], "name": "POS_BANK5VAL0_BUSY"},
21506 {"bits": [14, 14], "name": "POS_BANK4VAL3_BUSY"},
21507 {"bits": [15, 15], "name": "POS_BANK4VAL2_BUSY"},
21508 {"bits": [16, 16], "name": "POS_BANK4VAL1_BUSY"},
21509 {"bits": [17, 17], "name": "POS_BANK4VAL0_BUSY"},
21510 {"bits": [18, 18], "name": "POS_WRCTRL1_VALIDQ3"},
21511 {"bits": [19, 19], "name": "POS_WRCTRL1_VALIDQ2"},
21512 {"bits": [20, 20], "name": "POS_WRCTRL1_VALIDQ1"},
21513 {"bits": [21, 21], "name": "IDX_WRCTRL1_VALIDQ3"},
21514 {"bits": [22, 22], "name": "IDX_WRCTRL1_VALIDQ2"},
21515 {"bits": [23, 23], "name": "IDX_WRCTRL1_VALIDQ1"},
21516 {"bits": [24, 24], "name": "IDX_SCBD_BUSY"},
21517 {"bits": [25, 25], "name": "IDX_FREE_OR_VALIDS"},
21518 {"bits": [26, 26], "name": "IDX_REQUESTER_BUSY"},
21519 {"bits": [27, 27], "name": "PA_SX_IDX_BUSY"},
21520 {"bits": [28, 28], "name": "IDX_BANK7VAL3_BUSY"},
21521 {"bits": [29, 29], "name": "IDX_BANK7VAL2_BUSY"},
21522 {"bits": [30, 30], "name": "IDX_BANK7VAL1_BUSY"},
21523 {"bits": [31, 31], "name": "IDX_BANK7VAL0_BUSY"}
21524 ]
21525 },
21526 "SX_DEBUG_BUSY_9": {
21527 "fields": [
21528 {"bits": [0, 0], "name": "IDX_BANK6VAL3_BUSY"},
21529 {"bits": [1, 1], "name": "IDX_BANK6VAL2_BUSY"},
21530 {"bits": [2, 2], "name": "IDX_BANK6VAL1_BUSY"},
21531 {"bits": [3, 3], "name": "IDX_BANK6VAL0_BUSY"},
21532 {"bits": [4, 4], "name": "IDX_BANK5VAL3_BUSY"},
21533 {"bits": [5, 5], "name": "IDX_BANK5VAL2_BUSY"},
21534 {"bits": [6, 6], "name": "IDX_BANK5VAL1_BUSY"},
21535 {"bits": [7, 7], "name": "IDX_BANK5VAL0_BUSY"},
21536 {"bits": [8, 8], "name": "IDX_BANK4VAL3_BUSY"},
21537 {"bits": [9, 9], "name": "IDX_BANK4VAL2_BUSY"},
21538 {"bits": [10, 10], "name": "IDX_BANK4VAL1_BUSY"},
21539 {"bits": [11, 11], "name": "IDX_BANK4VAL0_BUSY"},
21540 {"bits": [12, 12], "name": "IDX_BANK3VAL3_BUSY"},
21541 {"bits": [13, 13], "name": "IDX_BANK3VAL2_BUSY"},
21542 {"bits": [14, 14], "name": "IDX_BANK3VAL1_BUSY"},
21543 {"bits": [15, 15], "name": "IDX_BANK3VAL0_BUSY"},
21544 {"bits": [16, 16], "name": "IDX_BANK2VAL3_BUSY"},
21545 {"bits": [17, 17], "name": "IDX_BANK2VAL2_BUSY"},
21546 {"bits": [18, 18], "name": "IDX_BANK2VAL1_BUSY"},
21547 {"bits": [19, 19], "name": "IDX_BANK2VAL0_BUSY"},
21548 {"bits": [20, 20], "name": "IDX_BANK1VAL3_BUSY"},
21549 {"bits": [21, 21], "name": "IDX_BANK1VAL2_BUSY"},
21550 {"bits": [22, 22], "name": "IDX_BANK1VAL1_BUSY"},
21551 {"bits": [23, 23], "name": "IDX_BANK1VAL0_BUSY"},
21552 {"bits": [24, 24], "name": "IDX_BANK0VAL3_BUSY"},
21553 {"bits": [25, 25], "name": "IDX_BANK0VAL2_BUSY"},
21554 {"bits": [26, 26], "name": "IDX_BANK0VAL1_BUSY"},
21555 {"bits": [27, 27], "name": "IDX_BANK0VAL0_BUSY"},
21556 {"bits": [28, 28], "name": "SX_SX_IN_VALID"},
21557 {"bits": [29, 29], "name": "SX_SX_OUT_VALID"},
21558 {"bits": [30, 31], "name": "RESERVED"}
21559 ]
21560 },
21561 "SX_MRT0_BLEND_OPT": {
21562 "fields": [
21563 {"bits": [0, 2], "enum_ref": "SX_BLEND_OPT", "name": "COLOR_SRC_OPT"},
21564 {"bits": [4, 6], "enum_ref": "SX_BLEND_OPT", "name": "COLOR_DST_OPT"},
21565 {"bits": [8, 10], "enum_ref": "SX_OPT_COMB_FCN", "name": "COLOR_COMB_FCN"},
21566 {"bits": [16, 18], "enum_ref": "SX_BLEND_OPT", "name": "ALPHA_SRC_OPT"},
21567 {"bits": [20, 22], "enum_ref": "SX_BLEND_OPT", "name": "ALPHA_DST_OPT"},
21568 {"bits": [24, 26], "enum_ref": "SX_OPT_COMB_FCN", "name": "ALPHA_COMB_FCN"}
21569 ]
21570 },
21571 "SX_PERFCOUNTER0_SELECT": {
21572 "fields": [
21573 {"bits": [0, 9], "enum_ref": "SX_PERFCOUNTER_VALS", "name": "PERFCOUNTER_SELECT"},
21574 {"bits": [10, 19], "name": "PERFCOUNTER_SELECT1"},
21575 {"bits": [20, 23], "name": "CNTR_MODE"}
21576 ]
21577 },
21578 "SX_PERFCOUNTER0_SELECT1": {
21579 "fields": [
21580 {"bits": [0, 9], "name": "PERFCOUNTER_SELECT2"},
21581 {"bits": [10, 19], "name": "PERFCOUNTER_SELECT3"}
21582 ]
21583 },
21584 "SX_PS_DOWNCONVERT": {
21585 "fields": [
21586 {"bits": [0, 3], "enum_ref": "SX_DOWNCONVERT_FORMAT", "name": "MRT0"},
21587 {"bits": [4, 7], "enum_ref": "SX_DOWNCONVERT_FORMAT", "name": "MRT1"},
21588 {"bits": [8, 11], "enum_ref": "SX_DOWNCONVERT_FORMAT", "name": "MRT2"},
21589 {"bits": [12, 15], "enum_ref": "SX_DOWNCONVERT_FORMAT", "name": "MRT3"},
21590 {"bits": [16, 19], "enum_ref": "SX_DOWNCONVERT_FORMAT", "name": "MRT4"},
21591 {"bits": [20, 23], "enum_ref": "SX_DOWNCONVERT_FORMAT", "name": "MRT5"},
21592 {"bits": [24, 27], "enum_ref": "SX_DOWNCONVERT_FORMAT", "name": "MRT6"},
21593 {"bits": [28, 31], "enum_ref": "SX_DOWNCONVERT_FORMAT", "name": "MRT7"}
21594 ]
21595 },
21596 "TA_BC_BASE_ADDR_HI": {
21597 "fields": [
21598 {"bits": [0, 7], "name": "ADDRESS"}
21599 ]
21600 },
21601 "TA_PERFCOUNTER0_SELECT": {
21602 "fields": [
21603 {"bits": [0, 7], "enum_ref": "TA_PERFCOUNT_SEL", "name": "PERF_SEL"},
21604 {"bits": [10, 17], "enum_ref": "TA_PERFCOUNT_SEL", "name": "PERF_SEL1"},
21605 {"bits": [20, 23], "name": "CNTR_MODE"},
21606 {"bits": [24, 27], "name": "PERF_MODE1"},
21607 {"bits": [28, 31], "name": "PERF_MODE"}
21608 ]
21609 },
21610 "TA_PERFCOUNTER0_SELECT1": {
21611 "fields": [
21612 {"bits": [0, 7], "enum_ref": "TA_PERFCOUNT_SEL", "name": "PERF_SEL2"},
21613 {"bits": [10, 17], "enum_ref": "TA_PERFCOUNT_SEL", "name": "PERF_SEL3"},
21614 {"bits": [24, 27], "name": "PERF_MODE3"},
21615 {"bits": [28, 31], "name": "PERF_MODE2"}
21616 ]
21617 },
21618 "TA_PERFCOUNTER1_SELECT": {
21619 "fields": [
21620 {"bits": [0, 7], "enum_ref": "TA_PERFCOUNT_SEL", "name": "PERF_SEL"},
21621 {"bits": [20, 23], "name": "CNTR_MODE"},
21622 {"bits": [28, 31], "name": "PERF_MODE"}
21623 ]
21624 },
21625 "TCP_PERFCOUNTER0_SELECT": {
21626 "fields": [
21627 {"bits": [0, 9], "enum_ref": "TCP_PERFCOUNT_SELECT", "name": "PERF_SEL"},
21628 {"bits": [10, 19], "enum_ref": "TCP_PERFCOUNT_SELECT", "name": "PERF_SEL1"},
21629 {"bits": [20, 23], "name": "CNTR_MODE"},
21630 {"bits": [24, 27], "name": "PERF_MODE1"},
21631 {"bits": [28, 31], "name": "PERF_MODE"}
21632 ]
21633 },
21634 "TCP_PERFCOUNTER0_SELECT1": {
21635 "fields": [
21636 {"bits": [0, 9], "enum_ref": "TCP_PERFCOUNT_SELECT", "name": "PERF_SEL2"},
21637 {"bits": [10, 19], "enum_ref": "TCP_PERFCOUNT_SELECT", "name": "PERF_SEL3"},
21638 {"bits": [24, 27], "name": "PERF_MODE3"},
21639 {"bits": [28, 31], "name": "PERF_MODE2"}
21640 ]
21641 },
21642 "TCP_PERFCOUNTER2_SELECT": {
21643 "fields": [
21644 {"bits": [0, 9], "enum_ref": "TCP_PERFCOUNT_SELECT", "name": "PERF_SEL"},
21645 {"bits": [20, 23], "name": "CNTR_MODE"},
21646 {"bits": [28, 31], "name": "PERF_MODE"}
21647 ]
21648 },
21649 "TD_PERFCOUNTER0_SELECT": {
21650 "fields": [
21651 {"bits": [0, 7], "enum_ref": "TD_PERFCOUNT_SEL", "name": "PERF_SEL"},
21652 {"bits": [10, 17], "enum_ref": "TD_PERFCOUNT_SEL", "name": "PERF_SEL1"},
21653 {"bits": [20, 23], "name": "CNTR_MODE"},
21654 {"bits": [24, 27], "name": "PERF_MODE1"},
21655 {"bits": [28, 31], "name": "PERF_MODE"}
21656 ]
21657 },
21658 "TD_PERFCOUNTER0_SELECT1": {
21659 "fields": [
21660 {"bits": [0, 7], "enum_ref": "TD_PERFCOUNT_SEL", "name": "PERF_SEL2"},
21661 {"bits": [10, 17], "enum_ref": "TD_PERFCOUNT_SEL", "name": "PERF_SEL3"},
21662 {"bits": [24, 27], "name": "PERF_MODE3"},
21663 {"bits": [28, 31], "name": "PERF_MODE2"}
21664 ]
21665 },
21666 "TD_PERFCOUNTER1_SELECT": {
21667 "fields": [
21668 {"bits": [0, 7], "enum_ref": "TD_PERFCOUNT_SEL", "name": "PERF_SEL"},
21669 {"bits": [20, 23], "name": "CNTR_MODE"},
21670 {"bits": [28, 31], "name": "PERF_MODE"}
21671 ]
21672 },
21673 "UTCL1_PERFCOUNTER0_SELECT": {
21674 "fields": [
21675 {"bits": [0, 9], "enum_ref": "UTCL1PerfSel", "name": "PERF_SEL"},
21676 {"bits": [28, 31], "name": "COUNTER_MODE"}
21677 ]
21678 },
21679 "VGT_DISPATCH_DRAW_INDEX": {
21680 "fields": [
21681 {"bits": [0, 31], "name": "MATCH_INDEX"}
21682 ]
21683 },
21684 "VGT_DMA_BASE_HI": {
21685 "fields": [
21686 {"bits": [0, 15], "name": "BASE_ADDR"}
21687 ]
21688 },
21689 "VGT_DMA_INDEX_TYPE": {
21690 "fields": [
21691 {"bits": [0, 1], "enum_ref": "VGT_INDEX_TYPE_MODE", "name": "INDEX_TYPE"},
21692 {"bits": [2, 3], "enum_ref": "VGT_DMA_SWAP_MODE", "name": "SWAP_MODE"},
21693 {"bits": [4, 5], "enum_ref": "VGT_DMA_BUF_TYPE", "name": "BUF_TYPE"},
21694 {"bits": [6, 7], "enum_ref": "VGT_RDREQ_POLICY", "name": "RDREQ_POLICY"},
21695 {"bits": [8, 8], "name": "ATC"},
21696 {"bits": [9, 9], "name": "NOT_EOP"},
21697 {"bits": [10, 10], "name": "REQ_PATH"},
21698 {"bits": [11, 13], "name": "MTYPE"}
21699 ]
21700 },
21701 "VGT_DMA_MAX_SIZE": {
21702 "fields": [
21703 {"bits": [0, 31], "name": "MAX_SIZE"}
21704 ]
21705 },
21706 "VGT_DMA_NUM_INSTANCES": {
21707 "fields": [
21708 {"bits": [0, 31], "name": "NUM_INSTANCES"}
21709 ]
21710 },
21711 "VGT_DMA_SIZE": {
21712 "fields": [
21713 {"bits": [0, 31], "name": "NUM_INDICES"}
21714 ]
21715 },
21716 "VGT_DRAW_INITIATOR": {
21717 "fields": [
21718 {"bits": [0, 1], "enum_ref": "VGT_DI_SOURCE_SELECT", "name": "SOURCE_SELECT"},
21719 {"bits": [2, 3], "enum_ref": "VGT_DI_MAJOR_MODE_SELECT", "name": "MAJOR_MODE"},
21720 {"bits": [4, 4], "name": "SPRITE_EN_R6XX"},
21721 {"bits": [5, 5], "name": "NOT_EOP"},
21722 {"bits": [6, 6], "name": "USE_OPAQUE"},
21723 {"bits": [7, 7], "name": "UNROLLED_INST"},
21724 {"bits": [8, 8], "name": "GRBM_SKEW_NO_DEC"},
21725 {"bits": [29, 31], "name": "REG_RT_INDEX"}
21726 ]
21727 },
21728 "VGT_DRAW_PAYLOAD_CNTL": {
21729 "fields": [
21730 {"bits": [0, 0], "name": "OBJPRIM_ID_EN"},
21731 {"bits": [1, 1], "name": "EN_REG_RT_INDEX"},
21732 {"bits": [2, 2], "name": "OBJECT_ID_INST_EN"},
21733 {"bits": [3, 3], "name": "EN_PRIM_PAYLOAD"},
21734 {"bits": [4, 4], "name": "EN_DRAW_VP"}
21735 ]
21736 },
21737 "VGT_ESGS_RING_ITEMSIZE": {
21738 "fields": [
21739 {"bits": [0, 14], "name": "ITEMSIZE"}
21740 ]
21741 },
21742 "VGT_ESGS_RING_SIZE": {
21743 "fields": [
21744 {"bits": [0, 31], "name": "MEM_SIZE"}
21745 ]
21746 },
21747 "VGT_ES_PER_GS": {
21748 "fields": [
21749 {"bits": [0, 10], "name": "ES_PER_GS"}
21750 ]
21751 },
21752 "VGT_EVENT_ADDRESS_REG": {
21753 "fields": [
21754 {"bits": [0, 27], "name": "ADDRESS_LOW"}
21755 ]
21756 },
21757 "VGT_EVENT_INITIATOR": {
21758 "fields": [
21759 {"bits": [0, 5], "enum_ref": "VGT_EVENT_TYPE", "name": "EVENT_TYPE"},
21760 {"bits": [10, 26], "name": "ADDRESS_HI"},
21761 {"bits": [27, 27], "name": "EXTENDED_EVENT"}
21762 ]
21763 },
21764 "VGT_GSVS_RING_OFFSET_1": {
21765 "fields": [
21766 {"bits": [0, 14], "name": "OFFSET"}
21767 ]
21768 },
21769 "VGT_GS_INSTANCE_CNT": {
21770 "fields": [
21771 {"bits": [0, 0], "name": "ENABLE"},
21772 {"bits": [2, 8], "name": "CNT"},
21773 {"bits": [31, 31], "name": "EN_MAX_VERT_OUT_PER_GS_INSTANCE"}
21774 ]
21775 },
21776 "VGT_GS_MAX_VERT_OUT": {
21777 "fields": [
21778 {"bits": [0, 10], "name": "MAX_VERT_OUT"}
21779 ]
21780 },
21781 "VGT_GS_MODE": {
21782 "fields": [
21783 {"bits": [0, 2], "enum_ref": "VGT_GS_MODE_TYPE", "name": "MODE"},
21784 {"bits": [3, 3], "name": "RESERVED_0"},
21785 {"bits": [4, 5], "enum_ref": "VGT_GS_CUT_MODE", "name": "CUT_MODE"},
21786 {"bits": [6, 10], "name": "RESERVED_1"},
21787 {"bits": [11, 11], "name": "GS_C_PACK_EN"},
21788 {"bits": [12, 12], "name": "RESERVED_2"},
21789 {"bits": [13, 13], "name": "ES_PASSTHRU"},
21790 {"bits": [14, 14], "name": "COMPUTE_MODE"},
21791 {"bits": [15, 15], "name": "FAST_COMPUTE_MODE"},
21792 {"bits": [16, 16], "name": "ELEMENT_INFO_EN"},
21793 {"bits": [17, 17], "name": "PARTIAL_THD_AT_EOI"},
21794 {"bits": [18, 18], "name": "SUPPRESS_CUTS"},
21795 {"bits": [19, 19], "name": "ES_WRITE_OPTIMIZE"},
21796 {"bits": [20, 20], "name": "GS_WRITE_OPTIMIZE"},
21797 {"bits": [21, 22], "name": "ONCHIP"}
21798 ]
21799 },
21800 "VGT_GS_ONCHIP_CNTL": {
21801 "fields": [
21802 {"bits": [0, 10], "name": "ES_VERTS_PER_SUBGRP"},
21803 {"bits": [11, 21], "name": "GS_PRIMS_PER_SUBGRP"},
21804 {"bits": [22, 31], "name": "GS_INST_PRIMS_IN_SUBGRP"}
21805 ]
21806 },
21807 "VGT_GS_OUT_PRIM_TYPE": {
21808 "fields": [
21809 {"bits": [0, 5], "enum_ref": "VGT_GS_OUT_PRIM_TYPE__OUTPRIM_TYPE", "name": "OUTPRIM_TYPE"},
21810 {"bits": [8, 13], "enum_ref": "VGT_GS_OUT_PRIM_TYPE__OUTPRIM_TYPE", "name": "OUTPRIM_TYPE_1"},
21811 {"bits": [16, 21], "enum_ref": "VGT_GS_OUT_PRIM_TYPE__OUTPRIM_TYPE", "name": "OUTPRIM_TYPE_2"},
21812 {"bits": [22, 27], "enum_ref": "VGT_GS_OUT_PRIM_TYPE__OUTPRIM_TYPE", "name": "OUTPRIM_TYPE_3"},
21813 {"bits": [31, 31], "name": "UNIQUE_TYPE_PER_STREAM"}
21814 ]
21815 },
21816 "VGT_GS_PER_ES": {
21817 "fields": [
21818 {"bits": [0, 10], "name": "GS_PER_ES"}
21819 ]
21820 },
21821 "VGT_GS_PER_VS": {
21822 "fields": [
21823 {"bits": [0, 3], "name": "GS_PER_VS"}
21824 ]
21825 },
21826 "VGT_HOS_MAX_TESS_LEVEL": {
21827 "fields": [
21828 {"bits": [0, 31], "name": "MAX_TESS"}
21829 ]
21830 },
21831 "VGT_HOS_MIN_TESS_LEVEL": {
21832 "fields": [
21833 {"bits": [0, 31], "name": "MIN_TESS"}
21834 ]
21835 },
21836 "VGT_HS_OFFCHIP_PARAM_UMD": {
21837 "fields": [
21838 {"bits": [0, 8], "name": "OFFCHIP_BUFFERING"},
21839 {"bits": [9, 10], "name": "OFFCHIP_GRANULARITY"}
21840 ]
21841 },
21842 "VGT_INSTANCE_BASE_ID": {
21843 "fields": [
21844 {"bits": [0, 31], "name": "INSTANCE_BASE_ID"}
21845 ]
21846 },
21847 "VGT_INSTANCE_STEP_RATE_0": {
21848 "fields": [
21849 {"bits": [0, 31], "name": "STEP_RATE"}
21850 ]
21851 },
21852 "VGT_LS_HS_CONFIG": {
21853 "fields": [
21854 {"bits": [0, 7], "name": "NUM_PATCHES"},
21855 {"bits": [8, 13], "name": "HS_NUM_INPUT_CP"},
21856 {"bits": [14, 19], "name": "HS_NUM_OUTPUT_CP"}
21857 ]
21858 },
21859 "VGT_MULTI_PRIM_IB_RESET_INDX": {
21860 "fields": [
21861 {"bits": [0, 31], "name": "RESET_INDX"}
21862 ]
21863 },
21864 "VGT_OUTPUT_PATH_CNTL": {
21865 "fields": [
21866 {"bits": [0, 2], "name": "PATH_SELECT"}
21867 ]
21868 },
21869 "VGT_OUT_DEALLOC_CNTL": {
21870 "fields": [
21871 {"bits": [0, 6], "name": "DEALLOC_DIST"}
21872 ]
21873 },
21874 "VGT_PRIMITIVEID_EN": {
21875 "fields": [
21876 {"bits": [0, 0], "name": "PRIMITIVEID_EN"},
21877 {"bits": [1, 1], "name": "DISABLE_RESET_ON_EOI"},
21878 {"bits": [2, 2], "name": "NGG_DISABLE_PROVOK_REUSE"}
21879 ]
21880 },
21881 "VGT_PRIMITIVEID_RESET": {
21882 "fields": [
21883 {"bits": [0, 31], "name": "VALUE"}
21884 ]
21885 },
21886 "VGT_PRIMITIVE_TYPE": {
21887 "fields": [
21888 {"bits": [0, 5], "enum_ref": "VGT_DI_PRIM_TYPE", "name": "PRIM_TYPE"}
21889 ]
21890 },
21891 "VGT_REUSE_OFF": {
21892 "fields": [
21893 {"bits": [0, 0], "name": "REUSE_OFF"}
21894 ]
21895 },
21896 "VGT_SHADER_STAGES_EN": {
21897 "fields": [
21898 {"bits": [0, 1], "enum_ref": "VGT_STAGES_LS_EN", "name": "LS_EN"},
21899 {"bits": [2, 2], "enum_ref": "VGT_STAGES_HS_EN", "name": "HS_EN"},
21900 {"bits": [3, 4], "enum_ref": "VGT_STAGES_ES_EN", "name": "ES_EN"},
21901 {"bits": [5, 5], "enum_ref": "VGT_STAGES_GS_EN", "name": "GS_EN"},
21902 {"bits": [6, 7], "enum_ref": "VGT_STAGES_VS_EN", "name": "VS_EN"},
21903 {"bits": [8, 8], "name": "DYNAMIC_HS"},
21904 {"bits": [9, 9], "name": "DISPATCH_DRAW_EN"},
21905 {"bits": [10, 10], "name": "DIS_DEALLOC_ACCUM_0"},
21906 {"bits": [11, 11], "name": "DIS_DEALLOC_ACCUM_1"},
21907 {"bits": [12, 12], "name": "VS_WAVE_ID_EN"},
21908 {"bits": [13, 13], "name": "PRIMGEN_EN"},
21909 {"bits": [14, 14], "name": "ORDERED_ID_MODE"},
21910 {"bits": [15, 18], "name": "MAX_PRIMGRP_IN_WAVE"},
21911 {"bits": [19, 20], "name": "GS_FAST_LAUNCH"},
21912 {"bits": [21, 21], "name": "HS_W32_EN"},
21913 {"bits": [22, 22], "name": "GS_W32_EN"},
21914 {"bits": [23, 23], "name": "VS_W32_EN"},
21915 {"bits": [24, 24], "name": "NGG_WAVE_ID_EN"},
21916 {"bits": [25, 25], "name": "PRIMGEN_PASSTHRU_EN"}
21917 ]
21918 },
21919 "VGT_STRMOUT_BUFFER_CONFIG": {
21920 "fields": [
21921 {"bits": [0, 3], "name": "STREAM_0_BUFFER_EN"},
21922 {"bits": [4, 7], "name": "STREAM_1_BUFFER_EN"},
21923 {"bits": [8, 11], "name": "STREAM_2_BUFFER_EN"},
21924 {"bits": [12, 15], "name": "STREAM_3_BUFFER_EN"}
21925 ]
21926 },
21927 "VGT_STRMOUT_CONFIG": {
21928 "fields": [
21929 {"bits": [0, 0], "name": "STREAMOUT_0_EN"},
21930 {"bits": [1, 1], "name": "STREAMOUT_1_EN"},
21931 {"bits": [2, 2], "name": "STREAMOUT_2_EN"},
21932 {"bits": [3, 3], "name": "STREAMOUT_3_EN"},
21933 {"bits": [4, 6], "name": "RAST_STREAM"},
21934 {"bits": [7, 7], "name": "EN_PRIMS_NEEDED_CNT"},
21935 {"bits": [8, 11], "name": "RAST_STREAM_MASK"},
21936 {"bits": [31, 31], "name": "USE_RAST_STREAM_MASK"}
21937 ]
21938 },
21939 "VGT_STRMOUT_DRAW_OPAQUE_VERTEX_STRIDE": {
21940 "fields": [
21941 {"bits": [0, 8], "name": "VERTEX_STRIDE"}
21942 ]
21943 },
21944 "VGT_STRMOUT_VTX_STRIDE_0": {
21945 "fields": [
21946 {"bits": [0, 9], "name": "STRIDE"}
21947 ]
21948 },
21949 "VGT_TESS_DISTRIBUTION": {
21950 "fields": [
21951 {"bits": [0, 7], "name": "ACCUM_ISOLINE"},
21952 {"bits": [8, 15], "name": "ACCUM_TRI"},
21953 {"bits": [16, 23], "name": "ACCUM_QUAD"},
21954 {"bits": [24, 28], "name": "DONUT_SPLIT"},
21955 {"bits": [29, 31], "name": "TRAP_SPLIT"}
21956 ]
21957 },
21958 "VGT_TF_MEMORY_BASE": {
21959 "fields": [
21960 {"bits": [0, 31], "name": "BASE"}
21961 ]
21962 },
21963 "VGT_TF_PARAM": {
21964 "fields": [
21965 {"bits": [0, 1], "enum_ref": "VGT_TESS_TYPE", "name": "TYPE"},
21966 {"bits": [2, 4], "enum_ref": "VGT_TESS_PARTITION", "name": "PARTITIONING"},
21967 {"bits": [5, 7], "enum_ref": "VGT_TESS_TOPOLOGY", "name": "TOPOLOGY"},
21968 {"bits": [8, 8], "name": "RESERVED_REDUC_AXIS"},
21969 {"bits": [9, 9], "name": "DEPRECATED"},
21970 {"bits": [10, 13], "name": "NUM_DS_WAVES_PER_SIMD"},
21971 {"bits": [14, 14], "name": "DISABLE_DONUTS"},
21972 {"bits": [15, 16], "enum_ref": "VGT_RDREQ_POLICY", "name": "RDREQ_POLICY"},
21973 {"bits": [17, 18], "enum_ref": "VGT_DIST_MODE", "name": "DISTRIBUTION_MODE"},
21974 {"bits": [19, 19], "enum_ref": "VGT_DETECT_ONE", "name": "DETECT_ONE"},
21975 {"bits": [20, 20], "enum_ref": "VGT_DETECT_ZERO", "name": "DETECT_ZERO"},
21976 {"bits": [23, 25], "name": "MTYPE"}
21977 ]
21978 },
21979 "VGT_TF_RING_SIZE": {
21980 "fields": [
21981 {"bits": [0, 15], "name": "SIZE"}
21982 ]
21983 },
21984 "VGT_VERTEX_REUSE_BLOCK_CNTL": {
21985 "fields": [
21986 {"bits": [0, 7], "name": "VTX_REUSE_DEPTH"}
21987 ]
21988 },
21989 "VGT_VTX_CNT_EN": {
21990 "fields": [
21991 {"bits": [0, 0], "name": "VTX_CNT_EN"}
21992 ]
21993 }
21994 }
21995 }