freedreno/a6xx: Add multiview registers
[mesa.git] / src / freedreno / .gitlab-ci / reference / crash.log
1 ---
2 kernel: 5.8.0-rc1-c630+
3 module: msm
4 time: 1593887022.767858793
5 comm: crashit
6 cmdline: ./crashit IB1 4 5
7 revision: 630 (6.3.0.2)
8 Got gpu_id=630
9 rbbm-status: 0x00000000
10 ringbuffer:
11 - id: 0
12 iova: 0x0001000000001000
13 last-fence: 1
14 retired-fence: 0
15 rptr: 40
16 wptr: 56
17 size: 32768
18 bos:
19 - iova: 0x0000000100000000
20 size: 4096
21 registers:
22 00800005 RBBM_STATUS: { GPU_BUSY_IGN_AHB | CP_BUSY | CP_AHB_BUSY_CX_MASTER }
23 00000000 0x211: 00000000
24 00000000 0x212: 00000000
25 00000000 RBBM_STATUS3: { 0 }
26 8100430e 0x825: 8100430e
27 200a0000 VBIF_VERSION: 0x200a0000
28 00000000 VBIF_CLKON: { 0 }
29 00000000 0x3002: 00000000
30 00000000 0x3003: 00000000
31 00000000 0x3004: 00000000
32 00000000 0x3005: 00000000
33 00000000 0x3006: 00000000
34 00000000 0x3007: 00000000
35 00000000 0x300c: 00000000
36 00000000 0x300d: 00000000
37 00000000 0x300e: 00000000
38 00000000 0x300f: 00000000
39 00000000 0x3010: 00000000
40 00000000 0x3011: 00000000
41 00000000 0x3012: 00000000
42 00000000 0x3013: 00000000
43 00000000 0x3014: 00000000
44 00000000 0x3018: 00000000
45 00000000 0x3019: 00000000
46 00000000 0x301a: 00000000
47 00000000 0x301b: 00000000
48 0001001f 0x301c: 0001001f
49 000000a4 0x301d: 000000a4
50 00000000 0x301e: 00000000
51 00000000 0x301f: 00000000
52 00000000 0x3020: 00000000
53 00000000 0x3021: 00000000
54 00000000 0x3022: 00000000
55 00000000 0x3023: 00000000
56 00000000 0x3024: 00000000
57 00000000 0x3025: 00000000
58 00000000 0x3026: 00000000
59 00000000 0x3027: 00000000
60 00000000 0x3028: 00000000
61 00000000 0x3029: 00000000
62 00000009 VBIF_GATE_OFF_WRREQ_EN: 0x9
63 00000000 0x302b: 00000000
64 103f3f20 0x302c: 103f3f20
65 00000004 0x302d: 00000004
66 10202020 0x3030: 10202020
67 00000004 0x3031: 00000004
68 00003f3f 0x3034: 00003f3f
69 00002020 0x3035: 00002020
70 00000707 0x3036: 00000707
71 00000030 0x303c: 00000030
72 00000000 0x303d: 00000000
73 00043210 0x3040: 00043210
74 00000000 0x3042: 00000000
75 00000003 0x3049: 00000003
76 00022222 0x3058: 00022222
77 00000000 0x305a: 00000000
78 00000000 0x305b: 00000000
79 0000001f 0x305c: 0000001f
80 00000000 0x305d: 00000000
81 0000001f 0x305e: 0000001f
82 001f001f 0x305f: 001f001f
83 00000000 0x3060: 00000000
84 00000000 0x3061: 00000000
85 00000000 0x3064: 00000000
86 00000000 0x3065: 00000000
87 00000000 0x3066: 00000000
88 00000000 0x3067: 00000000
89 00000000 0x3068: 00000000
90 00000000 0x306c: 00000000
91 00000000 0x306d: 00000000
92 00000000 VBIF_XIN_HALT_CTRL0: 0
93 001f0000 VBIF_XIN_HALT_CTRL1: 0x1f0000
94 00000000 0x3082: 00000000
95 00000030 0x3083: 00000030
96 00000000 VBIF_TEST_BUS_OUT_CTRL: 0
97 00000000 VBIF_TEST_BUS1_CTRL0: 0
98 00000000 VBIF_TEST_BUS1_CTRL1: { DATA_SEL = 0 }
99 00000000 VBIF_TEST_BUS2_CTRL0: 0
100 00000000 VBIF_TEST_BUS2_CTRL1: { DATA_SEL = 0 }
101 00000000 0x308b: 00000000
102 00000000 VBIF_TEST_BUS_OUT: 0
103 00000000 0x3090: 00000000
104 00000000 0x3091: 00000000
105 00000000 0x3092: 00000000
106 00000000 0x3093: 00000000
107 00000000 0x3094: 00000000
108 00000000 0x3098: 00000000
109 00000000 0x309c: 00000000
110 00000000 0x30c0: 00000000
111 00000000 0x30c8: 00000000
112 00000000 VBIF_PERF_CNT_SEL0: 0
113 00000000 VBIF_PERF_CNT_LOW0: 0
114 00000000 VBIF_PERF_CNT_HIGH0: 0
115 00000000 VBIF_PERF_PWR_CNT_EN0: 0
116 00000000 0x3108: 00000000
117 00000000 VBIF_PERF_PWR_CNT_LOW0: 0
118 00000000 VBIF_PERF_PWR_CNT_HIGH0: 0
119 00000000 0x3120: 00000000
120 00000000 0x3124: 00000000
121 00000000 0x3125: 00000000
122 00000000 0x3129: 00000000
123 00000000 0x3131: 00000000
124 00000000 0x3154: 00000000
125 00011111 0x3156: 00011111
126 00022222 0x3158: 00022222
127 00033333 0x315a: 00033333
128 00000000 0x315c: 00000000
129 00011111 0x315e: 00011111
130 00022222 0x3160: 00022222
131 00033333 0x3162: 00033333
132 00000000 0x340c: 00000000
133 00000000 0x3410: 00000000
134 00000000 0x3800: 00000000
135 00000001 0x3801: 00000001
136 00010003 0: 00010003
137 d6f00006 0x1: d6f00006
138 02402892 0x2: 02402892
139 00000003 RBBM_VBIF_CLIENT_QOS_CNTL: 0x3
140 00000000 0x12: 00000000
141 00000000 0x18: 00000000
142 00000000 0x19: 00000000
143 00000030 0x1a: 00000030
144 00000030 0x1b: 00000030
145 00000000 0x1e: 00000000
146 401fffff RBBM_INTERFACE_HANG_INT_CNTL: 0x401fffff
147 00000000 0x20: 00000000
148 00000000 0x21: 00000000
149 00000000 0x22: 00000000
150 00000000 0x23: 00000000
151 00000000 0x24: 00000000
152 00000000 0x25: 00000000
153 00000000 0x26: 00000000
154 00000000 0x27: 00000000
155 00000000 0x28: 00000000
156 00000000 0x29: 00000000
157 00000000 0x2a: 00000000
158 00000000 0x2b: 00000000
159 00000000 0x2c: 00000000
160 00000000 0x2d: 00000000
161 00000000 0x2e: 00000000
162 00000000 0x2f: 00000000
163 00000000 0x30: 00000000
164 00000000 0x31: 00000000
165 00000000 0x32: 00000000
166 03d0e242 RBBM_INT_0_MASK: 0x3d0e242
167 00000000 0x39: 00000000
168 00000010 0x3a: 00000010
169 00000000 0x3b: 00000000
170 00000000 0x3c: 00000000
171 00000000 RBBM_SP_HYST_CNT: 0
172 00000000 RBBM_RAC_THRESHOLD_CNT: 0
173 00000000 0x47: 00000000
174 00000000 0x56: 00000000
175 00000000 0xad: 00000000
176 8aa8aa02 RBBM_CLOCK_CNTL: 0x8aa8aa02
177 22222222 RBBM_CLOCK_CNTL_SP0: 0x22222222
178 22222222 RBBM_CLOCK_CNTL_SP1: 0x22222222
179 22222222 RBBM_CLOCK_CNTL_SP2: 0x22222222
180 22222222 RBBM_CLOCK_CNTL_SP3: 0x22222222
181 02022220 RBBM_CLOCK_CNTL2_SP0: 0x2022220
182 02022220 RBBM_CLOCK_CNTL2_SP1: 0x2022220
183 02022220 RBBM_CLOCK_CNTL2_SP2: 0x2022220
184 02022220 RBBM_CLOCK_CNTL2_SP3: 0x2022220
185 00000080 RBBM_CLOCK_DELAY_SP0: 0x80
186 00000080 RBBM_CLOCK_DELAY_SP1: 0x80
187 00000080 RBBM_CLOCK_DELAY_SP2: 0x80
188 00000080 RBBM_CLOCK_DELAY_SP3: 0x80
189 0000f3cf RBBM_CLOCK_HYST_SP0: 0xf3cf
190 0000f3cf RBBM_CLOCK_HYST_SP1: 0xf3cf
191 0000f3cf RBBM_CLOCK_HYST_SP2: 0xf3cf
192 0000f3cf RBBM_CLOCK_HYST_SP3: 0xf3cf
193 02222222 RBBM_CLOCK_CNTL_TP0: 0x2222222
194 02222222 RBBM_CLOCK_CNTL_TP1: 0x2222222
195 02222222 RBBM_CLOCK_CNTL_TP2: 0x2222222
196 02222222 RBBM_CLOCK_CNTL_TP3: 0x2222222
197 22222222 RBBM_CLOCK_CNTL2_TP0: 0x22222222
198 22222222 RBBM_CLOCK_CNTL2_TP1: 0x22222222
199 22222222 RBBM_CLOCK_CNTL2_TP2: 0x22222222
200 22222222 RBBM_CLOCK_CNTL2_TP3: 0x22222222
201 22222222 RBBM_CLOCK_CNTL3_TP0: 0x22222222
202 22222222 RBBM_CLOCK_CNTL3_TP1: 0x22222222
203 22222222 RBBM_CLOCK_CNTL3_TP2: 0x22222222
204 22222222 RBBM_CLOCK_CNTL3_TP3: 0x22222222
205 00022222 RBBM_CLOCK_CNTL4_TP0: 0x22222
206 00022222 RBBM_CLOCK_CNTL4_TP1: 0x22222
207 00022222 RBBM_CLOCK_CNTL4_TP2: 0x22222
208 00022222 RBBM_CLOCK_CNTL4_TP3: 0x22222
209 11111111 RBBM_CLOCK_DELAY_TP0: 0x11111111
210 11111111 RBBM_CLOCK_DELAY_TP1: 0x11111111
211 11111111 RBBM_CLOCK_DELAY_TP2: 0x11111111
212 11111111 RBBM_CLOCK_DELAY_TP3: 0x11111111
213 11111111 RBBM_CLOCK_DELAY2_TP0: 0x11111111
214 11111111 RBBM_CLOCK_DELAY2_TP1: 0x11111111
215 11111111 RBBM_CLOCK_DELAY2_TP2: 0x11111111
216 11111111 RBBM_CLOCK_DELAY2_TP3: 0x11111111
217 11111111 RBBM_CLOCK_DELAY3_TP0: 0x11111111
218 11111111 RBBM_CLOCK_DELAY3_TP1: 0x11111111
219 11111111 RBBM_CLOCK_DELAY3_TP2: 0x11111111
220 11111111 RBBM_CLOCK_DELAY3_TP3: 0x11111111
221 00011111 RBBM_CLOCK_DELAY4_TP0: 0x11111
222 00011111 RBBM_CLOCK_DELAY4_TP1: 0x11111
223 00011111 RBBM_CLOCK_DELAY4_TP2: 0x11111
224 00011111 RBBM_CLOCK_DELAY4_TP3: 0x11111
225 77777777 RBBM_CLOCK_HYST_TP0: 0x77777777
226 77777777 RBBM_CLOCK_HYST_TP1: 0x77777777
227 77777777 RBBM_CLOCK_HYST_TP2: 0x77777777
228 77777777 RBBM_CLOCK_HYST_TP3: 0x77777777
229 77777777 RBBM_CLOCK_HYST2_TP0: 0x77777777
230 77777777 RBBM_CLOCK_HYST2_TP1: 0x77777777
231 77777777 RBBM_CLOCK_HYST2_TP2: 0x77777777
232 77777777 RBBM_CLOCK_HYST2_TP3: 0x77777777
233 77777777 RBBM_CLOCK_HYST3_TP0: 0x77777777
234 77777777 RBBM_CLOCK_HYST3_TP1: 0x77777777
235 77777777 RBBM_CLOCK_HYST3_TP2: 0x77777777
236 77777777 RBBM_CLOCK_HYST3_TP3: 0x77777777
237 00077777 RBBM_CLOCK_HYST4_TP0: 0x77777
238 00077777 RBBM_CLOCK_HYST4_TP1: 0x77777
239 00077777 RBBM_CLOCK_HYST4_TP2: 0x77777
240 00077777 RBBM_CLOCK_HYST4_TP3: 0x77777
241 22222222 RBBM_CLOCK_CNTL_RB0: 0x22222222
242 22222222 RBBM_CLOCK_CNTL_RB1: 0x22222222
243 22222222 RBBM_CLOCK_CNTL_RB2: 0x22222222
244 22222222 RBBM_CLOCK_CNTL_RB3: 0x22222222
245 00002222 RBBM_CLOCK_CNTL2_RB0: 0x2222
246 00002222 RBBM_CLOCK_CNTL2_RB1: 0x2222
247 00002222 RBBM_CLOCK_CNTL2_RB2: 0x2222
248 00002222 RBBM_CLOCK_CNTL2_RB3: 0x2222
249 00002220 RBBM_CLOCK_CNTL_CCU0: 0x2220
250 00002220 RBBM_CLOCK_CNTL_CCU1: 0x2220
251 00002220 RBBM_CLOCK_CNTL_CCU2: 0x2220
252 00002220 RBBM_CLOCK_CNTL_CCU3: 0x2220
253 00000f00 RBBM_CLOCK_HYST_RB_CCU0: 0xf00
254 00000f00 RBBM_CLOCK_HYST_RB_CCU1: 0xf00
255 00000f00 RBBM_CLOCK_HYST_RB_CCU2: 0xf00
256 00000f00 RBBM_CLOCK_HYST_RB_CCU3: 0xf00
257 05022022 RBBM_CLOCK_CNTL_RAC: 0x5022022
258 00005555 RBBM_CLOCK_CNTL2_RAC: 0x5555
259 00000011 RBBM_CLOCK_DELAY_RAC: 0x11
260 00445044 RBBM_CLOCK_HYST_RAC: 0x445044
261 04222222 RBBM_CLOCK_CNTL_TSE_RAS_RBBM: 0x4222222
262 00004000 RBBM_CLOCK_DELAY_TSE_RAS_RBBM: 0x4000
263 00000000 RBBM_CLOCK_HYST_TSE_RAS_RBBM: 0
264 22222222 RBBM_CLOCK_CNTL_UCHE: 0x22222222
265 22222222 RBBM_CLOCK_CNTL2_UCHE: 0x22222222
266 22222222 RBBM_CLOCK_CNTL3_UCHE: 0x22222222
267 00222222 RBBM_CLOCK_CNTL4_UCHE: 0x222222
268 00000002 RBBM_CLOCK_DELAY_UCHE: 0x2
269 00000004 RBBM_CLOCK_HYST_UCHE: 0x4
270 00002222 RBBM_CLOCK_MODE_VFD: 0x2222
271 00002222 RBBM_CLOCK_DELAY_VFD: 0x2222
272 00000000 RBBM_CLOCK_HYST_VFD: 0
273 00222222 RBBM_CLOCK_MODE_GPC: 0x222222
274 00000200 RBBM_CLOCK_DELAY_GPC: 0x200
275 04104004 RBBM_CLOCK_HYST_GPC: 0x4104004
276 00000002 RBBM_CLOCK_DELAY_HLSQ_2: 0x2
277 00000222 RBBM_CLOCK_CNTL_GMU_GX: 0x222
278 00000111 RBBM_CLOCK_DELAY_GMU_GX: 0x111
279 00000555 RBBM_CLOCK_HYST_GMU_GX: 0x555
280 00002222 RBBM_CLOCK_MODE_HLSQ: 0x2222
281 00000000 RBBM_CLOCK_DELAY_HLSQ: 0
282 00000000 RBBM_CLOCK_HYST_HLSQ: 0
283 00000001 0x200: 00000001
284 00000000 RBBM_INT_0_STATUS: { 0 }
285 00000000 0x202: 00000000
286 00000000 0x203: 00000000
287 00000000 0x204: 00000000
288 00000000 0x205: 00000000
289 ff330005 0x206: ff330005
290 00080082 0x207: 00080082
291 00080082 0x208: 00080082
292 00080082 0x209: 00080082
293 00080082 0x20a: 00080082
294 00000000 0x20b: 00000000
295 40000000 0x20c: 40000000
296 00000000 0x20d: 00000000
297 00000000 0x218: 00000000
298 00ce7c18 0x219: 00ce7c18
299 00000000 0x21a: 00000000
300 1fff401f 0x21b: 1fff401f
301 00000000 0x21c: 00000000
302 73ff40f0 0x21d: 73ff40f0
303 00000000 0x21e: 00000000
304 ffffffe3 0x21f: ffffffe3
305 00000000 0x220: 00000000
306 73ff407f 0x221: 73ff407f
307 00000000 0x222: 00000000
308 ffffffe3 0x223: ffffffe3
309 00000000 0x224: 00000000
310 ecdb807f 0x225: ecdb807f
311 00000000 0x226: 00000000
312 bb36f3f6 0x227: bb36f3f6
313 00000000 0x228: 00000000
314 00001cfd 0x229: 00001cfd
315 00000000 0x22a: 00000000
316 00000000 0x22b: 00000000
317 00000000 0x22c: 00000000
318 00000000 0x22d: 00000000
319 00000000 0x22e: 00000000
320 00000000 0x22f: 00000000
321 00000000 0x230: 00000000
322 00000000 0x231: 00000000
323 00000000 0x232: 00000000
324 00000000 0x233: 00000000
325 00000000 0x234: 00000000
326 00000000 0x235: 00000000
327 00000000 0x236: 00000000
328 00000000 0x237: 00000000
329 00000000 0x238: 00000000
330 00000000 0x239: 00000000
331 00000000 0x23a: 00000000
332 00000000 0x23b: 00000000
333 00000000 0x23c: 00000000
334 00000000 0x23d: 00000000
335 1a357e31 RBBM_PERFCTR_CP_0_LO: 0x1a357e31
336 80405044 RBBM_PERFCTR_CP_0_HI: 0x80405044
337 0731fe39 RBBM_PERFCTR_CP_1_LO: 0x731fe39
338 00011001 RBBM_PERFCTR_CP_1_HI: 0x11001
339 07337e41 RBBM_PERFCTR_CP_2_LO: 0x7337e41
340 85001004 RBBM_PERFCTR_CP_2_HI: 0x85001004
341 0b317e49 RBBM_PERFCTR_CP_3_LO: 0xb317e49
342 40000000 RBBM_PERFCTR_CP_3_HI: 0x40000000
343 07317e95 RBBM_PERFCTR_CP_4_LO: 0x7317e95
344 00800008 RBBM_PERFCTR_CP_4_HI: 0x800008
345 07317e59 RBBM_PERFCTR_CP_5_LO: 0x7317e59
346 04000000 RBBM_PERFCTR_CP_5_HI: 0x4000000
347 8771808b RBBM_PERFCTR_CP_6_LO: 0x8771808b
348 00009000 RBBM_PERFCTR_CP_6_HI: 0x9000
349 0b31826b RBBM_PERFCTR_CP_7_LO: 0xb31826b
350 00000000 RBBM_PERFCTR_CP_7_HI: 0
351 07b28675 RBBM_PERFCTR_CP_8_LO: 0x7b28675
352 00020080 RBBM_PERFCTR_CP_8_HI: 0x20080
353 0731be99 RBBM_PERFCTR_CP_9_LO: 0x731be99
354 00000000 RBBM_PERFCTR_CP_9_HI: 0
355 17317e83 RBBM_PERFCTR_CP_10_LO: 0x17317e83
356 008c0004 RBBM_PERFCTR_CP_10_HI: 0x8c0004
357 0bd182c9 RBBM_PERFCTR_CP_11_LO: 0xbd182c9
358 00800000 RBBM_PERFCTR_CP_11_HI: 0x800000
359 07318491 RBBM_PERFCTR_CP_12_LO: 0x7318491
360 00000000 RBBM_PERFCTR_CP_12_HI: 0
361 17317ed9 RBBM_PERFCTR_CP_13_LO: 0x17317ed9
362 00000020 RBBM_PERFCTR_CP_13_HI: 0x20
363 073180a3 RBBM_PERFCTR_RBBM_0_LO: 0x73180a3
364 00010044 RBBM_PERFCTR_RBBM_0_HI: 0x10044
365 07317eab RBBM_PERFCTR_RBBM_1_LO: 0x7317eab
366 01008000 RBBM_PERFCTR_RBBM_1_HI: 0x1008000
367 4731a6b3 RBBM_PERFCTR_RBBM_2_LO: 0x4731a6b3
368 00000000 RBBM_PERFCTR_RBBM_2_HI: 0
369 0731febb RBBM_PERFCTR_RBBM_3_LO: 0x731febb
370 00000000 RBBM_PERFCTR_RBBM_3_HI: 0
371 010011b6 RBBM_PERFCTR_PC_0_LO: 0x10011b6
372 00000080 RBBM_PERFCTR_PC_0_HI: 0x80
373 00000f96 RBBM_PERFCTR_PC_1_LO: 0xf96
374 50000000 RBBM_PERFCTR_PC_1_HI: 0x50000000
375 40041f96 RBBM_PERFCTR_PC_2_LO: 0x40041f96
376 20040800 RBBM_PERFCTR_PC_2_HI: 0x20040800
377 00000f96 RBBM_PERFCTR_PC_3_LO: 0xf96
378 01008004 RBBM_PERFCTR_PC_3_HI: 0x1008004
379 00021016 RBBM_PERFCTR_PC_4_LO: 0x21016
380 00000008 RBBM_PERFCTR_PC_4_HI: 0x8
381 00211096 RBBM_PERFCTR_PC_5_LO: 0x211096
382 04010000 RBBM_PERFCTR_PC_5_HI: 0x4010000
383 00300fb6 RBBM_PERFCTR_PC_6_LO: 0x300fb6
384 10001006 RBBM_PERFCTR_PC_6_HI: 0x10001006
385 00000f9a RBBM_PERFCTR_PC_7_LO: 0xf9a
386 00200000 RBBM_PERFCTR_PC_7_HI: 0x200000
387 04020b81 RBBM_PERFCTR_VFD_0_LO: 0x4020b81
388 28046008 RBBM_PERFCTR_VFD_0_HI: 0x28046008
389 0020037d RBBM_PERFCTR_VFD_1_LO: 0x20037d
390 00052000 RBBM_PERFCTR_VFD_1_HI: 0x52000
391 000003bd RBBM_PERFCTR_VFD_2_LO: 0x3bd
392 00040000 RBBM_PERFCTR_VFD_2_HI: 0x40000
393 0800037d RBBM_PERFCTR_VFD_3_LO: 0x800037d
394 00000000 RBBM_PERFCTR_VFD_3_HI: 0
395 0050469f RBBM_PERFCTR_VFD_4_LO: 0x50469f
396 10000020 RBBM_PERFCTR_VFD_4_HI: 0x10000020
397 0000037d RBBM_PERFCTR_VFD_5_LO: 0x37d
398 00000000 RBBM_PERFCTR_VFD_5_HI: 0
399 0000039f RBBM_PERFCTR_VFD_6_LO: 0x39f
400 00000000 RBBM_PERFCTR_VFD_6_HI: 0
401 0000037e RBBM_PERFCTR_VFD_7_LO: 0x37e
402 04804000 RBBM_PERFCTR_VFD_7_HI: 0x4804000
403 000011f4 RBBM_PERFCTR_HLSQ_0_LO: 0x11f4
404 40000140 RBBM_PERFCTR_HLSQ_0_HI: 0x40000140
405 000411f4 RBBM_PERFCTR_HLSQ_1_LO: 0x411f4
406 04020040 RBBM_PERFCTR_HLSQ_1_HI: 0x4020040
407 200092f4 RBBM_PERFCTR_HLSQ_2_LO: 0x200092f4
408 40800001 RBBM_PERFCTR_HLSQ_2_HI: 0x40800001
409 000019f4 RBBM_PERFCTR_HLSQ_3_LO: 0x19f4
410 00000000 RBBM_PERFCTR_HLSQ_3_HI: 0
411 00285216 RBBM_PERFCTR_HLSQ_4_LO: 0x285216
412 04009420 RBBM_PERFCTR_HLSQ_4_HI: 0x4009420
413 040031f4 RBBM_PERFCTR_HLSQ_5_LO: 0x40031f4
414 00000000 RBBM_PERFCTR_HLSQ_5_HI: 0
415 02402cb6 RBBM_PERFCTR_VPC_0_LO: 0x2402cb6
416 05000080 RBBM_PERFCTR_VPC_0_HI: 0x5000080
417 000005d6 RBBM_PERFCTR_VPC_1_LO: 0x5d6
418 00820000 RBBM_PERFCTR_VPC_1_HI: 0x820000
419 000004fa RBBM_PERFCTR_VPC_2_LO: 0x4fa
420 00000000 RBBM_PERFCTR_VPC_2_HI: 0
421 00000cb6 RBBM_PERFCTR_VPC_3_LO: 0xcb6
422 40006000 RBBM_PERFCTR_VPC_3_HI: 0x40006000
423 0c1004b6 RBBM_PERFCTR_VPC_4_LO: 0xc1004b6
424 00000800 RBBM_PERFCTR_VPC_4_HI: 0x800
425 040204ba RBBM_PERFCTR_VPC_5_LO: 0x40204ba
426 00000004 RBBM_PERFCTR_VPC_5_HI: 0x4
427 00000f36 RBBM_PERFCTR_CCU_0_LO: 0xf36
428 00000104 RBBM_PERFCTR_CCU_0_HI: 0x104
429 09000aa6 RBBM_PERFCTR_CCU_1_LO: 0x9000aa6
430 00000060 RBBM_PERFCTR_CCU_1_HI: 0x60
431 00010aca RBBM_PERFCTR_CCU_2_LO: 0x10aca
432 01000400 RBBM_PERFCTR_CCU_2_HI: 0x1000400
433 00000ac8 RBBM_PERFCTR_CCU_3_LO: 0xac8
434 00004000 RBBM_PERFCTR_CCU_3_HI: 0x4000
435 80000aa6 RBBM_PERFCTR_CCU_4_LO: 0x80000aa6
436 04850000 RBBM_PERFCTR_CCU_4_HI: 0x4850000
437 00480168 RBBM_PERFCTR_TSE_0_LO: 0x480168
438 00000820 RBBM_PERFCTR_TSE_0_HI: 0x820
439 2021016c RBBM_PERFCTR_TSE_1_LO: 0x2021016c
440 00880000 RBBM_PERFCTR_TSE_1_HI: 0x880000
441 00000968 RBBM_PERFCTR_TSE_2_LO: 0x968
442 00002000 RBBM_PERFCTR_TSE_2_HI: 0x2000
443 80102568 RBBM_PERFCTR_TSE_3_LO: 0x80102568
444 08004200 RBBM_PERFCTR_TSE_3_HI: 0x8004200
445 000000fb RBBM_PERFCTR_RAS_0_LO: 0xfb
446 00004000 RBBM_PERFCTR_RAS_0_HI: 0x4000
447 020002ec RBBM_PERFCTR_RAS_1_LO: 0x20002ec
448 10010004 RBBM_PERFCTR_RAS_1_HI: 0x10010004
449 001010eb RBBM_PERFCTR_RAS_2_LO: 0x1010eb
450 20008010 RBBM_PERFCTR_RAS_2_HI: 0x20008010
451 4000016b RBBM_PERFCTR_RAS_3_LO: 0x4000016b
452 01801000 RBBM_PERFCTR_RAS_3_HI: 0x1801000
453 040003fb RBBM_PERFCTR_UCHE_0_LO: 0x40003fb
454 00000400 RBBM_PERFCTR_UCHE_0_HI: 0x400
455 00000a0c RBBM_PERFCTR_UCHE_1_LO: 0xa0c
456 00007010 RBBM_PERFCTR_UCHE_1_HI: 0x7010
457 000021ff RBBM_PERFCTR_UCHE_2_LO: 0x21ff
458 00000000 RBBM_PERFCTR_UCHE_2_HI: 0
459 0400021b RBBM_PERFCTR_UCHE_3_LO: 0x400021b
460 00000020 RBBM_PERFCTR_UCHE_3_HI: 0x20
461 00108dfb RBBM_PERFCTR_UCHE_4_LO: 0x108dfb
462 20040000 RBBM_PERFCTR_UCHE_4_HI: 0x20040000
463 105082fb RBBM_PERFCTR_UCHE_5_LO: 0x105082fb
464 04010110 RBBM_PERFCTR_UCHE_5_HI: 0x4010110
465 000202fb RBBM_PERFCTR_UCHE_6_LO: 0x202fb
466 00000810 RBBM_PERFCTR_UCHE_6_HI: 0x810
467 00c007fb RBBM_PERFCTR_UCHE_7_LO: 0xc007fb
468 01000800 RBBM_PERFCTR_UCHE_7_HI: 0x1000800
469 480001fb RBBM_PERFCTR_UCHE_8_LO: 0x480001fb
470 04000000 RBBM_PERFCTR_UCHE_8_HI: 0x4000000
471 000012fb RBBM_PERFCTR_UCHE_9_LO: 0x12fb
472 00820428 RBBM_PERFCTR_UCHE_9_HI: 0x820428
473 0010021b RBBM_PERFCTR_UCHE_10_LO: 0x10021b
474 08000000 RBBM_PERFCTR_UCHE_10_HI: 0x8000000
475 100001fb RBBM_PERFCTR_UCHE_11_LO: 0x100001fb
476 08001044 RBBM_PERFCTR_UCHE_11_HI: 0x8001044
477 480803c0 RBBM_PERFCTR_TP_0_LO: 0x480803c0
478 404b0000 RBBM_PERFCTR_TP_0_HI: 0x404b0000
479 00002bd0 RBBM_PERFCTR_TP_1_LO: 0x2bd0
480 30000130 RBBM_PERFCTR_TP_1_HI: 0x30000130
481 000003c0 RBBM_PERFCTR_TP_2_LO: 0x3c0
482 00000080 RBBM_PERFCTR_TP_2_HI: 0x80
483 30200400 RBBM_PERFCTR_TP_3_LO: 0x30200400
484 80002080 RBBM_PERFCTR_TP_3_HI: 0x80002080
485 000003c0 RBBM_PERFCTR_TP_4_LO: 0x3c0
486 00a00000 RBBM_PERFCTR_TP_4_HI: 0xa00000
487 048003c0 RBBM_PERFCTR_TP_5_LO: 0x48003c0
488 42000900 RBBM_PERFCTR_TP_5_HI: 0x42000900
489 200003c0 RBBM_PERFCTR_TP_6_LO: 0x200003c0
490 00000000 RBBM_PERFCTR_TP_6_HI: 0
491 030003e1 RBBM_PERFCTR_TP_7_LO: 0x30003e1
492 c01c0000 RBBM_PERFCTR_TP_7_HI: 0xc01c0000
493 020005c4 RBBM_PERFCTR_TP_8_LO: 0x20005c4
494 00000000 RBBM_PERFCTR_TP_8_HI: 0
495 0a0005c0 RBBM_PERFCTR_TP_9_LO: 0xa0005c0
496 10008188 RBBM_PERFCTR_TP_9_HI: 0x10008188
497 002813c4 RBBM_PERFCTR_TP_10_LO: 0x2813c4
498 00000200 RBBM_PERFCTR_TP_10_HI: 0x200
499 000007c0 RBBM_PERFCTR_TP_11_LO: 0x7c0
500 00000802 RBBM_PERFCTR_TP_11_HI: 0x802
501 028415c0 RBBM_PERFCTR_SP_0_LO: 0x28415c0
502 00121000 RBBM_PERFCTR_SP_0_HI: 0x121000
503 000411bc RBBM_PERFCTR_SP_1_LO: 0x411bc
504 00010020 RBBM_PERFCTR_SP_1_HI: 0x10020
505 400631b8 RBBM_PERFCTR_SP_2_LO: 0x400631b8
506 00000800 RBBM_PERFCTR_SP_2_HI: 0x800
507 000811c8 RBBM_PERFCTR_SP_3_LO: 0x811c8
508 00010040 RBBM_PERFCTR_SP_3_HI: 0x10040
509 000011f8 RBBM_PERFCTR_SP_4_LO: 0x11f8
510 18000000 RBBM_PERFCTR_SP_4_HI: 0x18000000
511 002051c0 RBBM_PERFCTR_SP_5_LO: 0x2051c0
512 00800106 RBBM_PERFCTR_SP_5_HI: 0x800106
513 004011b8 RBBM_PERFCTR_SP_6_LO: 0x4011b8
514 40400000 RBBM_PERFCTR_SP_6_HI: 0x40400000
515 200031b8 RBBM_PERFCTR_SP_7_LO: 0x200031b8
516 41040100 RBBM_PERFCTR_SP_7_HI: 0x41040100
517 001011bc RBBM_PERFCTR_SP_8_LO: 0x1011bc
518 00000000 RBBM_PERFCTR_SP_8_HI: 0
519 204011bc RBBM_PERFCTR_SP_9_LO: 0x204011bc
520 00000001 RBBM_PERFCTR_SP_9_HI: 0x1
521 040011b8 RBBM_PERFCTR_SP_10_LO: 0x40011b8
522 02000000 RBBM_PERFCTR_SP_10_HI: 0x2000000
523 340012b8 RBBM_PERFCTR_SP_11_LO: 0x340012b8
524 004000c0 RBBM_PERFCTR_SP_11_HI: 0x4000c0
525 c00015b8 RBBM_PERFCTR_SP_12_LO: 0xc00015b8
526 00800040 RBBM_PERFCTR_SP_12_HI: 0x800040
527 003041b9 RBBM_PERFCTR_SP_13_LO: 0x3041b9
528 00000000 RBBM_PERFCTR_SP_13_HI: 0
529 000019bc RBBM_PERFCTR_SP_14_LO: 0x19bc
530 00080000 RBBM_PERFCTR_SP_14_HI: 0x80000
531 400051b9 RBBM_PERFCTR_SP_15_LO: 0x400051b9
532 10000900 RBBM_PERFCTR_SP_15_HI: 0x10000900
533 002011b8 RBBM_PERFCTR_SP_16_LO: 0x2011b8
534 00000000 RBBM_PERFCTR_SP_16_HI: 0
535 000092c0 RBBM_PERFCTR_SP_17_LO: 0x92c0
536 00000020 RBBM_PERFCTR_SP_17_HI: 0x20
537 000012b8 RBBM_PERFCTR_SP_18_LO: 0x12b8
538 00900020 RBBM_PERFCTR_SP_18_HI: 0x900020
539 000811c0 RBBM_PERFCTR_SP_19_LO: 0x811c0
540 129001a0 RBBM_PERFCTR_SP_19_HI: 0x129001a0
541 000011b8 RBBM_PERFCTR_SP_20_LO: 0x11b8
542 80000002 RBBM_PERFCTR_SP_20_HI: 0x80000002
543 800011b8 RBBM_PERFCTR_SP_21_LO: 0x800011b8
544 08000000 RBBM_PERFCTR_SP_21_HI: 0x8000000
545 000011b8 RBBM_PERFCTR_SP_22_LO: 0x11b8
546 2000a000 RBBM_PERFCTR_SP_22_HI: 0x2000a000
547 044011c0 RBBM_PERFCTR_SP_23_LO: 0x44011c0
548 84090000 RBBM_PERFCTR_SP_23_HI: 0x84090000
549 00104984 RBBM_PERFCTR_RB_0_LO: 0x104984
550 40000000 RBBM_PERFCTR_RB_0_HI: 0x40000000
551 00040b04 RBBM_PERFCTR_RB_1_LO: 0x40b04
552 00200470 RBBM_PERFCTR_RB_1_HI: 0x200470
553 40000984 RBBM_PERFCTR_RB_2_LO: 0x40000984
554 00820400 RBBM_PERFCTR_RB_2_HI: 0x820400
555 00000986 RBBM_PERFCTR_RB_3_LO: 0x986
556 10000000 RBBM_PERFCTR_RB_3_HI: 0x10000000
557 00001d84 RBBM_PERFCTR_RB_4_LO: 0x1d84
558 00000801 RBBM_PERFCTR_RB_4_HI: 0x801
559 01040b04 RBBM_PERFCTR_RB_5_LO: 0x1040b04
560 08002000 RBBM_PERFCTR_RB_5_HI: 0x8002000
561 00000984 RBBM_PERFCTR_RB_6_LO: 0x984
562 00000000 RBBM_PERFCTR_RB_6_HI: 0
563 0000198c RBBM_PERFCTR_RB_7_LO: 0x198c
564 0000000c RBBM_PERFCTR_RB_7_HI: 0xc
565 20000233 RBBM_PERFCTR_VSC_0_LO: 0x20000233
566 20000000 RBBM_PERFCTR_VSC_0_HI: 0x20000000
567 00000333 RBBM_PERFCTR_VSC_1_LO: 0x333
568 30d00100 RBBM_PERFCTR_VSC_1_HI: 0x30d00100
569 00000355 RBBM_PERFCTR_LRZ_0_LO: 0x355
570 00080004 RBBM_PERFCTR_LRZ_0_HI: 0x80004
571 10000357 RBBM_PERFCTR_LRZ_1_LO: 0x10000357
572 000005a0 RBBM_PERFCTR_LRZ_1_HI: 0x5a0
573 00000353 RBBM_PERFCTR_LRZ_2_LO: 0x353
574 00000100 RBBM_PERFCTR_LRZ_2_HI: 0x100
575 04004357 RBBM_PERFCTR_LRZ_3_LO: 0x4004357
576 050c0000 RBBM_PERFCTR_LRZ_3_HI: 0x50c0000
577 00000010 RBBM_PERFCTR_CMP_0_LO: 0x10
578 08000000 RBBM_PERFCTR_CMP_0_HI: 0x8000000
579 05000204 RBBM_PERFCTR_CMP_1_LO: 0x5000204
580 40000220 RBBM_PERFCTR_CMP_1_HI: 0x40000220
581 00000000 RBBM_PERFCTR_CMP_2_LO: 0
582 00000400 RBBM_PERFCTR_CMP_2_HI: 0x400
583 00200000 RBBM_PERFCTR_CMP_3_LO: 0x200000
584 11014000 RBBM_PERFCTR_CMP_3_HI: 0x11014000
585 00000001 RBBM_PERFCTR_CNTL: 0x1
586 00000000 RBBM_PERFCTR_LOAD_VALUE_LO: 0
587 00000000 RBBM_PERFCTR_LOAD_VALUE_HI: 0
588 00000000 RBBM_PERFCTR_RBBM_SEL_0: 0
589 00000000 RBBM_PERFCTR_RBBM_SEL_1: 0
590 00000000 RBBM_PERFCTR_RBBM_SEL_2: 0
591 00000000 RBBM_PERFCTR_RBBM_SEL_3: 0
592 002f7fff RBBM_PERFCTR_GPU_BUSY_MASKED: 0x2f7fff
593 00000000 0x50f: 00000000
594 00000000 0x511: 00000000
595 00000000 RBBM_ISDB_CNT: 0
596 80108000 RBBM_PRIMCTR_0_LO: 0x80108000
597 10044400 RBBM_PRIMCTR_0_HI: 0x10044400
598 48004008 RBBM_PRIMCTR_1_LO: 0x48004008
599 00010000 RBBM_PRIMCTR_1_HI: 0x10000
600 04100000 RBBM_PRIMCTR_2_LO: 0x4100000
601 401a3089 RBBM_PRIMCTR_2_HI: 0x401a3089
602 08240112 RBBM_PRIMCTR_3_LO: 0x8240112
603 01000408 RBBM_PRIMCTR_3_HI: 0x1000408
604 00005020 RBBM_PRIMCTR_4_LO: 0x5020
605 00024000 RBBM_PRIMCTR_4_HI: 0x24000
606 10001000 RBBM_PRIMCTR_5_LO: 0x10001000
607 80400000 RBBM_PRIMCTR_5_HI: 0x80400000
608 0006000a RBBM_PRIMCTR_6_LO: 0x6000a
609 00040002 RBBM_PRIMCTR_6_HI: 0x40002
610 10008100 RBBM_PRIMCTR_7_LO: 0x10008100
611 24000000 RBBM_PRIMCTR_7_HI: 0x24000000
612 24420000 RBBM_PRIMCTR_8_LO: 0x24420000
613 10800000 RBBM_PRIMCTR_8_HI: 0x10800000
614 21030200 RBBM_PRIMCTR_9_LO: 0x21030200
615 0c000020 RBBM_PRIMCTR_9_HI: 0xc000020
616 80820000 RBBM_PRIMCTR_10_LO: 0x80820000
617 40000800 RBBM_PRIMCTR_10_HI: 0x40000800
618 00001000 CP_RB_BASE: 0x1000
619 00010000 CP_RB_BASE_HI: 0x10000
620 0000020c CP_RB_CNTL: 0x20c
621 00000000 0x803: 00000000
622 00000000 CP_RB_RPTR_ADDR_LO: 0
623 00010000 CP_RB_RPTR_ADDR_HI: 0x10000
624 00000038 CP_RB_RPTR: 0x38
625 00000038 CP_RB_WPTR: 0x38
626 00000001 CP_SQE_CNTL: 0x1
627 00000000 0x810: 00000000
628 00000000 0x811: 00000000
629 00000004 CP_CP2GMU_STATUS: { 0x4 }
630 80000000 0x813: 80000000
631 00000000 0x820: 00000000
632 00000000 CP_HW_FAULT: 0
633 00000000 CP_INTERRUPT_STATUS: 0
634 00000000 CP_PROTECT_STATUS: 0
635 00000707 0x826: 00000707
636 00000001 0x827: 00000001
637 00009000 CP_SQE_INSTR_BASE_LO: 0x9000
638 00010000 CP_SQE_INSTR_BASE_HI: 0x10000
639 00000000 0x832: 00000000
640 00000000 0x833: 00000000
641 00000000 CP_MISC_CNTL: 0
642 00000000 CP_CHICKEN_DBG: 0
643 00000001 CP_ADDR_MODE_CNTL: ADDR_64B
644 00000000 CP_DBG_ECO_CNTL: 0
645 00000003 CP_PROTECT_CNTL: 0x3
646 01440600 CP_PROTECT[0].REG: { BASE_ADDR = 0x600 | MASK_LEN = 0x51 }
647 8008ae50 CP_PROTECT[0x1].REG: { BASE_ADDR = 0xae50 | MASK_LEN = 0x2 | READ }
648 804c9624 CP_PROTECT[0x2].REG: { BASE_ADDR = 0x9624 | MASK_LEN = 0x13 | READ }
649 80208630 CP_PROTECT[0x3].REG: { BASE_ADDR = 0x8630 | MASK_LEN = 0x8 | READ }
650 80049e70 CP_PROTECT[0x4].REG: { BASE_ADDR = 0x9e70 | MASK_LEN = 0x1 | READ }
651 861c9e78 CP_PROTECT[0x5].REG: { BASE_ADDR = 0x9e78 | MASK_LEN = 0x187 | READ }
652 a040f000 CP_PROTECT[0x6].REG: { BASE_ADDR = 0xf000 | MASK_LEN = 0x810 | READ }
653 000cfc00 CP_PROTECT[0x7].REG: { BASE_ADDR = 0xfc00 | MASK_LEN = 0x3 }
654 8000050e CP_PROTECT[0x8].REG: { BASE_ADDR = 0x50e | MASK_LEN = 0 | READ }
655 0000050f CP_PROTECT[0x9].REG: { BASE_ADDR = 0x50f | MASK_LEN = 0 }
656 80000510 CP_PROTECT[0xa].REG: { BASE_ADDR = 0x510 | MASK_LEN = 0 | READ }
657 13e40000 CP_PROTECT[0xb].REG: { BASE_ADDR = 0 | MASK_LEN = 0x4f9 }
658 00280501 CP_PROTECT[0xc].REG: { BASE_ADDR = 0x501 | MASK_LEN = 0xa }
659 01100511 CP_PROTECT[0xd].REG: { BASE_ADDR = 0x511 | MASK_LEN = 0x44 }
660 80380e00 CP_PROTECT[0xe].REG: { BASE_ADDR = 0xe00 | MASK_LEN = 0xe | READ }
661 80008e00 CP_PROTECT[0xf].REG: { BASE_ADDR = 0x8e00 | MASK_LEN = 0 | READ }
662 803c8e50 CP_PROTECT[0x10].REG: { BASE_ADDR = 0x8e50 | MASK_LEN = 0xf | READ }
663 8000be02 CP_PROTECT[0x11].REG: { BASE_ADDR = 0xbe02 | MASK_LEN = 0 | READ }
664 c7ccbe20 CP_PROTECT[0x12].REG: { BASE_ADDR = 0xbe20 | MASK_LEN = 0x11f3 | READ }
665 82080800 CP_PROTECT[0x13].REG: { BASE_ADDR = 0x800 | MASK_LEN = 0x82 | READ }
666 802008a0 CP_PROTECT[0x14].REG: { BASE_ADDR = 0x8a0 | MASK_LEN = 0x8 | READ }
667 806408ab CP_PROTECT[0x15].REG: { BASE_ADDR = 0x8ab | MASK_LEN = 0x19 | READ }
668 81340900 CP_PROTECT[0x16].REG: { BASE_ADDR = 0x900 | MASK_LEN = 0x4d | READ }
669 81d8098d CP_PROTECT[0x17].REG: { BASE_ADDR = 0x98d | MASK_LEN = 0x76 | READ }
670 00100980 CP_PROTECT[0x18].REG: { BASE_ADDR = 0x980 | MASK_LEN = 0x4 }
671 8000a630 CP_PROTECT[0x19].REG: { BASE_ADDR = 0xa630 | MASK_LEN = 0 | READ }
672 00000000 CP_PROTECT[0x1a].REG: { BASE_ADDR = 0 | MASK_LEN = 0 }
673 00000000 CP_PROTECT[0x1b].REG: { BASE_ADDR = 0 | MASK_LEN = 0 }
674 00000000 CP_PROTECT[0x1c].REG: { BASE_ADDR = 0 | MASK_LEN = 0 }
675 00000000 CP_PROTECT[0x1d].REG: { BASE_ADDR = 0 | MASK_LEN = 0 }
676 00000000 CP_PROTECT[0x1e].REG: { BASE_ADDR = 0 | MASK_LEN = 0 }
677 00000000 CP_PROTECT[0x1f].REG: { BASE_ADDR = 0 | MASK_LEN = 0 }
678 00000000 0x880: 00000000
679 00000000 0x881: 00000000
680 00000000 0x882: 00000000
681 00000000 CP_SCRATCH[0].REG: 0
682 00000000 CP_SCRATCH[0x1].REG: 0
683 00000000 CP_SCRATCH[0x2].REG: 0
684 00000000 CP_SCRATCH[0x3].REG: 0
685 00000000 CP_SCRATCH[0x4].REG: 0
686 00000000 CP_SCRATCH[0x5].REG: 0
687 00000000 CP_SCRATCH[0x6].REG: 0
688 00000002 CP_SCRATCH[0x7].REG: 2
689 00000000 CP_CONTEXT_SWITCH_CNTL: 0
690 00000000 CP_CONTEXT_SWITCH_SMMU_INFO_LO: 0
691 00000000 CP_CONTEXT_SWITCH_SMMU_INFO_HI: 0
692 00000000 CP_CONTEXT_SWITCH_PRIV_NON_SECURE_RESTORE_ADDR_LO: 0
693 00000000 CP_CONTEXT_SWITCH_PRIV_NON_SECURE_RESTORE_ADDR_HI: 0
694 00000000 CP_CONTEXT_SWITCH_PRIV_SECURE_RESTORE_ADDR_LO: 0
695 00000000 CP_CONTEXT_SWITCH_PRIV_SECURE_RESTORE_ADDR_HI: 0
696 00000000 CP_CONTEXT_SWITCH_NON_PRIV_RESTORE_ADDR_LO: 0
697 00000000 CP_CONTEXT_SWITCH_NON_PRIV_RESTORE_ADDR_HI: 0
698 00000000 0x8a9: 00000000
699 00000000 0x8aa: 00000000
700 00000000 0x8ab: 00000000
701 00000000 0x8c0: 00000000
702 8040362c CP_ROQ_THRESHOLDS_1: { RB_LO = 0xb0 | RB_HI = 0xd8 | IB1_START = 0x100 | IB2_START = 0x200 }
703 010000c0 CP_ROQ_THRESHOLDS_2: { SDS_START = 0x300 | ROQ_SIZE = 0x400 }
704 00000080 CP_MEM_POOL_SIZE: 0x80
705 00000000 0x8c4: 00000000
706 00000000 CP_PERFCTR_CP_SEL_0: 0
707 00000000 CP_PERFCTR_CP_SEL_1: 0
708 00000000 CP_PERFCTR_CP_SEL_2: 0
709 00000000 CP_PERFCTR_CP_SEL_3: 0
710 00000000 CP_PERFCTR_CP_SEL_4: 0
711 00000000 CP_PERFCTR_CP_SEL_5: 0
712 00000000 CP_PERFCTR_CP_SEL_6: 0
713 00000000 CP_PERFCTR_CP_SEL_7: 0
714 00000000 CP_PERFCTR_CP_SEL_8: 0
715 00000000 CP_PERFCTR_CP_SEL_9: 0
716 00000000 CP_PERFCTR_CP_SEL_10: 0
717 00000000 CP_PERFCTR_CP_SEL_11: 0
718 00000000 CP_PERFCTR_CP_SEL_12: 0
719 00000000 CP_PERFCTR_CP_SEL_13: 0
720 00000000 0x8f0: 00000000
721 00000000 0x8f1: 00000000
722 00000000 0x8f2: 00000000
723 00000000 0x8f3: 00000000
724 00011000 CP_CRASH_SCRIPT_BASE_LO: 0x11000
725 00010000 CP_CRASH_SCRIPT_BASE_HI: 0x10000
726 00000001 CP_CRASH_DUMP_CNTL: 0x1
727 00000001 CP_CRASH_DUMP_STATUS: 0x1
728 00000033 CP_SQE_STAT_ADDR: 0x33
729 00000000 CP_SQE_STAT_DATA: 0
730 00000000 CP_DRAW_STATE_ADDR: 0
731 00000000 CP_DRAW_STATE_DATA: 0
732 00000000 CP_ROQ_DBG_ADDR: 0
733 70c80008 CP_ROQ_DBG_DATA: 0x70c80008
734 00002060 CP_MEM_POOL_DBG_ADDR: 0x2060
735 00000007 CP_MEM_POOL_DBG_DATA: 0x7
736 00006000 CP_SQE_UCODE_DBG_ADDR: 0x6000
737 00000000 CP_SQE_UCODE_DBG_DATA: 0
738 00000000 CP_IB1_BASE: 0
739 00000001 CP_IB1_BASE_HI: 0x1
740 00000000 CP_IB1_REM_SIZE: 0
741 00000000 CP_IB2_BASE: 0
742 00000000 CP_IB2_BASE_HI: 0
743 00000000 CP_IB2_REM_SIZE: 0
744 00000000 CP_SDS_BASE: 0
745 00000000 CP_SDS_BASE_HI: 0
746 00000000 CP_SDS_REM_SIZE: 0
747 0000c600 CP_MRB_BASE: 0xc600
748 00010000 CP_MRB_BASE_HI: 0x10000
749 00000000 CP_MRB_REM_SIZE: 0
750 00000000 CP_VSD_BASE: 0
751 00000000 CP_VSD_BASE_HI: 0
752 00000000 0x936: 00000000
753 00800000 0x937: 00800000
754 00000000 0x938: 00000000
755 00400031 0x939: 00400031
756 010c0107 0x93a: 010c0107
757 02000200 0x93b: 02000200
758 03000300 0x93c: 03000300
759 00b000b0 0x93d: 00b000b0
760 00d800d8 0x93e: 00d800d8
761 0000007f 0x942: 0000007f
762 0000000c 0x943: 0000000c
763 00000000 0x944: 00000000
764 00000000 0x945: 00000000
765 00000000 CP_MRB_DWORDS: 0
766 00000000 CP_VSD_DWORDS: 0
767 000f0004 0x948: 000f0004
768 00060002 CP_CSQ_IB1_STAT: { REM = 0x6 | 0x2 }
769 00000000 CP_CSQ_IB2_STAT: { REM = 0 }
770 00000000 0x94b: 00000000
771 00000000 CP_MRQ_MRB_STAT: { REM = 0 }
772 00000000 0x94d: 00000000
773 00305efe CP_ALWAYS_ON_COUNTER_LO: 0x305efe
774 00000000 CP_ALWAYS_ON_COUNTER_HI: 0
775 00225162 0x982: 00225162
776 00000000 0x983: 00000000
777 00000000 0x984: 00000000
778 00000001 CP_AHB_CNTL: 0x1
779 00000000 0x98e: 00000000
780 00000000 0x98f: 00000000
781 00000000 0x990: 00000000
782 00000000 0x991: 00000000
783 00000000 0x992: 00000000
784 00000000 0x993: 00000000
785 00000000 0x994: 00000000
786 00000000 0x995: 00000000
787 00000000 0x996: 00000000
788 00000000 0x998: 00000000
789 00000000 0x999: 00000000
790 00000000 0x99a: 00000000
791 00000000 0x99b: 00000000
792 00000000 0x99c: 00000000
793 00000000 0x99d: 00000000
794 00000000 0x99e: 00000000
795 00000000 0x9a0: 00000000
796 00000000 0x9a1: 00000000
797 00000000 0x9a2: 00000000
798 00000000 0x9a3: 00000000
799 00000000 0x9a4: 00000000
800 00000000 0x9a5: 00000000
801 00000000 0x9a6: 00000000
802 300026a0 0x9a8: 300026a0
803 02029804 0x9a9: 02029804
804 02027800 0x9aa: 02027800
805 0202b808 0x9ab: 0202b808
806 02024c40 0x9ac: 02024c40
807 02021804 0x9ad: 02021804
808 02023820 0x9ae: 02023820
809 00000000 0x9b0: 00000000
810 00000000 0x9b1: 00000000
811 42497500 0x9c2: 42497500
812 023c13c1 0x9c3: 023c13c1
813 02383383 0x9c4: 02383383
814 023a13a1 0x9c5: 023a13a1
815 023b13b1 0x9c6: 023b13b1
816 02393393 0x9c7: 02393393
817 01365365 0x9c8: 01365365
818 00000000 CP_APERTURE_CNTL_HOST: 0
819 00000000 0xa01: 00000000
820 00000000 0xa02: 00000000
821 00000000 CP_APERTURE_CNTL_CD: 0
822 00000000 0xc00: 00000000
823 00000001 VSC_ADDR_MODE_CNTL: ADDR_64B
824 00000101 VSC_BIN_SIZE: { WIDTH = 32 | HEIGHT = 16 }
825 00000000 VSC_DRAW_STRM_SIZE_ADDRESS_LO: 0
826 00000000 VSC_DRAW_STRM_SIZE_ADDRESS_HI: 0
827 00000000 VSC_BIN_COUNT: { NX = 0 | NY = 0 }
828 00000000 VSC_PIPE_CONFIG[0].REG: { X = 0 | Y = 0 | W = 0 | H = 0 }
829 00000000 VSC_PIPE_CONFIG[0x1].REG: { X = 0 | Y = 0 | W = 0 | H = 0 }
830 00000000 VSC_PIPE_CONFIG[0x2].REG: { X = 0 | Y = 0 | W = 0 | H = 0 }
831 00000000 VSC_PIPE_CONFIG[0x3].REG: { X = 0 | Y = 0 | W = 0 | H = 0 }
832 00000000 VSC_PIPE_CONFIG[0x4].REG: { X = 0 | Y = 0 | W = 0 | H = 0 }
833 00000000 VSC_PIPE_CONFIG[0x5].REG: { X = 0 | Y = 0 | W = 0 | H = 0 }
834 00000000 VSC_PIPE_CONFIG[0x6].REG: { X = 0 | Y = 0 | W = 0 | H = 0 }
835 00000000 VSC_PIPE_CONFIG[0x7].REG: { X = 0 | Y = 0 | W = 0 | H = 0 }
836 00000000 VSC_PIPE_CONFIG[0x8].REG: { X = 0 | Y = 0 | W = 0 | H = 0 }
837 00000000 VSC_PIPE_CONFIG[0x9].REG: { X = 0 | Y = 0 | W = 0 | H = 0 }
838 00000000 VSC_PIPE_CONFIG[0xa].REG: { X = 0 | Y = 0 | W = 0 | H = 0 }
839 00000000 VSC_PIPE_CONFIG[0xb].REG: { X = 0 | Y = 0 | W = 0 | H = 0 }
840 00000000 VSC_PIPE_CONFIG[0xc].REG: { X = 0 | Y = 0 | W = 0 | H = 0 }
841 00000000 VSC_PIPE_CONFIG[0xd].REG: { X = 0 | Y = 0 | W = 0 | H = 0 }
842 00000000 VSC_PIPE_CONFIG[0xe].REG: { X = 0 | Y = 0 | W = 0 | H = 0 }
843 00000000 VSC_PIPE_CONFIG[0xf].REG: { X = 0 | Y = 0 | W = 0 | H = 0 }
844 00000000 VSC_PIPE_CONFIG[0x10].REG: { X = 0 | Y = 0 | W = 0 | H = 0 }
845 00000000 VSC_PIPE_CONFIG[0x11].REG: { X = 0 | Y = 0 | W = 0 | H = 0 }
846 00000000 VSC_PIPE_CONFIG[0x12].REG: { X = 0 | Y = 0 | W = 0 | H = 0 }
847 00000000 VSC_PIPE_CONFIG[0x13].REG: { X = 0 | Y = 0 | W = 0 | H = 0 }
848 00000000 VSC_PIPE_CONFIG[0x14].REG: { X = 0 | Y = 0 | W = 0 | H = 0 }
849 00000000 VSC_PIPE_CONFIG[0x15].REG: { X = 0 | Y = 0 | W = 0 | H = 0 }
850 00000000 VSC_PIPE_CONFIG[0x16].REG: { X = 0 | Y = 0 | W = 0 | H = 0 }
851 00000000 VSC_PIPE_CONFIG[0x17].REG: { X = 0 | Y = 0 | W = 0 | H = 0 }
852 00000000 VSC_PIPE_CONFIG[0x18].REG: { X = 0 | Y = 0 | W = 0 | H = 0 }
853 00000000 VSC_PIPE_CONFIG[0x19].REG: { X = 0 | Y = 0 | W = 0 | H = 0 }
854 00000000 VSC_PIPE_CONFIG[0x1a].REG: { X = 0 | Y = 0 | W = 0 | H = 0 }
855 00000000 VSC_PIPE_CONFIG[0x1b].REG: { X = 0 | Y = 0 | W = 0 | H = 0 }
856 00000000 VSC_PIPE_CONFIG[0x1c].REG: { X = 0 | Y = 0 | W = 0 | H = 0 }
857 00000000 VSC_PIPE_CONFIG[0x1d].REG: { X = 0 | Y = 0 | W = 0 | H = 0 }
858 00000000 VSC_PIPE_CONFIG[0x1e].REG: { X = 0 | Y = 0 | W = 0 | H = 0 }
859 00000000 VSC_PIPE_CONFIG[0x1f].REG: { X = 0 | Y = 0 | W = 0 | H = 0 }
860 00000000 VSC_PRIM_STRM_ADDRESS_LO: 0
861 00000000 VSC_PRIM_STRM_ADDRESS_HI: 0
862 00000000 VSC_PRIM_STRM_PITCH: 0
863 00000000 VSC_PRIM_STRM_LIMIT: 0
864 00000000 VSC_DRAW_STRM_ADDRESS_LO: 0
865 00000000 VSC_DRAW_STRM_ADDRESS_HI: 0
866 00000000 VSC_DRAW_STRM_PITCH: 0
867 00000000 VSC_DRAW_STRM_LIMIT: 0
868 00000000 VSC_STATE[0].REG: 0
869 00000000 VSC_STATE[0x1].REG: 0
870 00000000 VSC_STATE[0x2].REG: 0
871 00000000 VSC_STATE[0x3].REG: 0
872 00000000 VSC_STATE[0x4].REG: 0
873 00000000 VSC_STATE[0x5].REG: 0
874 00000000 VSC_STATE[0x6].REG: 0
875 00000000 VSC_STATE[0x7].REG: 0
876 00000000 VSC_STATE[0x8].REG: 0
877 00000000 VSC_STATE[0x9].REG: 0
878 00000000 VSC_STATE[0xa].REG: 0
879 00000000 VSC_STATE[0xb].REG: 0
880 00000000 VSC_STATE[0xc].REG: 0
881 00000000 VSC_STATE[0xd].REG: 0
882 00000000 VSC_STATE[0xe].REG: 0
883 00000000 VSC_STATE[0xf].REG: 0
884 00000000 VSC_STATE[0x10].REG: 0
885 00000000 VSC_STATE[0x11].REG: 0
886 00000000 VSC_STATE[0x12].REG: 0
887 00000000 VSC_STATE[0x13].REG: 0
888 00000000 VSC_STATE[0x14].REG: 0
889 00000000 VSC_STATE[0x15].REG: 0
890 00000000 VSC_STATE[0x16].REG: 0
891 00000000 VSC_STATE[0x17].REG: 0
892 00000000 VSC_STATE[0x18].REG: 0
893 00000000 VSC_STATE[0x19].REG: 0
894 00000000 VSC_STATE[0x1a].REG: 0
895 00000000 VSC_STATE[0x1b].REG: 0
896 00000000 VSC_STATE[0x1c].REG: 0
897 00000000 VSC_STATE[0x1d].REG: 0
898 00000000 VSC_STATE[0x1e].REG: 0
899 00000000 VSC_STATE[0x1f].REG: 0
900 00000000 VSC_PRIM_STRM_SIZE[0].REG: 0
901 00000000 VSC_PRIM_STRM_SIZE[0x1].REG: 0
902 00000000 VSC_PRIM_STRM_SIZE[0x2].REG: 0
903 00000000 VSC_PRIM_STRM_SIZE[0x3].REG: 0
904 00000000 VSC_PRIM_STRM_SIZE[0x4].REG: 0
905 00000000 VSC_PRIM_STRM_SIZE[0x5].REG: 0
906 00000000 VSC_PRIM_STRM_SIZE[0x6].REG: 0
907 00000000 VSC_PRIM_STRM_SIZE[0x7].REG: 0
908 00000000 VSC_PRIM_STRM_SIZE[0x8].REG: 0
909 00000000 VSC_PRIM_STRM_SIZE[0x9].REG: 0
910 00000000 VSC_PRIM_STRM_SIZE[0xa].REG: 0
911 00000000 VSC_PRIM_STRM_SIZE[0xb].REG: 0
912 00000000 VSC_PRIM_STRM_SIZE[0xc].REG: 0
913 00000000 VSC_PRIM_STRM_SIZE[0xd].REG: 0
914 00000000 VSC_PRIM_STRM_SIZE[0xe].REG: 0
915 00000000 VSC_PRIM_STRM_SIZE[0xf].REG: 0
916 00000000 VSC_PRIM_STRM_SIZE[0x10].REG: 0
917 00000000 VSC_PRIM_STRM_SIZE[0x11].REG: 0
918 00000000 VSC_PRIM_STRM_SIZE[0x12].REG: 0
919 00000000 VSC_PRIM_STRM_SIZE[0x13].REG: 0
920 00000000 VSC_PRIM_STRM_SIZE[0x14].REG: 0
921 00000000 VSC_PRIM_STRM_SIZE[0x15].REG: 0
922 00000000 VSC_PRIM_STRM_SIZE[0x16].REG: 0
923 00000000 VSC_PRIM_STRM_SIZE[0x17].REG: 0
924 00000000 VSC_PRIM_STRM_SIZE[0x18].REG: 0
925 00000000 VSC_PRIM_STRM_SIZE[0x19].REG: 0
926 00000000 VSC_PRIM_STRM_SIZE[0x1a].REG: 0
927 00000000 VSC_PRIM_STRM_SIZE[0x1b].REG: 0
928 00000000 VSC_PRIM_STRM_SIZE[0x1c].REG: 0
929 00000000 VSC_PRIM_STRM_SIZE[0x1d].REG: 0
930 00000000 VSC_PRIM_STRM_SIZE[0x1e].REG: 0
931 00000000 VSC_PRIM_STRM_SIZE[0x1f].REG: 0
932 00000000 VSC_DRAW_STRM_SIZE[0].REG: 0
933 00000000 VSC_DRAW_STRM_SIZE[0x1].REG: 0
934 00000000 VSC_DRAW_STRM_SIZE[0x2].REG: 0
935 00000000 VSC_DRAW_STRM_SIZE[0x3].REG: 0
936 00000000 VSC_DRAW_STRM_SIZE[0x4].REG: 0
937 00000000 VSC_DRAW_STRM_SIZE[0x5].REG: 0
938 00000000 VSC_DRAW_STRM_SIZE[0x6].REG: 0
939 00000000 VSC_DRAW_STRM_SIZE[0x7].REG: 0
940 00000000 VSC_DRAW_STRM_SIZE[0x8].REG: 0
941 00000000 VSC_DRAW_STRM_SIZE[0x9].REG: 0
942 00000000 VSC_DRAW_STRM_SIZE[0xa].REG: 0
943 00000000 VSC_DRAW_STRM_SIZE[0xb].REG: 0
944 00000000 VSC_DRAW_STRM_SIZE[0xc].REG: 0
945 00000000 VSC_DRAW_STRM_SIZE[0xd].REG: 0
946 00000000 VSC_DRAW_STRM_SIZE[0xe].REG: 0
947 00000000 VSC_DRAW_STRM_SIZE[0xf].REG: 0
948 00000000 VSC_DRAW_STRM_SIZE[0x10].REG: 0
949 00000000 VSC_DRAW_STRM_SIZE[0x11].REG: 0
950 00000000 VSC_DRAW_STRM_SIZE[0x12].REG: 0
951 00000000 VSC_DRAW_STRM_SIZE[0x13].REG: 0
952 00000000 VSC_DRAW_STRM_SIZE[0x14].REG: 0
953 00000000 VSC_DRAW_STRM_SIZE[0x15].REG: 0
954 00000000 VSC_DRAW_STRM_SIZE[0x16].REG: 0
955 00000000 VSC_DRAW_STRM_SIZE[0x17].REG: 0
956 00000000 VSC_DRAW_STRM_SIZE[0x18].REG: 0
957 00000000 VSC_DRAW_STRM_SIZE[0x19].REG: 0
958 00000000 VSC_DRAW_STRM_SIZE[0x1a].REG: 0
959 00000000 VSC_DRAW_STRM_SIZE[0x1b].REG: 0
960 00000000 VSC_DRAW_STRM_SIZE[0x1c].REG: 0
961 00000000 VSC_DRAW_STRM_SIZE[0x1d].REG: 0
962 00000000 VSC_DRAW_STRM_SIZE[0x1e].REG: 0
963 00000000 VSC_DRAW_STRM_SIZE[0x1f].REG: 0
964 00000000 0xc98: 00000000
965 00000000 0xc99: 00000000
966 00000000 0xc9a: 00000000
967 00000000 0xc9b: 00000000
968 00000000 0xc9c: 00000000
969 00000000 0xc9d: 00000000
970 00000000 0xc9e: 00000000
971 00000000 0xc9f: 00000000
972 00000000 0xca0: 00000000
973 00000000 0xca1: 00000000
974 00000000 0xca2: 00000000
975 00000000 0xca3: 00000000
976 00000000 0xca4: 00000000
977 00000000 0xca5: 00000000
978 00000000 0xca6: 00000000
979 00000000 0xca7: 00000000
980 00000000 0xca8: 00000000
981 00000000 0xca9: 00000000
982 00000000 0xcaa: 00000000
983 00000000 0xcab: 00000000
984 00000000 0xcac: 00000000
985 00000000 0xcad: 00000000
986 00000000 0xcae: 00000000
987 00000000 0xcaf: 00000000
988 00000000 0xcb0: 00000000
989 00000000 0xcb1: 00000000
990 00000000 0xcb2: 00000000
991 00000000 0xcb3: 00000000
992 00000000 0xcb4: 00000000
993 00000000 0xcb5: 00000000
994 00000000 0xcb6: 00000000
995 00000000 0xcb7: 00000000
996 00000000 0xcb8: 00000000
997 00000000 0xcb9: 00000000
998 00000000 0xcba: 00000000
999 00000000 0xcbb: 00000000
1000 00000000 0xcbc: 00000000
1001 00000000 0xcbd: 00000000
1002 00000000 0xcbe: 00000000
1003 00000000 0xcbf: 00000000
1004 00000000 0xcc0: 00000000
1005 00000000 0xcc1: 00000000
1006 00000000 0xcc2: 00000000
1007 00000000 0xcc3: 00000000
1008 00000000 0xcc4: 00000000
1009 00000000 0xcc5: 00000000
1010 00000000 0xcc6: 00000000
1011 00000000 0xcc7: 00000000
1012 00000000 0xcc8: 00000000
1013 00000000 0xcc9: 00000000
1014 00000000 0xcca: 00000000
1015 00000000 0xccb: 00000000
1016 00000000 0xccc: 00000000
1017 00000000 0xccd: 00000000
1018 00000000 0xcce: 00000000
1019 00000000 0xccf: 00000000
1020 00000000 0xcd0: 00000000
1021 00000000 0xcd1: 00000000
1022 00000000 0xcd2: 00000000
1023 00000000 0xcd3: 00000000
1024 00000000 0xcd4: 00000000
1025 00000000 0xcd5: 00000000
1026 00000000 0xcd6: 00000000
1027 00000000 0xcd7: 00000000
1028 00000000 VSC_PERFCTR_VSC_SEL_0: 0
1029 00000000 VSC_PERFCTR_VSC_SEL_1: 0
1030 00000001 UCHE_ADDR_MODE_CNTL: ADDR_64B
1031 00400000 UCHE_MODE_CNTL: 0x400000
1032 00000000 0xe02: 00000000
1033 00000000 0xe03: 00000000
1034 00000000 0xe04: 00000000
1035 ffffffc0 UCHE_WRITE_RANGE_MAX_LO: 0xffffffc0
1036 0001ffff UCHE_WRITE_RANGE_MAX_HI: 0x1ffff
1037 fffff000 UCHE_WRITE_THRU_BASE_LO: 0xfffff000
1038 0001ffff UCHE_WRITE_THRU_BASE_HI: 0x1ffff
1039 fffff000 UCHE_TRAP_BASE_LO: 0xfffff000
1040 0001ffff UCHE_TRAP_BASE_HI: 0x1ffff
1041 00100000 UCHE_GMEM_RANGE_MIN_LO: 0x100000
1042 00000000 UCHE_GMEM_RANGE_MIN_HI: 0
1043 001ff000 UCHE_GMEM_RANGE_MAX_LO: 0x1ff000
1044 00000000 UCHE_GMEM_RANGE_MAX_HI: 0
1045 00000000 0xe10: 00000000
1046 00000000 0xe11: 00000000
1047 00000000 UCHE_UNKNOWN_0E12: 0
1048 00000000 0xe13: 00000000
1049 00000004 UCHE_CACHE_WAYS: 0x4
1050 00000804 UCHE_FILTER_CNTL: 0x804
1051 00000001 UCHE_CLIENT_PF: { PERFSEL = 0x1 }
1052 00000000 UCHE_PERFCTR_UCHE_SEL_0: 0
1053 00000000 UCHE_PERFCTR_UCHE_SEL_1: 0
1054 00000000 UCHE_PERFCTR_UCHE_SEL_2: 0
1055 00000000 UCHE_PERFCTR_UCHE_SEL_3: 0
1056 00000000 UCHE_PERFCTR_UCHE_SEL_4: 0
1057 00000000 UCHE_PERFCTR_UCHE_SEL_5: 0
1058 00000000 UCHE_PERFCTR_UCHE_SEL_6: 0
1059 00000000 UCHE_PERFCTR_UCHE_SEL_7: 0
1060 00000000 UCHE_PERFCTR_UCHE_SEL_8: 0
1061 00000000 UCHE_PERFCTR_UCHE_SEL_9: 0
1062 00000000 UCHE_PERFCTR_UCHE_SEL_10: 0
1063 00000000 UCHE_PERFCTR_UCHE_SEL_11: 0
1064 00000000 0xe28: 00000000
1065 00000000 0xe29: 00000000
1066 00000000 0xe2a: 00000000
1067 00000000 0xe2b: 00000000
1068 00000000 0xe30: 00000000
1069 00000000 0xe31: 00000000
1070 00000000 0xe32: 00000000
1071 00000000 0xe38: 00000000
1072 00000000 0xe39: 00000000
1073 00000000 GRAS_UNKNOWN_8600: 0
1074 00000001 GRAS_ADDR_MODE_CNTL: ADDR_64B
1075 00000000 GRAS_PERFCTR_TSE_SEL_0: 0
1076 00000000 GRAS_PERFCTR_TSE_SEL_1: 0
1077 00000000 GRAS_PERFCTR_TSE_SEL_2: 0
1078 00000000 GRAS_PERFCTR_TSE_SEL_3: 0
1079 00000000 GRAS_PERFCTR_RAS_SEL_0: 0
1080 00000000 GRAS_PERFCTR_RAS_SEL_1: 0
1081 00000000 GRAS_PERFCTR_RAS_SEL_2: 0
1082 00000000 GRAS_PERFCTR_RAS_SEL_3: 0
1083 00000000 GRAS_PERFCTR_LRZ_SEL_0: 0
1084 00000000 GRAS_PERFCTR_LRZ_SEL_1: 0
1085 00000000 GRAS_PERFCTR_LRZ_SEL_2: 0
1086 00000000 GRAS_PERFCTR_LRZ_SEL_3: 0
1087 00000000 0x8620: 00000000
1088 00000000 0x8628: 00000000
1089 00000000 0x8629: 00000000
1090 00000000 0x862a: 00000000
1091 00000000 0x862b: 00000000
1092 00000000 0x8630: 00000000
1093 3fff3fff 0x8631: 3fff3fff
1094 00000000 0x8632: 00000000
1095 00000000 0x8633: 00000000
1096 00000000 0x8634: 00000000
1097 00000000 0x8635: 00000000
1098 00000000 0x8636: 00000000
1099 00000000 0x8637: 00000000
1100 00000000 VPC_UNKNOWN_9600: 0
1101 00000001 VPC_ADDR_MODE_CNTL: ADDR_64B
1102 00000000 VPC_UNKNOWN_9602: FALSE
1103 00000000 VPC_UNKNOWN_9603: 0
1104 00000000 VPC_PERFCTR_VPC_SEL_0: 0
1105 00000000 0x9624: 00000000
1106 00000000 0x9625: 00000000
1107 00000000 0x9626: 00000000
1108 00000000 0x9627: 00000000
1109 00000000 0x9628: 00000000
1110 00000000 0x9629: 00000000
1111 00000000 0x962a: 00000000
1112 00000000 0x962b: 00000000
1113 00000000 0x962c: 00000000
1114 00000000 0x962d: 00000000
1115 00000000 0x962e: 00000000
1116 00000000 0x962f: 00000000
1117 00000000 0x9630: 00000000
1118 00000000 0x9631: 00000000
1119 00000000 0x9632: 00000000
1120 00000000 0x9633: 00000000
1121 00000000 0x9634: 00000000
1122 00000000 0x9635: 00000000
1123 00000000 0x9636: 00000000
1124 00000000 0x9637: 00000000
1125 00180000 PC_DBG_ECO_CNTL: 0x180000
1126 00000001 PC_ADDR_MODE_CNTL: ADDR_64B
1127 00000000 0x9e03: 00000000
1128 00000000 0x9e04: 00000000
1129 00000000 0x9e05: 00000000
1130 00000000 0x9e06: 00000000
1131 00000000 0x9e07: 00000000
1132 00000000 PC_TESSFACTOR_ADDR_LO: 0
1133 00000000 PC_TESSFACTOR_ADDR_HI: 0
1134 00000001 0x9e0a: 00000001
1135 00004080 0x9e0b: 00004080
1136 00000000 0x9e0c: 00000000
1137 00000003 0x9e0d: 00000003
1138 00000000 0x9e0e: 00000000
1139 00010000 PC_VSTREAM_CONTROL: { VSC_SIZE = 1 | VSC_N = 0 }
1140 00000000 PC_BIN_PRIM_STRM: 0
1141 00000000 PC_BIN_PRIM_STRM+0x1: 0
1142 00000000 PC_BIN_DRAW_STRM: 0
1143 00000000 PC_BIN_DRAW_STRM+0x1: 0
1144 00000000 0x9e16: 00000000
1145 00000000 0x9e19: 00000000
1146 00000000 0x9e1c: 00000000
1147 00000000 0x9e20: 00000000
1148 00000000 0x9e21: 00000000
1149 00000000 0x9e22: 00000000
1150 00000000 0x9e23: 00000000
1151 00000000 0x9e30: 00000000
1152 00000000 0x9e31: 00000000
1153 00000000 PC_PERFCTR_PC_SEL_0: 0
1154 00000000 0x9e70: 00000000
1155 00000000 0x9e71: 00000000
1156 00000000 PC_UNKNOWN_9E72: 0
1157 00000002 0x9e78: 00000002
1158 00000000 0x9e79: 00000000
1159 00000000 0x9e80: 00000000
1160 00000000 0x9e81: 00000000
1161 00000000 0x9e82: 00000000
1162 00000000 0x9e83: 00000000
1163 00000000 0x9e84: 00000000
1164 00000000 0x9e85: 00000000
1165 00000000 0x9e86: 00000000
1166 00000000 0x9e87: 00000000
1167 00000000 0x9e88: 00000000
1168 00000000 0x9e89: 00000000
1169 00000000 0x9e8a: 00000000
1170 00000000 0x9e8b: 00000000
1171 00000000 0x9e8c: 00000000
1172 00000000 0x9e8d: 00000000
1173 00000021 0x9e8e: 00000021
1174 00000044 0x9e8f: 00000044
1175 00000000 0x9e90: 00000000
1176 00000000 0x9e91: 00000000
1177 00000000 0x9e92: 00000000
1178 00000000 0x9e93: 00000000
1179 00000000 0x9e94: 00000000
1180 00000000 0x9e95: 00000000
1181 00000000 0x9e96: 00000000
1182 00000000 0x9e97: 00000000
1183 00000000 0x9e98: 00000000
1184 00000000 0x9e99: 00000000
1185 00000000 0x9e9a: 00000000
1186 00000000 0x9e9b: 00000000
1187 00000000 0x9e9c: 00000000
1188 00000000 0x9e9d: 00000000
1189 00000000 0x9e9e: 00000000
1190 00000000 0x9e9f: 00000000
1191 00000000 0x9ea0: 00000000
1192 00000000 0x9ea1: 00000000
1193 00000000 0x9ea2: 00000000
1194 00000000 0x9ea3: 00000000
1195 00000000 0x9ea4: 00000000
1196 00000000 0x9ea5: 00000000
1197 00000000 0x9ea6: 00000000
1198 00000000 0x9ea7: 00000000
1199 00000000 0x9ea8: 00000000
1200 00000000 0x9ea9: 00000000
1201 00000000 0x9eaa: 00000000
1202 00000000 0x9eab: 00000000
1203 00000000 0x9eac: 00000000
1204 00000000 0x9ead: 00000000
1205 00000000 0x9eae: 00000000
1206 00000001 0x9eaf: 00000001
1207 00000000 0x9eb0: 00000000
1208 00000000 0x9eb1: 00000000
1209 00000000 0x9eb2: 00000000
1210 00000000 0x9eb3: 00000000
1211 00000000 0x9eb4: 00000000
1212 00000000 0x9eb5: 00000000
1213 00000000 0x9eb6: 00000000
1214 00000000 0x9eb7: 00000000
1215 00000000 0x9eb8: 00000000
1216 00000000 0x9eb9: 00000000
1217 00000000 0x9eba: 00000000
1218 00000000 0x9ebb: 00000000
1219 00000000 0x9ebc: 00000000
1220 00000000 0x9ebd: 00000000
1221 00000000 0x9ebe: 00000000
1222 00000001 0x9ebf: 00000001
1223 00000000 0x9ec0: 00000000
1224 00000000 0x9ec1: 00000000
1225 00000000 0x9ec2: 00000000
1226 00000000 0x9ec3: 00000000
1227 00000000 0x9ec4: 00000000
1228 00000000 0x9ec5: 00000000
1229 00000000 0x9ec6: 00000000
1230 00000000 0x9ec7: 00000000
1231 00000000 0x9ec8: 00000000
1232 00000000 0x9ec9: 00000000
1233 00000000 0x9eca: 00000000
1234 00000000 0x9ecb: 00000000
1235 00000000 0x9ecc: 00000000
1236 00000000 0x9ecd: 00000000
1237 00000000 0x9ece: 00000000
1238 00000000 0x9ecf: 00000000
1239 00000000 0x9ed0: 00000000
1240 00000000 0x9ed1: 00000000
1241 00000000 0x9ed2: 00000000
1242 00000000 0x9ed3: 00000000
1243 00000000 0x9ed4: 00000000
1244 00000000 0x9ed5: 00000000
1245 00000000 0x9ed6: 00000000
1246 00000000 0x9ed7: 00000000
1247 00000000 0x9ed8: 00000000
1248 00000000 0x9ed9: 00000000
1249 00000000 0x9eda: 00000000
1250 00000000 0x9edb: 00000000
1251 00000000 0x9edc: 00000000
1252 00000000 0x9edd: 00000000
1253 00000000 0x9ede: 00000000
1254 00000000 0x9edf: 00000000
1255 00000000 0x9ee0: 00000000
1256 00000000 0x9ee1: 00000000
1257 00000000 0x9ee2: 00000000
1258 00000000 0x9ee3: 00000000
1259 00000000 0x9ee4: 00000000
1260 00000000 0x9ee5: 00000000
1261 00000000 0x9ee6: 00000000
1262 00000000 0x9ee7: 00000000
1263 00000000 0x9ee8: 00000000
1264 00000000 0x9ee9: 00000000
1265 00000000 0x9eea: 00000000
1266 00000000 0x9eeb: 00000000
1267 00000000 0x9eec: 00000000
1268 00000000 0x9eed: 00000000
1269 00000000 0x9eee: 00000000
1270 00000000 0x9eef: 00000000
1271 00000000 0x9ef0: 00000000
1272 00000000 0x9ef1: 00000000
1273 00000000 0x9ef2: 00000000
1274 00000000 0x9ef3: 00000000
1275 00000000 0x9ef4: 00000000
1276 00000000 0x9ef5: 00000000
1277 00000000 0x9ef6: 00000000
1278 00000000 0x9ef7: 00000000
1279 00000000 0x9ef8: 00000000
1280 00000000 0x9ef9: 00000000
1281 00000000 0x9efa: 00000000
1282 00000000 0x9efb: 00000000
1283 00000000 0x9efc: 00000000
1284 00000000 0x9efd: 00000000
1285 00000000 0x9efe: 00000000
1286 00000000 0x9eff: 00000000
1287 00000000 0x9f00: 00000000
1288 00000000 0x9f01: 00000000
1289 00000000 0x9f02: 00000000
1290 00000000 0x9f03: 00000000
1291 00000000 0x9f04: 00000000
1292 00000000 0x9f05: 00000000
1293 00000000 0x9f06: 00000000
1294 00000000 0x9f07: 00000000
1295 00000000 0x9f08: 00000000
1296 00000000 0x9f09: 00000000
1297 00000000 0x9f0a: 00000000
1298 00000000 0x9f0b: 00000000
1299 00000000 0x9f0c: 00000000
1300 00000000 0x9f0d: 00000000
1301 00000000 0x9f0e: 00000000
1302 00000000 0x9f0f: 00000000
1303 00000000 0x9f10: 00000000
1304 00000000 0x9f11: 00000000
1305 00000000 0x9f12: 00000000
1306 00000000 0x9f13: 00000000
1307 00000000 0x9f14: 00000000
1308 00000000 0x9f15: 00000000
1309 00000000 0x9f16: 00000000
1310 00000000 0x9f17: 00000000
1311 00000000 0x9f18: 00000000
1312 00000000 0x9f19: 00000000
1313 00000000 0x9f1a: 00000000
1314 00000000 0x9f1b: 00000000
1315 00000000 0x9f1c: 00000000
1316 00000000 0x9f1d: 00000000
1317 00000000 0x9f1e: 00000000
1318 00000000 0x9f1f: 00000000
1319 00000000 0x9f20: 00000000
1320 00000000 0x9f21: 00000000
1321 00000000 0x9f22: 00000000
1322 00000000 0x9f23: 00000000
1323 00000000 0x9f24: 00000000
1324 00000000 0x9f25: 00000000
1325 00000000 0x9f26: 00000000
1326 00000000 0x9f27: 00000000
1327 00000000 0x9f28: 00000000
1328 00000000 0x9f29: 00000000
1329 00000000 0x9f2a: 00000000
1330 00000000 0x9f2b: 00000000
1331 00000000 0x9f2c: 00000000
1332 00000000 0x9f2d: 00000000
1333 00000000 0x9f2e: 00000000
1334 00000000 0x9f2f: 00000000
1335 00000000 0x9f30: 00000000
1336 00000000 0x9f31: 00000000
1337 00000000 0x9f32: 00000000
1338 00000000 0x9f33: 00000000
1339 00000000 0x9f34: 00000000
1340 00000000 0x9f35: 00000000
1341 00000000 0x9f36: 00000000
1342 00000000 0x9f37: 00000000
1343 00000000 0x9f38: 00000000
1344 00000000 0x9f39: 00000000
1345 00000000 0x9f3a: 00000000
1346 00000000 0x9f3b: 00000000
1347 00000000 0x9f3c: 00000000
1348 00000000 0x9f3d: 00000000
1349 00000000 0x9f3e: 00000000
1350 00000011 0x9f3f: 00000011
1351 00002625 0x9f40: 00002625
1352 000020a5 0x9f41: 000020a5
1353 00002306 0x9f42: 00002306
1354 000020a6 0x9f43: 000020a6
1355 00002327 0x9f44: 00002327
1356 000020a7 0x9f45: 000020a7
1357 00002084 0x9f46: 00002084
1358 000020a4 0x9f47: 000020a4
1359 00000036 0x9f48: 00000036
1360 00000000 0x9f49: 00000000
1361 00000000 0x9f4a: 00000000
1362 00000000 0x9f4b: 00000000
1363 00000000 0x9f4c: 00000000
1364 00000000 0x9f4d: 00000000
1365 00000000 0x9f4e: 00000000
1366 00000000 0x9f4f: 00000000
1367 00000000 0x9f50: 00000000
1368 00000000 0x9f51: 00000000
1369 00000000 0x9f52: 00000000
1370 00000000 0x9f53: 00000000
1371 00000000 0x9f54: 00000000
1372 00000000 0x9f55: 00000000
1373 00000000 0x9f56: 00000000
1374 00000000 0x9f57: 00000000
1375 00000000 0x9f58: 00000000
1376 00000000 0x9f59: 00000000
1377 00000000 0x9f5a: 00000000
1378 00000000 0x9f5b: 00000000
1379 00000000 0x9f5c: 00000000
1380 00000000 0x9f5d: 00000000
1381 00000000 0x9f5e: 00000000
1382 00000000 0x9f5f: 00000000
1383 00000000 0x9f60: 00000000
1384 00000000 0x9f61: 00000000
1385 00000000 0x9f62: 00000000
1386 00000000 0x9f63: 00000000
1387 00000000 0x9f64: 00000000
1388 00000000 0x9f65: 00000000
1389 00000000 0x9f66: 00000000
1390 00000000 0x9f67: 00000000
1391 00000000 0x9f68: 00000000
1392 00000000 0x9f69: 00000000
1393 00000000 0x9f6a: 00000000
1394 00000000 0x9f6b: 00000000
1395 00000000 0x9f6c: 00000000
1396 00000000 0x9f6d: 00000000
1397 00000000 0x9f6e: 00000000
1398 00000000 0x9f6f: 00000000
1399 00000000 0x9f70: 00000000
1400 00000000 0x9f71: 00000000
1401 00000000 0x9f72: 00000000
1402 00000000 0x9f73: 00000000
1403 00000000 0x9f74: 00000000
1404 00000000 0x9f75: 00000000
1405 00000000 0x9f76: 00000000
1406 00000000 0x9f77: 00000000
1407 00000000 0x9f78: 00000000
1408 00000000 0x9f79: 00000000
1409 00000000 0x9f7a: 00000000
1410 00000000 0x9f7b: 00000000
1411 00000000 0x9f7c: 00000000
1412 00000000 0x9f7d: 00000000
1413 00000000 0x9f7e: 00000000
1414 00000000 0x9f7f: 00000000
1415 00000000 0x9f80: 00000000
1416 00000000 0x9f81: 00000000
1417 00000000 0x9f82: 00000000
1418 00000000 0x9f83: 00000000
1419 00000000 0x9f84: 00000000
1420 00000000 0x9f85: 00000000
1421 00000000 0x9f86: 00000000
1422 00000000 0x9f87: 00000000
1423 00000000 0x9f88: 00000000
1424 00000000 0x9f89: 00000000
1425 00000000 0x9f8a: 00000000
1426 00000000 0x9f8b: 00000000
1427 00000000 0x9f8c: 00000000
1428 00000000 0x9f8d: 00000000
1429 00000000 0x9f8e: 00000000
1430 00000000 0x9f8f: 00000000
1431 00000000 0x9f90: 00000000
1432 00000000 0x9f91: 00000000
1433 00000000 0x9f92: 00000000
1434 00000000 0x9f93: 00000000
1435 00000000 0x9f94: 00000000
1436 00000000 0x9f95: 00000000
1437 00000000 0x9f96: 00000000
1438 00000000 0x9f97: 00000000
1439 00000000 0x9f98: 00000000
1440 00000000 0x9f99: 00000000
1441 00000000 0x9f9a: 00000000
1442 00000000 0x9f9b: 00000000
1443 00000000 0x9f9c: 00000000
1444 00000000 0x9f9d: 00000000
1445 00000000 0x9f9e: 00000000
1446 00000000 0x9f9f: 00000000
1447 00000000 0x9fa0: 00000000
1448 00000000 0x9fa1: 00000000
1449 00000000 0x9fa2: 00000000
1450 00000000 0x9fa3: 00000000
1451 00000000 0x9fa4: 00000000
1452 00000000 0x9fa5: 00000000
1453 00000000 0x9fa6: 00000000
1454 00000000 0x9fa7: 00000000
1455 00000000 0x9fa8: 00000000
1456 00000000 0x9fa9: 00000000
1457 00000000 0x9faa: 00000000
1458 00000000 0x9fab: 00000000
1459 00000000 0x9fac: 00000000
1460 00000000 0x9fad: 00000000
1461 00000000 0x9fae: 00000000
1462 00000000 0x9faf: 00000000
1463 00000000 0x9fb0: 00000000
1464 00000000 0x9fb1: 00000000
1465 00000000 0x9fb2: 00000000
1466 00000000 0x9fb3: 00000000
1467 00000000 0x9fb4: 00000000
1468 00000000 0x9fb5: 00000000
1469 00000000 0x9fb6: 00000000
1470 00000000 0x9fb7: 00000000
1471 00000000 0x9fb8: 00000000
1472 00000000 0x9fb9: 00000000
1473 00000000 0x9fba: 00000000
1474 00000000 0x9fbb: 00000000
1475 00000000 0x9fbc: 00000000
1476 00000000 0x9fbd: 00000000
1477 00000000 0x9fbe: 00000000
1478 00000000 0x9fbf: 00000000
1479 00000000 0x9fc0: 00000000
1480 00000000 0x9fc1: 00000000
1481 00000000 0x9fc2: 00000000
1482 00000000 0x9fc3: 00000000
1483 00000000 0x9fc4: 00000000
1484 00000000 0x9fc5: 00000000
1485 00000000 0x9fc6: 00000000
1486 00000000 0x9fc7: 00000000
1487 00000000 0x9fc8: 00000000
1488 00000000 0x9fc9: 00000000
1489 00000000 0x9fca: 00000000
1490 00000000 0x9fcb: 00000000
1491 00000000 0x9fcc: 00000000
1492 00000000 0x9fcd: 00000000
1493 00000000 0x9fce: 00000000
1494 00000000 0x9fcf: 00000000
1495 00000000 0x9fd0: 00000000
1496 00000000 0x9fd1: 00000000
1497 00000000 0x9fd2: 00000000
1498 00000000 0x9fd3: 00000000
1499 00000000 0x9fd4: 00000000
1500 00000000 0x9fd5: 00000000
1501 00000000 0x9fd6: 00000000
1502 00000000 0x9fd7: 00000000
1503 00000000 0x9fd8: 00000000
1504 00000000 0x9fd9: 00000000
1505 00000000 0x9fda: 00000000
1506 00000000 0x9fdb: 00000000
1507 00000000 0x9fdc: 00000000
1508 00000000 0x9fdd: 00000000
1509 00000000 0x9fde: 00000000
1510 00000000 0x9fdf: 00000000
1511 00000000 0x9fe0: 00000000
1512 00000000 0x9fe1: 00000000
1513 00000000 0x9fe2: 00000000
1514 00000000 0x9fe3: 00000000
1515 00000000 0x9fe4: 00000000
1516 00000000 0x9fe5: 00000000
1517 00000000 0x9fe6: 00000000
1518 00000000 0x9fe7: 00000000
1519 00000000 0x9fe8: 00000000
1520 00000000 0x9fe9: 00000000
1521 00000000 0x9fea: 00000000
1522 00000000 0x9feb: 00000000
1523 00000000 0x9fec: 00000000
1524 00000000 0x9fed: 00000000
1525 00000000 0x9fee: 00000000
1526 00000000 0x9fef: 00000000
1527 00000000 0x9ff0: 00000000
1528 00000000 0x9ff1: 00000000
1529 00000000 0x9ff2: 00000000
1530 00000000 0x9ff3: 00000000
1531 00000000 0x9ff4: 00000000
1532 00000000 0x9ff5: 00000000
1533 00000000 0x9ff6: 00000000
1534 00000000 0x9ff7: 00000000
1535 00000000 0x9ff8: 00000000
1536 00000000 0x9ff9: 00000000
1537 00000000 0x9ffa: 00000000
1538 00000000 0x9ffb: 00000000
1539 00000000 0x9ffc: 00000000
1540 00000000 0x9ffd: 00000000
1541 00000000 0x9ffe: 00000000
1542 00000000 0x9fff: 00000000
1543 00000000 0xa600: 00000000
1544 00000001 VFD_ADDR_MODE_CNTL: ADDR_64B
1545 00000000 0xa603: 00000000
1546 00000000 0xa60a: 00000000
1547 00000000 VFD_PERFCTR_VFD_SEL_0: 0
1548 00000000 VFD_PERFCTR_VFD_SEL_1: 0
1549 00000000 VFD_PERFCTR_VFD_SEL_2: 0
1550 00000000 VFD_PERFCTR_VFD_SEL_3: 0
1551 00000000 VFD_PERFCTR_VFD_SEL_4: 0
1552 00000000 VFD_PERFCTR_VFD_SEL_5: 0
1553 00000000 VFD_PERFCTR_VFD_SEL_6: 0
1554 00000000 VFD_PERFCTR_VFD_SEL_7: 0
1555 00000000 0xa630: 00000000
1556 00100000 RB_UNKNOWN_8E04: 0x100000
1557 00000001 RB_ADDR_MODE_CNTL: ADDR_64B
1558 00000000 RB_CCU_CNTL: { OFFSET = 0 }
1559 00000004 RB_NC_MODE_CNTL: { LOWER_BIT = 2 | UPPER_BIT = 0 }
1560 00000000 RB_PERFCTR_RB_SEL_0: 0
1561 00000000 RB_PERFCTR_RB_SEL_1: 0
1562 00000000 RB_PERFCTR_RB_SEL_2: 0
1563 00000000 RB_PERFCTR_RB_SEL_3: 0
1564 00000000 RB_PERFCTR_RB_SEL_4: 0
1565 00000000 RB_PERFCTR_RB_SEL_5: 0
1566 00000000 RB_PERFCTR_RB_SEL_6: 0
1567 00000000 RB_PERFCTR_RB_SEL_7: 0
1568 00000000 RB_PERFCTR_CCU_SEL_0: 0
1569 00000000 RB_PERFCTR_CCU_SEL_1: 0
1570 00000000 RB_PERFCTR_CCU_SEL_2: 0
1571 00000000 RB_PERFCTR_CCU_SEL_3: 0
1572 00000000 RB_PERFCTR_CCU_SEL_4: 0
1573 00000000 0x8e20: 00000000
1574 00000000 0x8e21: 00000000
1575 00000000 0x8e22: 00000000
1576 00000000 0x8e23: 00000000
1577 00000000 0x8e24: 00000000
1578 00000000 0x8e25: 00000000
1579 00000000 RB_UNKNOWN_8E28: 0
1580 00000000 RB_PERFCTR_CMP_SEL_0: 0
1581 00000000 RB_PERFCTR_CMP_SEL_1: 0
1582 00000000 RB_PERFCTR_CMP_SEL_2: 0
1583 00000000 RB_PERFCTR_CMP_SEL_3: 0
1584 00000000 RB_CONTEXT_SWITCH_GMEM_SAVE_RESTORE: FALSE
1585 0006d000 RB_UNKNOWN_8E51: 0x6d000
1586 00000000 0x8e52: 00000000
1587 00000000 RB_UNKNOWN_8E01: 0
1588 00000300 0x8e0c: 00000300
1589 00000000 RB_RB_SUB_BLOCK_SEL_CNTL_HOST: 0
1590 00000000 0x8e3c: 00000000
1591 00000009 RB_RB_SUB_BLOCK_SEL_CNTL_CD: 0x9
1592 00000009 0x8e3e: 00000009
1593 00000000 0x8e40: 00000000
1594 00000000 0x8e41: 00000000
1595 00000000 0x8e42: 00000000
1596 00000000 0x8e43: 00000000
1597 00000000 0x8e53: 00000000
1598 00000000 0x8e54: 00000000
1599 00000000 0x8e55: 00000000
1600 00000000 0x8e56: 00000000
1601 00000000 0x8e5b: 00000000
1602 00000000 0x8e5c: 00000000
1603 00000000 0x8e5d: 00000000
1604 00000000 0x8e5e: 00000000
1605 00000000 0x8e5f: 00000000
1606 00000000 0x8e70: 00000000
1607 00000000 0x8e71: 00000000
1608 00000000 0x8e72: 00000000
1609 00000000 0x8e73: 00000000
1610 00000000 0x8e74: 00000000
1611 00000000 0x8e75: 00000000
1612 00000000 0x8e76: 00000000
1613 00000000 0x8e77: 00000000
1614 00000000 HLSQ_UNKNOWN_BE00: 0
1615 00000001 HLSQ_UNKNOWN_BE01: 0x1
1616 00000004 HLSQ_UNKNOWN_BE04: 0x4
1617 00000000 HLSQ_ADDR_MODE_CNTL: ADDR_32B
1618 deadbeef 0xbe08: deadbeef
1619 deadbeef 0xbe09: deadbeef
1620 00000000 HLSQ_PERFCTR_HLSQ_SEL_0: 0
1621 00000000 HLSQ_PERFCTR_HLSQ_SEL_1: 0
1622 00000000 HLSQ_PERFCTR_HLSQ_SEL_2: 0
1623 00000000 HLSQ_PERFCTR_HLSQ_SEL_3: 0
1624 00000000 HLSQ_PERFCTR_HLSQ_SEL_4: 0
1625 00000000 HLSQ_PERFCTR_HLSQ_SEL_5: 0
1626 00000000 0xbe20: 00000000
1627 00000000 0xbe21: 00000000
1628 00000000 0xbe22: 00000000
1629 00000000 0xbe23: 00000000
1630 00000000 SP_UNKNOWN_AE00: 0
1631 00000001 SP_ADDR_MODE_CNTL: ADDR_64B
1632 deadbeef SP_NC_MODE_CNTL: 0xdeadbeef
1633 deadbeef SP_UNKNOWN_AE03: 0xdeadbeef
1634 00000004 SP_UNKNOWN_AE04: 0x4
1635 deadbeef 0xae0c: deadbeef
1636 deadbeef SP_UNKNOWN_AE0F: 0xdeadbeef
1637 00000000 SP_PERFCTR_SP_SEL_0: 0
1638 00000000 SP_PERFCTR_SP_SEL_1: 0
1639 00000000 SP_PERFCTR_SP_SEL_2: 0
1640 00000000 SP_PERFCTR_SP_SEL_3: 0
1641 00000000 SP_PERFCTR_SP_SEL_4: 0
1642 00000000 SP_PERFCTR_SP_SEL_5: 0
1643 00000000 SP_PERFCTR_SP_SEL_6: 0
1644 00000000 SP_PERFCTR_SP_SEL_7: 0
1645 00000000 SP_PERFCTR_SP_SEL_8: 0
1646 00000000 SP_PERFCTR_SP_SEL_9: 0
1647 00000000 SP_PERFCTR_SP_SEL_10: 0
1648 00000000 SP_PERFCTR_SP_SEL_11: 0
1649 deadbeef SP_PERFCTR_SP_SEL_12: 0xdeadbeef
1650 deadbeef SP_PERFCTR_SP_SEL_13: 0xdeadbeef
1651 deadbeef SP_PERFCTR_SP_SEL_14: 0xdeadbeef
1652 deadbeef SP_PERFCTR_SP_SEL_15: 0xdeadbeef
1653 00000000 SP_PERFCTR_SP_SEL_16: 0
1654 00000000 SP_PERFCTR_SP_SEL_17: 0
1655 00000000 SP_PERFCTR_SP_SEL_18: 0
1656 00000000 SP_PERFCTR_SP_SEL_19: 0
1657 deadbeef SP_PERFCTR_SP_SEL_20: 0xdeadbeef
1658 deadbeef SP_PERFCTR_SP_SEL_21: 0xdeadbeef
1659 deadbeef SP_PERFCTR_SP_SEL_22: 0xdeadbeef
1660 deadbeef SP_PERFCTR_SP_SEL_23: 0xdeadbeef
1661 deadbeef 0xae28: deadbeef
1662 deadbeef 0xae29: deadbeef
1663 deadbeef 0xae2a: deadbeef
1664 deadbeef 0xae2b: deadbeef
1665 deadbeef 0xae30: deadbeef
1666 deadbeef 0xae31: deadbeef
1667 deadbeef 0xae32: deadbeef
1668 deadbeef 0xae35: deadbeef
1669 deadbeef 0xae3a: deadbeef
1670 deadbeef 0xae3b: deadbeef
1671 deadbeef 0xae3c: deadbeef
1672 deadbeef 0xae3d: deadbeef
1673 deadbeef 0xae3e: deadbeef
1674 deadbeef 0xae3f: deadbeef
1675 deadbeef 0xae50: deadbeef
1676 deadbeef 0xae51: deadbeef
1677 deadbeef 0xae52: deadbeef
1678 00000000 SP_UNKNOWN_B600: 0
1679 00000001 TPL1_ADDR_MODE_CNTL: ADDR_64B
1680 00000004 TPL1_NC_MODE_CNTL: 0x4
1681 00000000 SP_UNKNOWN_B605: 0
1682 00000000 TPL1_PERFCTR_TP_SEL_0: 0
1683 00000000 TPL1_PERFCTR_TP_SEL_1: 0
1684 00000000 TPL1_PERFCTR_TP_SEL_2: 0
1685 00000000 TPL1_PERFCTR_TP_SEL_3: 0
1686 00000000 TPL1_PERFCTR_TP_SEL_4: 0
1687 00000000 TPL1_PERFCTR_TP_SEL_5: 0
1688 00000000 TPL1_PERFCTR_TP_SEL_6: 0
1689 00000000 TPL1_PERFCTR_TP_SEL_7: 0
1690 00000000 TPL1_PERFCTR_TP_SEL_8: 0
1691 00000000 TPL1_PERFCTR_TP_SEL_9: 0
1692 00000000 TPL1_PERFCTR_TP_SEL_10: 0
1693 00000000 TPL1_PERFCTR_TP_SEL_11: 0
1694 00000000 0xb620: 00000000
1695 00000000 0xb621: 00000000
1696 00000000 0xb622: 00000000
1697 00000000 0xb623: 00000000
1698 got rb_base=1000000001000
1699 IB1: 100000000, 6
1700 IB2: 0, 0
1701 found ring!
1702 got cmdszdw=27
1703 opcode: CP_REG_TO_MEM (3e) (4 dwords)
1704 { REG = 0x1f888 | CNT = 2 | 64B }
1705 { DEST = 0x38 }
1706 { DEST_HI = 0x10000 }
1707 gpuaddr:0001000000000038
1708 0000000000000000: 0000: 703e8003 4009f888 00000038 00010000
1709 opcode: CP_EVENT_WRITE (46) (2 dwords)
1710 { EVENT = PC_CCU_INVALIDATE_DEPTH }
1711 event PC_CCU_INVALIDATE_DEPTH
1712 0000000000000000: 0000: 70460001 00000018
1713 opcode: CP_EVENT_WRITE (46) (2 dwords)
1714 { EVENT = PC_CCU_INVALIDATE_COLOR }
1715 event PC_CCU_INVALIDATE_COLOR
1716 0000000000000000: 0000: 70460001 00000019
1717 opcode: CP_INDIRECT_BUFFER (3f) (4 dwords)
1718 opcode: CP_SET_MARKER (65) (2 dwords)
1719 { MODE = RM6_BYPASS | MARKER = RM6_BYPASS }
1720 0000000100000000: 0000: 70e50001 00000001
1721 opcode: CP_NOP (10) (1 dwords)
1722 0000000100000008: 0000: 70108000
1723 opcode: CP_NOP (10) (1 dwords)
1724 000000010000000c: 0000: 70108000
1725 opcode: CP_NOP (10) (1 dwords)
1726 0000000100000010: 0000: 70108000
1727 opcode: CP_NOP (10) (1 dwords)
1728 0000000100000014: 0000: 70108000
1729 bad type! deadd00d
1730 opcode: CP_NOP (10) (1 dwords)
1731 0000000100000020: 0000: 70108000
1732 opcode: CP_NOP (10) (1 dwords)
1733 0000000100000024: 0000: 70108000
1734 opcode: CP_NOP (10) (1 dwords)
1735 0000000100000028: 0000: 70108000
1736 opcode: CP_NOP (10) (1 dwords)
1737 000000010000002c: 0000: 70108000
1738 0000000000000000: 0000: 70bf8003 00000000 00000001 0000000c
1739 opcode: CP_REG_TO_MEM (3e) (4 dwords)
1740 { REG = 0x400 | CNT = 2 | 64B }
1741 { DEST = 0x30 }
1742 { DEST_HI = 0x10000 }
1743 gpuaddr:0001000000000030
1744 0000000000000000: 0000: 703e8003 40080400 00000030 00010000
1745 opcode: CP_REG_TO_MEM (3e) (4 dwords)
1746 { REG = 0x1f888 | CNT = 2 | 64B }
1747 { DEST = 0x40 }
1748 { DEST_HI = 0x10000 }
1749 gpuaddr:0001000000000040
1750 0000000000000000: 0000: 703e8003 4009f888 00000040 00010000
1751 opcode: CP_EVENT_WRITE (46) (5 dwords)
1752 { EVENT = CACHE_FLUSH_TS | IRQ }
1753 { ADDR_0_LO = 0x4 }
1754 { ADDR_0_HI = 0x10000 }
1755 { 3 = 0x1 }
1756 event (null)
1757 0000000000000000: 0000: 70460004 80000004 00000004 00010000 00000001
1758 registers-gmu:
1759 00000001 GMU_ICACHE_CONFIG: 0x1
1760 00000001 GMU_DCACHE_CONFIG: 0x1
1761 00000000 0x4c02: 00000000
1762 00000000 0x4c03: 00000000
1763 00000000 0x4c04: 00000000
1764 00000000 0x4c05: 00000000
1765 00000000 0x4c06: 00000000
1766 00000000 0x4c07: 00000000
1767 00000000 0x4c10: 00000000
1768 00000000 0x4c11: 00000000
1769 00000000 0x4c12: 00000000
1770 00000004 0x4d00: 00000004
1771 00000000 0x4d07: 00000000
1772 00000000 0x4d08: 00000000
1773 00000000 0x4d09: 00000000
1774 00000000 0x4d0a: 00000000
1775 00000000 GMU_CM3_SYSRESET: 0
1776 00000002 GMU_CM3_BOOT_CONFIG: 0x2
1777 00000000 0x5002: 00000000
1778 ffffffff 0x5003: ffffffff
1779 ffffffff 0x5004: ffffffff
1780 0003c07f 0x5007: 0003c07f
1781 00000000 0x5008: 00000000
1782 00001404 0x500b: 00001404
1783 00000000 0x500c: 00000000
1784 0003bfe0 0x500f: 0003bfe0
1785 00000000 0x5010: 00000000
1786 00000000 0x5011: 00000000
1787 00000000 0x5012: 00000000
1788 00000003 0x5013: 00000003
1789 00000000 0x5014: 00000000
1790 001f0003 0x5015: 001f0003
1791 00927c00 0x5016: 00927c00
1792 01b77400 0x5017: 01b77400
1793 00000000 0x5018: 00000000
1794 00000000 0x5019: 00000000
1795 00000001 GMU_CM3_FW_BUSY: 0x1
1796 00000000 0x501b: 00000000
1797 babeface GMU_CM3_FW_INIT_RESULT: 0xbabeface
1798 00000000 0x5024: 00000000
1799 00000000 0x5025: 00000000
1800 00000000 0x5026: 00000000
1801 80ffffff 0x5027: 80ffffff
1802 00000000 0x5028: 00000000
1803 00000000 0x5029: 00000000
1804 00000000 0x502a: 00000000
1805 00004052 GMU_CM3_CFG: 0x4052
1806 01000148 0x502e: 01000148
1807 00000000 0x502f: 00000000
1808 0002a000 0x5030: 0002a000
1809 00000001 GMU_CX_GMU_POWER_COUNTER_ENABLE: 0x1
1810 00000020 GMU_CX_GMU_POWER_COUNTER_SELECT_0: 0x20
1811 00000000 GMU_CX_GMU_POWER_COUNTER_SELECT_1: 0
1812 00000000 0x5043: 00000000
1813 0014da28 GMU_CX_GMU_POWER_COUNTER_XOCLK_0_L: 0x14da28
1814 00000000 GMU_CX_GMU_POWER_COUNTER_XOCLK_0_H: 0
1815 002239e6 GMU_CX_GMU_POWER_COUNTER_XOCLK_1_L: 0x2239e6
1816 00000000 GMU_CX_GMU_POWER_COUNTER_XOCLK_1_H: 0
1817 002239f8 GMU_CX_GMU_POWER_COUNTER_XOCLK_2_L: 0x2239f8
1818 00000000 GMU_CX_GMU_POWER_COUNTER_XOCLK_2_H: 0
1819 00223a0a GMU_CX_GMU_POWER_COUNTER_XOCLK_3_L: 0x223a0a
1820 00000000 GMU_CX_GMU_POWER_COUNTER_XOCLK_3_H: 0
1821 00223a1d GMU_CX_GMU_POWER_COUNTER_XOCLK_4_L: 0x223a1d
1822 00000000 GMU_CX_GMU_POWER_COUNTER_XOCLK_4_H: 0
1823 00223a2f GMU_CX_GMU_POWER_COUNTER_XOCLK_5_L: 0x223a2f
1824 00000000 GMU_CX_GMU_POWER_COUNTER_XOCLK_5_H: 0
1825 00000000 0x5050: 00000000
1826 00000000 0x5051: 00000000
1827 00000000 0x5052: 00000000
1828 00000000 0x5053: 00000000
1829 00000000 0x5087: 00000000
1830 0022e30b GMU_ALWAYS_ON_COUNTER_L: 0x22e30b
1831 00000000 GMU_ALWAYS_ON_COUNTER_H: 0
1832 00000000 0x50a0: 00000000
1833 00000000 0x50a1: 00000000
1834 00000000 0x50a2: 00000000
1835 00000000 0x50a4: 00000000
1836 00000000 0x50a5: 00000000
1837 00000000 0x50a6: 00000000
1838 00000000 0x50a7: 00000000
1839 00000000 0x50a8: 00000000
1840 00000000 0x50a9: 00000000
1841 00000000 0x50aa: 00000000
1842 00000000 0x50ab: 00000000
1843 00000000 0x50ac: 00000000
1844 00000000 0x50ad: 00000000
1845 00000000 0x50ae: 00000000
1846 00000000 0x50af: 00000000
1847 09c40400 GMU_PWR_COL_INTER_FRAME_CTRL: { NUM_PASS_SKIPS = 0x1 | MIN_PASS_LENGTH = 0x2710 }
1848 000a2710 GMU_PWR_COL_INTER_FRAME_HYST: 0xa2710
1849 000a2710 GMU_PWR_COL_SPTPRAC_HYST: 0xa2710
1850 00000001 GMU_GMU_PWR_COL_KEEPALIVE: 0x1
1851 00000028 GMU_SPTPRAC_PWR_CLK_STATUS: { SPTPRAC_GDSC_POWER_ON | GMU_UP_POWER_STATE }
1852 000001f0 GMU_GPU_NAP_CTRL: { SID = 0x1f }
1853 00000f11 GMU_RPMH_CTRL: { RPMH_INTERFACE_ENABLE | LLC_VOTE_ENABLE | DDR_VOTE_ENABLE | MX_VOTE_ENABLE | CX_VOTE_ENABLE | GFX_VOTE_ENABLE }
1854 00000000 GMU_RPMH_HYST_CTRL: 0
1855 00000000 0x50ea: 00000000
1856 00000000 0x50eb: 00000000
1857 00000000 GPU_GMU_CX_GMU_RPMH_POWER_STATE: 0
1858 60009000 GPU_GMU_CX_GMU_PWR_COL_CP_MSG: 0x60009000
1859 00000000 GPU_GMU_CX_GMU_PWR_COL_CP_RESP: 0
1860 001f0000 0x5102: 001f0000
1861 e8765432 0x5103: e8765432
1862 00000000 0x5140: 00000000
1863 00000000 0x5142: 00000000
1864 00000000 0x5143: 00000000
1865 00000000 0x5144: 00000000
1866 00000000 0x514c: 00000000
1867 00000000 GPU_GMU_CX_GMU_PWR_THRESHOLD: 0
1868 00000000 0x514f: 00000000
1869 0000ffff 0x5150: 0000ffff
1870 00000000 0x5151: 00000000
1871 00000000 0x5154: 00000000
1872 00000000 GMU_LLM_GLM_SLEEP_CTRL: 0
1873 00000002 GMU_LLM_GLM_SLEEP_STATUS: 0x2
1874 00000000 GMU_ISENSE_CTRL: 0
1875 00000008 0x5162: 00000008
1876 00000000 0x5164: 00000000
1877 00000005 0x5165: 00000005
1878 00000001 GMU_HFI_CTRL_STATUS: 0x1
1879 00000000 GMU_HFI_VERSION_INFO: 0
1880 06030200 GMU_HFI_SFR_ADDR: 0x6030200
1881 00000000 GMU_HFI_MMAP_ADDR: 0
1882 00000001 GMU_HFI_QTBL_INFO: 0x1
1883 60005000 GMU_HFI_QTBL_ADDR: 0x60005000
1884 00000001 GMU_HFI_CTRL_INIT: 0x1
1885 00000000 GMU_GMU2HOST_INTR_SET: 0
1886 00000000 GMU_GMU2HOST_INTR_CLR: 0
1887 00000000 GMU_GMU2HOST_INTR_INFO: { 0 }
1888 ff7fffff GMU_GMU2HOST_INTR_MASK: 0xff7fffff
1889 00000000 GMU_HOST2GMU_INTR_SET: 0
1890 00000000 GMU_HOST2GMU_INTR_CLR: 0
1891 00000000 GMU_HOST2GMU_INTR_RAW_INFO: 0
1892 00000001 GMU_HOST2GMU_INTR_EN_0: 0x1
1893 000000fe GMU_HOST2GMU_INTR_EN_1: 0xfe
1894 00ff0000 GMU_HOST2GMU_INTR_EN_2: 0xff0000
1895 ff000000 GMU_HOST2GMU_INTR_EN_3: 0xff000000
1896 00000000 GMU_HOST2GMU_INTR_INFO_0: 0
1897 00000000 GMU_HOST2GMU_INTR_INFO_1: 0
1898 00000000 GMU_HOST2GMU_INTR_INFO_2: 0
1899 00000000 GMU_HOST2GMU_INTR_INFO_3: 0
1900 10010000 0x51c0: 10010000
1901 babeface 0x51c5: babeface
1902 00000000 GMU_GENERAL_1: 0
1903 00000000 0x51c7: 00000000
1904 00000000 0x51c8: 00000000
1905 60005018 0x51c9: 60005018
1906 60005048 0x51ca: 60005048
1907 00000000 0x51cb: 00000000
1908 00000001 GMU_GENERAL_7: 0x1
1909 00000000 0x51e0: 00000000
1910 00000000 0x51e1: 00000000
1911 0000000a 0x51e2: 0000000a
1912 00000000 GMU_BOOT_KMD_LM_HANDSHAKE: 0
1913 00000000 0x5200: 00000000
1914 00000000 0x5201: 00000000
1915 00000000 0x8c8c: 00000000
1916 00000000 0x8d01: 00000000
1917 00000013 0x8d02: 00000013
1918 0000000f 0x8f40: 0000000f
1919 00000000 0x8f41: 00000000
1920 00000000 0x8f42: 00000000
1921 00000007 0x8f44: 00000007
1922 00000000 0x8f45: 00000000
1923 00000001 0x8f46: 00000001
1924 00000000 0x8f47: 00000000
1925 00010108 0x8f4c: 00010108
1926 00050000 0x8f4d: 00050000
1927 40000000 0x8f4e: 40000000
1928 00000000 0x8f4f: 00000000
1929 00000000 0x8f50: 00000000
1930 00010108 0x8f51: 00010108
1931 0005005c 0x8f52: 0005005c
1932 40000000 0x8f53: 40000000
1933 00000000 0x8f54: 00000000
1934 00000000 0x8f55: 00000000
1935 00010108 0x8f56: 00010108
1936 0005000c 0x8f57: 0005000c
1937 40000000 0x8f58: 40000000
1938 00000000 0x8f59: 00000000
1939 00000000 0x8f5a: 00000000
1940 00010108 0x8f5b: 00010108
1941 00050000 0x8f5c: 00050000
1942 00000000 0x8f5d: 00000000
1943 00000000 0x8f5e: 00000000
1944 00000000 0x8f5f: 00000000
1945 00010108 0x8f60: 00010108
1946 00050000 0x8f61: 00050000
1947 00000000 0x8f62: 00000000
1948 00000000 0x8f63: 00000000
1949 00000000 0x8f64: 00000000
1950 00010108 0x8f65: 00010108
1951 00050000 0x8f66: 00050000
1952 00000000 0x8f67: 00000000
1953 00000000 0x8f68: 00000000
1954 00000000 0x8f69: 00000000
1955 00010108 0x8f6a: 00010108
1956 00050000 0x8f6b: 00050000
1957 00000000 0x8f6c: 00000000
1958 00000000 0x8f6d: 00000000
1959 00000000 0x8f6e: 00000000
1960 00010108 0x8f6f: 00010108
1961 00050000 0x8f70: 00050000
1962 00000000 0x8f71: 00000000
1963 00000000 0x8f72: 00000000
1964 00000000 0x8f73: 00000000
1965 00010108 0x8f74: 00010108
1966 00000000 0x8f75: 00000000
1967 00000000 0x8f76: 00000000
1968 00000000 0x8f77: 00000000
1969 00000000 0x8f78: 00000000
1970 00010108 0x8f79: 00010108
1971 00000000 0x8f7a: 00000000
1972 00000000 0x8f7b: 00000000
1973 00000000 0x8f7c: 00000000
1974 00000000 0x8f7d: 00000000
1975 00010108 0x8f7e: 00010108
1976 00000000 0x8f7f: 00000000
1977 00000000 0x8f80: 00000000
1978 00000000 0x8f81: 00000000
1979 00000000 0x8f82: 00000000
1980 00010108 0x8f83: 00010108
1981 00000000 0x8f84: 00000000
1982 00000000 0x8f85: 00000000
1983 00000000 0x8f86: 00000000
1984 00000000 0x8f87: 00000000
1985 00000005 0x8fec: 00000005
1986 00000000 0x8fed: 00000000
1987 00000001 0x8fee: 00000001
1988 00000000 0x8fef: 00000000
1989 00010108 0x8ff4: 00010108
1990 00050034 0x8ff5: 00050034
1991 40000000 0x8ff6: 40000000
1992 00000000 0x8ff7: 00000000
1993 00000000 0x8ff8: 00000000
1994 00010108 0x8ff9: 00010108
1995 0005007c 0x8ffa: 0005007c
1996 00000000 0x8ffb: 00000000
1997 00000000 0x8ffc: 00000000
1998 00000000 0x8ffd: 00000000
1999 00010108 0x8ffe: 00010108
2000 0005004c 0x8fff: 0005004c
2001 40000000 0x9000: 40000000
2002 00000000 0x9001: 00000000
2003 00000000 0x9002: 00000000
2004 00010108 0x9003: 00010108
2005 00050000 0x9004: 00050000
2006 00000000 0x9005: 00000000
2007 00000000 0x9006: 00000000
2008 00000000 0x9007: 00000000
2009 00010108 0x9008: 00010108
2010 00050000 0x9009: 00050000
2011 00000000 0x900a: 00000000
2012 00000000 0x900b: 00000000
2013 00000000 0x900c: 00000000
2014 00010108 0x900d: 00010108
2015 00050000 0x900e: 00050000
2016 00000000 0x900f: 00000000
2017 00000000 0x9010: 00000000
2018 00000000 0x9011: 00000000
2019 00010108 0x9012: 00010108
2020 00030050 0x9013: 00030050
2021 00000000 0x9014: 00000000
2022 00000000 0x9015: 00000000
2023 00000000 0x9016: 00000000
2024 00010108 0x9017: 00010108
2025 00030010 0x9018: 00030010
2026 00000000 0x9019: 00000000
2027 00000000 0x901a: 00000000
2028 00000000 0x901b: 00000000
2029 00010108 0x901c: 00010108
2030 00030000 0x901d: 00030000
2031 00000000 0x901e: 00000000
2032 00000000 0x901f: 00000000
2033 00000000 0x9020: 00000000
2034 00010108 0x9021: 00010108
2035 00000000 0x9022: 00000000
2036 00000000 0x9023: 00000000
2037 00000000 0x9024: 00000000
2038 00000000 0x9025: 00000000
2039 00010108 0x9026: 00010108
2040 00000000 0x9027: 00000000
2041 00000000 0x9028: 00000000
2042 00000000 0x9029: 00000000
2043 00000000 0x902a: 00000000
2044 00010108 0x902b: 00010108
2045 00000000 0x902c: 00000000
2046 00000000 0x902d: 00000000
2047 00000000 0x902e: 00000000
2048 00000000 0x902f: 00000000
2049 00000007 0x9094: 00000007
2050 00000000 0x9095: 00000000
2051 00000001 0x9096: 00000001
2052 00000000 0x9097: 00000000
2053 00010108 0x909c: 00010108
2054 00050000 0x909d: 00050000
2055 00000000 0x909e: 00000000
2056 00000000 0x909f: 00000000
2057 00000000 0x90a0: 00000000
2058 00010108 0x90a1: 00010108
2059 0005005c 0x90a2: 0005005c
2060 00000000 0x90a3: 00000000
2061 00000000 0x90a4: 00000000
2062 00000000 0x90a5: 00000000
2063 00010108 0x90a6: 00010108
2064 0005000c 0x90a7: 0005000c
2065 00000000 0x90a8: 00000000
2066 00000000 0x90a9: 00000000
2067 00000000 0x90aa: 00000000
2068 00010108 0x90ab: 00010108
2069 00050000 0x90ac: 00050000
2070 00000000 0x90ad: 00000000
2071 00000000 0x90ae: 00000000
2072 00000000 0x90af: 00000000
2073 00010108 0x90b0: 00010108
2074 00050000 0x90b1: 00050000
2075 00000000 0x90b2: 00000000
2076 00000000 0x90b3: 00000000
2077 00000000 0x90b4: 00000000
2078 00010108 0x90b5: 00010108
2079 00050000 0x90b6: 00050000
2080 00000000 0x90b7: 00000000
2081 00000000 0x90b8: 00000000
2082 00000000 0x90b9: 00000000
2083 00010108 0x90ba: 00010108
2084 00050000 0x90bb: 00050000
2085 00000000 0x90bc: 00000000
2086 00000000 0x90bd: 00000000
2087 00000000 0x90be: 00000000
2088 00010108 0x90bf: 00010108
2089 00050000 0x90c0: 00050000
2090 00000000 0x90c1: 00000000
2091 00000000 0x90c2: 00000000
2092 00000000 0x90c3: 00000000
2093 00010108 0x90c4: 00010108
2094 00000000 0x90c5: 00000000
2095 00000000 0x90c6: 00000000
2096 00000000 0x90c7: 00000000
2097 00000000 0x90c8: 00000000
2098 00010108 0x90c9: 00010108
2099 00000000 0x90ca: 00000000
2100 00000000 0x90cb: 00000000
2101 00000000 0x90cc: 00000000
2102 00000000 0x90cd: 00000000
2103 00010108 0x90ce: 00010108
2104 00000000 0x90cf: 00000000
2105 00000000 0x90d0: 00000000
2106 00000000 0x90d1: 00000000
2107 00000000 0x90d2: 00000000
2108 00010108 0x90d3: 00010108
2109 00000000 0x90d4: 00000000
2110 00000000 0x90d5: 00000000
2111 00000000 0x90d6: 00000000
2112 00000000 0x90d7: 00000000
2113 00000005 0x913c: 00000005
2114 01010000 0x913d: 01010000
2115 00000001 0x913e: 00000001
2116 000000c0 0x913f: 000000c0
2117 00010108 0x9144: 00010108
2118 00050034 0x9145: 00050034
2119 60000001 0x9146: 60000001
2120 00000000 0x9147: 00000000
2121 00000000 0x9148: 00000000
2122 00010108 0x9149: 00010108
2123 0005007c 0x914a: 0005007c
2124 20000001 0x914b: 20000001
2125 00000000 0x914c: 00000000
2126 00000000 0x914d: 00000000
2127 00010108 0x914e: 00010108
2128 0005004c 0x914f: 0005004c
2129 60000001 0x9150: 60000001
2130 00000000 0x9151: 00000000
2131 00000000 0x9152: 00000000
2132 00010108 0x9153: 00010108
2133 00050000 0x9154: 00050000
2134 00000000 0x9155: 00000000
2135 00000000 0x9156: 00000000
2136 00000000 0x9157: 00000000
2137 00010108 0x9158: 00010108
2138 00050000 0x9159: 00050000
2139 00000000 0x915a: 00000000
2140 00000000 0x915b: 00000000
2141 00000000 0x915c: 00000000
2142 00010108 0x915d: 00010108
2143 00050000 0x915e: 00050000
2144 00000000 0x915f: 00000000
2145 00000000 0x9160: 00000000
2146 00000000 0x9161: 00000000
2147 00010108 0x9162: 00010108
2148 00030050 0x9163: 00030050
2149 00000009 0x9164: 00000009
2150 00010101 0x9165: 00010101
2151 00000000 0x9166: 00000000
2152 00010108 0x9167: 00010108
2153 00030010 0x9168: 00030010
2154 00000004 0x9169: 00000004
2155 00010101 0x916a: 00010101
2156 00000000 0x916b: 00000000
2157 00010108 0x916c: 00010108
2158 00030000 0x916d: 00030000
2159 00000004 0x916e: 00000004
2160 00000000 0x916f: 00000000
2161 00000000 0x9170: 00000000
2162 00010108 0x9171: 00010108
2163 00000000 0x9172: 00000000
2164 00000000 0x9173: 00000000
2165 00000000 0x9174: 00000000
2166 00000000 0x9175: 00000000
2167 00010108 0x9176: 00010108
2168 00000000 0x9177: 00000000
2169 00000000 0x9178: 00000000
2170 00000000 0x9179: 00000000
2171 00000000 0x917a: 00000000
2172 00010108 0x917b: 00010108
2173 00000000 0x917c: 00000000
2174 00000000 0x917d: 00000000
2175 00000000 0x917e: 00000000
2176 00000000 0x917f: 00000000
2177 00000000 0x9300: 00000000
2178 00000000 0x9301: 00000000
2179 00000000 0x9302: 00000000
2180 00000000 GMU_AO_INTERRUPT_EN: 0
2181 00000000 GMU_AO_HOST_INTERRUPT_CLR: 0
2182 00000000 GMU_AO_HOST_INTERRUPT_STATUS: { 0 }
2183 00000016 GMU_AO_HOST_INTERRUPT_MASK: 0x16
2184 00000000 GMU_RSCC_CONTROL_REQ: 0
2185 00000000 GMU_RSCC_CONTROL_ACK: 0
2186 00000000 GPU_GMU_AO_GMU_CGC_MODE_CNTL: 0
2187 00000000 GPU_GMU_AO_GMU_CGC_DELAY_CNTL: 0
2188 00000000 GPU_GMU_AO_GMU_CGC_HYST_CNTL: 0
2189 71800004 GPU_GMU_AO_GPU_CX_BUSY_STATUS: { GPUBUSYIGNAHB | 0x71000004 }
2190 00000092 GPU_GMU_AO_GPU_CX_BUSY_STATUS2: 0x92
2191 ff000000 GPU_GMU_AO_GPU_CX_BUSY_MASK: 0xff000000
2192 00000000 0x930f: 00000000
2193 00000000 GMU_AO_AHB_FENCE_CTRL: 0
2194 802800a0 GMU_AHB_FENCE_RANGE_0: 0x802800a0
2195 00000000 GMU_AHB_FENCE_RANGE_1: 0
2196 00000000 GMU_AHB_FENCE_STATUS: 0
2197 00000000 0x9314: 00000000
2198 00000001 GMU_RBBM_INT_UNMASKED_STATUS: 0x1
2199 00000000 GMU_AO_SPARE_CNTL: 0
2200 00000000 0x9400: 00000000
2201 d1000005 0x9800: d1000005
2202 00000049 0x9801: 00000049
2203 00000000 0x9802: 00000000
2204 00000007 0x9803: 00000007
2205 00004804 0x9804: 00004804
2206 20485699 0x9805: 20485699
2207 00002067 0x9806: 00002067
2208 40000000 0x9807: 40000000
2209 00000000 0x9808: 00000000
2210 00000000 0x9809: 00000000
2211 00000000 0x980a: 00000000
2212 00000001 0x980b: 00000001
2213 00000007 0x980c: 00000007
2214 00000000 0x980d: 00000000
2215 0000f555 0x980e: 0000f555
2216 00000000 0x980f: 00000000
2217 00000000 0x9810: 00000000
2218 00000000 0x9811: 00000000
2219 00000000 0x9812: 00000000
2220 00800004 0x9840: 00800004
2221 0000001a 0x9841: 0000001a
2222 00000000 0x9842: 00000000
2223 00000001 0x9843: 00000001
2224 00004805 0x9844: 00004805
2225 20485699 0x9845: 20485699
2226 00002067 0x9846: 00002067
2227 40000000 0x9847: 40000000
2228 00000000 0x9848: 00000000
2229 00000000 0x9849: 00000000
2230 00000000 0x984a: 00000000
2231 00000000 0x984b: 00000000
2232 00000001 0x984c: 00000001
2233 00000000 0x984d: 00000000
2234 00000aab 0x984e: 00000aab
2235 00000000 0x984f: 00000000
2236 00000000 0x9850: 00000000
2237 00000000 0x9851: 00000000
2238 00000000 0x9852: 00000000
2239 00000000 0x9c00: 00000000
2240 00000000 0x9c01: 00000000
2241 00000000 0x9c02: 00000000
2242 f8222800 GPU_CC_GX_GDSCR: 0xf8222800
2243 00070400 0x9c04: 00070400
2244 00000002 0x9c07: 00000002
2245 00000101 0x9c08: 00000101
2246 00800000 0x9c09: 00800000
2247 00015011 0x9c0a: 00015011
2248 00000000 0x9c0b: 00000000
2249 00004221 0x9c15: 00004221
2250 80000000 0x9c16: 80000000
2251 80000000 0x9c17: 80000000
2252 00000001 0x9c18: 00000001
2253 80000000 0x9c19: 80000000
2254 00000000 0x9c1a: 00000000
2255 f8282000 0x9c1b: f8282000
2256 00070400 0x9c1c: 00070400
2257 00000001 0x9c1e: 00000001
2258 00000000 0x9c1f: 00000000
2259 80000000 0x9c20: 80000000
2260 80000000 0x9c21: 80000000
2261 80000000 0x9c22: 80000000
2262 00000000 0x9c23: 00000000
2263 00000000 0x9c24: 00000000
2264 80000000 0x9c25: 80000000
2265 00004ff1 0x9c26: 00004ff1
2266 00000001 0x9c27: 00000001
2267 00000000 0x9c28: 00000000
2268 00000000 0x9c29: 00000000
2269 00000000 0x9c2a: 00000000
2270 00000000 0x9c2b: 00000000
2271 00000000 0x9c2c: 00000000
2272 00000000 0x9c2d: 00000000
2273 00000001 0x9c3c: 00000001
2274 00000000 0x9c3d: 00000000
2275 00000001 0x9c3f: 00000001
2276 80000000 0x9c40: 80000000
2277 00000001 0x9c42: 00000001
2278 80000000 0x9c43: 80000000
2279 00000000 0x9c44: 00000000
2280 00000003 0x9c45: 00000003
2281 00000001 0x9c46: 00000001
2282 00000000 0x9c47: 00000000
2283 00000000 0x9c48: 00000000
2284 00000602 0x9c49: 00000602
2285 00000000 0x9c58: 00000000
2286 00000001 0x9c59: 00000001
2287 00000001 0x9c5a: 00000001
2288 00000000 0x9d40: 00000000
2289 00000000 0x9d41: 00000000
2290 003c0000 GPU_CC_GX_DOMAIN_MISC: 0x3c0000
2291 00000000 0x9d43: 00000000
2292 00000001 0x9d44: 00000001
2293 0000003f 0x9d45: 0000003f
2294 00000000 0x9d46: 00000000
2295 0000003f 0x9d47: 0000003f
2296 00000000 0x9d48: 00000000
2297 0000003f 0x9d49: 0000003f
2298 00000000 0x9d4a: 00000000
2299 000001ff 0x9d4b: 000001ff
2300 000001ff 0x9d4c: 000001ff
2301 00000000 0x9d4d: 00000000
2302 00000001 0x9d4e: 00000001
2303 00000000 0x9d4f: 00000000
2304 80001fe0 0x9d50: 80001fe0
2305 00000000 0x9d51: 00000000
2306 00000000 0x9d52: 00000000
2307 00000001 0x9d53: 00000001
2308 00000000 0x9d54: 00000000
2309 00000001 0x9d55: 00000001
2310 00000000 0x9d56: 00000000
2311 00000003 0x9d57: 00000003
2312 00000003 0x9d58: 00000003
2313 00000000 0x9d59: 00000000
2314 00000000 0x9d5a: 00000000
2315 00000000 0x9d5b: 00000000
2316 00000000 0x9d5c: 00000000
2317 00000000 0x9d5d: 00000000
2318 40000001 0x9d5e: 40000001
2319 00000000 0xa000: 00000000
2320 80000001 0xa001: 80000001
2321 00000000 0xa002: 00000000
2322 00000000 0xa400: 00000000
2323 80000001 0xa401: 80000001
2324 00000000 0xa402: 00000000
2325 00000000 0xac00: 00000000
2326 80000001 0xac01: 80000001
2327 00000000 0xac02: 00000000
2328 00000000 0xb000: 00000000
2329 80000001 0xb001: 80000001
2330 00000000 0xb002: 00000000
2331 00000000 0xb400: 00000000
2332 80000001 0xb401: 80000001
2333 00000000 0xb402: 00000000
2334 00000000 0xb800: 00000000
2335 00000000 0xb801: 00000000
2336 00000000 0xb802: 00000000
2337 10010000 0xbc00: 10010000
2338 00000000 0xbc01: 00000000
2339 00000000 0xbc02: 00000000
2340 00000000 0xbc03: 00000000
2341 00000000 0xbc04: 00000000
2342 00000000 0xbc05: 00000000
2343 00000000 0xbc06: 00000000
2344 00000000 0xbc07: 00000000
2345 00000000 0xbc08: 00000000
2346 00000000 0xbc09: 00000000
2347 00000000 0xbc0a: 00000000
2348 00000000 0xbc0b: 00000000
2349 02c3da78 0xbc0c: 02c3da78
2350 00000000 0xbc0d: 00000000
2351 037d2002 0xbc0e: 037d2002
2352 00000000 0xbc0f: 00000000
2353 00000000 0xbc10: 00000000
2354 00000001 0xbc11: 00000001
2355 00000000 0xbc12: 00000000
2356 00000000 0xbc13: 00000000
2357 00000000 0xbc14: 00000000
2358 00000000 0xbc15: 00000000
2359 00000000 0xbc16: 00000000
2360 00000000 0xbc20: 00000000
2361 00000000 0xbc21: 00000000
2362 00000800 0xbc22: 00000800
2363 00000000 0xbc23: 00000000
2364 00000000 0xbc24: 00000000
2365 00000000 0xbc25: 00000000
2366 10010000 0xbc26: 10010000
2367 00000000 0xbc27: 00000000
2368 00000000 0: 00000000
2369 00000000 0x10: 00000000
2370 00000000 0x11: 00000000
2371 00000000 0x12: 00000000
2372 00000000 0x13: 00000000
2373 00000000 0x16: 00000000
2374 00000000 0x18: 00000000
2375 00000000 0x19: 00000000
2376 00000000 0x1a: 00000000
2377 00000000 0x1b: 00000000
2378 00000000 0x1e: 00000000
2379 00000000 0x20: 00000000
2380 00000000 0x21: 00000000
2381 00000000 0x22: 00000000
2382 00000000 0x23: 00000000
2383 00000000 0x26: 00000000
2384 00000000 0x28: 00000000
2385 00000000 0x29: 00000000
2386 00000000 0x2a: 00000000
2387 00000000 0x2b: 00000000
2388 00000000 0x2e: 00000000
2389 00000000 0x30: 00000000
2390 00000000 0x31: 00000000
2391 00000000 0x32: 00000000
2392 00000000 0x33: 00000000
2393 00000000 0x36: 00000000
2394 00000000 0x38: 00000000
2395 00000000 0x39: 00000000
2396 00000000 0x3a: 00000000
2397 00000000 0x3b: 00000000
2398 00000000 0x3e: 00000000
2399 00000000 0x40: 00000000
2400 00000000 0x41: 00000000
2401 00000000 0x42: 00000000
2402 00000000 0x43: 00000000
2403 00000000 0x46: 00000000
2404 00008ff1 GPU_GMU_GX_SPTPRAC_CLOCK_CONTROL: 0x8ff1
2405 00778000 GMU_GX_SPTPRAC_POWER_CONTROL: 0x778000
2406 00000020 RSCC_TIMESTAMP_UNIT0_TIMESTAMP_L_DRV0: 0x20
2407 00000044 RSCC_TIMESTAMP_UNIT0_TIMESTAMP_H_DRV0: 0x44
2408 00000044 0x84: 00000044
2409 00000000 RSCC_OVERRIDE_START_ADDR: 0
2410 00000000 RSCC_SEQ_BUSY_DRV0: 0
2411 00000000 0x102: 00000000
2412 00000000 0x103: 00000000
2413 00000000 0x104: 00000000
2414 00000000 0x105: 00000000
2415 00000000 0x106: 00000000
2416 00000000 0x107: 00000000
2417 00000000 0x108: 00000000
2418 00000000 0x109: 00000000
2419 00000000 0x10a: 00000000
2420 00000000 0x10b: 00000000
2421 00000000 0x10c: 00000000
2422 00000000 0x10d: 00000000
2423 00000000 0x10e: 00000000
2424 00000000 0x10f: 00000000
2425 00000000 0x110: 00000000
2426 00000000 0x111: 00000000
2427 00000000 0x112: 00000000
2428 00000000 0x113: 00000000
2429 00000000 0x114: 00000000
2430 00000000 0x115: 00000000
2431 00000000 0x116: 00000000
2432 00000000 0x117: 00000000
2433 00000000 0x118: 00000000
2434 00000000 0x119: 00000000
2435 00000000 0x11a: 00000000
2436 00000000 0x11b: 00000000
2437 00000000 0x11c: 00000000
2438 00000000 0x11d: 00000000
2439 00000000 0x11e: 00000000
2440 00000000 0x11f: 00000000
2441 00000000 0x120: 00000000
2442 00000000 0x121: 00000000
2443 00000000 0x122: 00000000
2444 00000000 0x123: 00000000
2445 00000000 0x124: 00000000
2446 00000000 0x125: 00000000
2447 00000000 0x126: 00000000
2448 00000000 0x127: 00000000
2449 00000000 0x128: 00000000
2450 00000000 0x129: 00000000
2451 00000000 0x12a: 00000000
2452 00000000 0x12b: 00000000
2453 00000000 0x140: 00000000
2454 indexed-registers:
2455 - regs-name: CP_SQE_STAT
2456 dwords: 51
2457 PC: 00c5
2458 $01: deadd00d $11: 00000000
2459 $02: 00000001 $12: 00000001
2460 $03: 00000000 $13: 00000008
2461 $04: 00000001 $14: 00000000
2462 $05: 00000000 $15: 00000000
2463 $06: 00000004 $16: 00000000
2464 $07: 00000101 $17: 00000000
2465 $08: 00000001 $18: 00000000
2466 $09: 00010000 $19: 00000000
2467 $0a: 000121fd $1a: 00000000
2468 $0b: 53c06000 $1b: 00000384
2469 $0c: 00003c45 $1c: 00000000
2470 $0d: 53c06000 $1d: 70000000
2471 $0e: 00000002 $1e: 00000000
2472 $0f: 0000002b $1f: 00000000
2473 $10: 00000000 $20: 00000000
2474 -----------------------------------------------
2475 204 (0xcc) bytes
2476 000000: 000000c5 deadd00d 00000001 00000000 |................|
2477 000010: 00000001 00000000 00000004 00000101 |................|
2478 000020: 00000001 00010000 000121fd 53c06000 |.........!...`.S|
2479 000030: 00003c45 53c06000 00000002 0000002b |E<...`.S....+...|
2480 000040: 00000000 00000000 00000001 00000008 |................|
2481 000050: 00000000 00000000 00000000 00000000 |................|
2482 000060: 00000000 00000000 00000000 00000384 |................|
2483 000070: 00000000 70000000 00000000 00000000 |.......p........|
2484 000080: 00000000 00000000 00000000 00000000 |................|
2485 000090: deadd00d 70108000 70108000 00000000 |.......p...p....|
2486 0000a0: 00000001 00000000 00000000 00000000 |................|
2487 0000b0: 00000000 00000000 00000000 00000000 |................|
2488 0000c0: 00000038 00010000 000e166e |8.......n...|
2489 - regs-name: CP_DRAW_STATE
2490 dwords: 256
2491 - regs-name: CP_UCODE_DBG_DATA
2492 dwords: 24576
2493 SQE instruction cache:
2494 -----------------------------------------------
2495 4096 (0x1000) bytes
2496 000000: d8000000 981f0806 981f2006 2883003f |......... ..?..(|
2497 000010: cc86005f 5082001d 28420006 c8410006 |_......P..B(..A.|
2498 000020: 88040000 b805010a c8a20003 01000000 |................|
2499 000030: 30420003 c47e000f c476001d c464001e |..B0..~...v...d.|
2500 000040: c4680023 c47c0024 c47d0025 c47a0026 |#.h.$.|.%.}.&.z.|
2501 000050: c475002d c469002e c4710033 c4720032 |-.u...i.3.q.2.r.|
2502 000060: 981e2006 a8030018 a8040019 a802001a |. ..............|
2503 000070: 2ba50fff 48a50014 63a60008 50c60006 |...+...H...c...P|
2504 000080: 98663801 98802002 881c0080 a8070018 |.8f.. ..........|
2505 000090: a8040019 a81c001a a8040058 b0e2003c |........X...<...|
2506 0000a0: a8028004 a8000060 ac1d0061 88070001 |....`...a.......|
2507 0000b0: 48420002 98431001 a8020018 a8040019 |..BH..C.........|
2508 0000c0: a807001a 2ba70fff 48e70008 88020002 |.......+...H....|
2509 0000d0: 88030001 ec000000 01000000 98a32806 |.............(..|
2510 0000e0: 98a72806 a8050100 880c0841 a80c0027 |.(......A...'...|
2511 0000f0: cbc20005 88020002 a80200a4 88021f1f |................|
2512 000100: a80200a5 c1400007 880308c2 a8030024 |......@.....$...|
2513 000110: a8000025 880308c1 a8030024 a8000025 |%.......$...%...|
2514 000120: 88020001 a8020065 88020812 88430001 |....e.........C.|
2515 000130: a8020024 a8030025 a8000080 d8000000 |$...%...........|
2516 000140: 981f0806 c24002fd 01000000 981f1006 |......@.........|
2517 000150: c8430002 01000000 b8060100 981c2006 |..C.......... ..|
2518 000160: 881c0080 880300ff ac604001 9804e006 |.........@`.....|
2519 000170: a8060100 8a032333 30632200 a803010e |....3#..."c0....|
2520 000180: 8a033066 30634402 a803010f 88e50001 |f0...Dc0........|
2521 000190: a8050162 b8050000 88030007 c0a0000a |b...............|
2522 0001a0: 88040001 8a05ff00 30a5ff00 a8050158 |...........0X...|
2523 0001b0: a8030159 a804015a a805015b a803015c |Y...Z...[...\...|
2524 0001c0: 01000000 ce8cfff9 01000000 981f1006 |................|
2525 0001d0: 981f1806 981f2006 98003006 9c1f3006 |..... ...0...0..|
2526 0001e0: c4800041 2a450003 ca8f0004 48a50002 |A.....E*.......H|
2527 0001f0: ce40004a 01000000 a8a20010 a8a30011 |J.@.............|
2528 000200: a8a40012 0af70001 b8020023 28420003 |........#.....B(|
2529 000210: c0430018 88030007 a8030055 01000000 |..C.....U.......|
2530 000220: 981f0806 88020003 b8040101 b8050102 |................|
2531 000230: b8060103 ec000000 c800fd35 01000000 |........5.......|
2532 000240: 28e8000f b803012a c509004f c50a004e |...(*...O...N...|
2533 000250: c50b004d c50c004c 3063fe0f 3863fe0f |M...L.....c0..c8|
2534 000260: 98683806 88060002 88040001 c5000012 |.8h.............|
2535 000270: c5020014 c5030010 c5010016 c5040019 |................|
2536 000280: 50a50007 301da9b4 9803f806 9804f806 |...P...0........|
2537 000290: 301da9bc 9805f806 48a50016 301db9a1 |...0.......H...0|
2538 0002a0: 987d1801 c8000008 98802002 981f3006 |..}...... ...0..|
2539 0002b0: 981f1806 981f2006 50c90012 29290fff |..... .....P..))|
2540 0002c0: 79290001 48c8000e 5108000e c8de0007 |..)y...H...Q....|
2541 0002d0: 8a650001 99054006 29250001 99254801 |..e..@....%).H%.|
2542 0002e0: 2929ffff 99284806 a809012a 9809f806 |..)).H(.*.......|
2543 0002f0: 2a6800ff 49080010 881dbb02 311f0005 |..h*...I.......1|
2544 000300: 881d9842 311f0005 881d9880 312902a0 |B......1......)1|
2545 000310: 392908a0 a809012a 9809f806 881dd601 |..)9*...........|
2546 000320: a803002c ce860006 88039e19 a8030024 |,...........$...|
2547 000330: c8000003 a8040025 30e70400 b8020071 |....%......0q...|
2548 000340: 28df00ff c8c80003 08c60001 38c60100 |...(...........8|
2549 000350: d0000000 01000000 8b1d00e7 881f0001 |................|
2550 000360: 881dd600 28df00ff 28c400ff 48840010 |.......(...(...H|
2551 000370: 881dbb02 9883f806 881d9842 d40001c7 |........B.......|
2552 000380: 9883f806 d0000000 01000000 b8050038 |............8...|
2553 000390: c0a00000 b8050038 d0000000 01000000 |....8...........|
2554 0003a0: 01000000 881d88d4 881f0000 881d88d7 |................|
2555 0003b0: 881f4100 881d88d8 881f0000 881f0000 |.A..............|
2556 0003c0: 881d88d0 881f0000 881f0000 8a0400ff |................|
2557 0003d0: 309f00ff 881f1008 8a440001 309d88df |...0......D....0|
2558 0003e0: 881f0000 881f0000 881f0000 881f0000 |................|
2559 0003f0: 881d88e3 881f00f2 8b1d00e7 301f0001 |...............0|
2560 000400: 016ff018 880f01e8 b8090071 a8000071 |..o.....q...q...|
2561 000410: c440000f c441000e c4430005 c442000a |..@...A...C...B.|
2562 000420: d40001c7 01000000 c8000082 01000000 |................|
2563 000430: 20800000 56100003 00000000 204cc001 |... ...V......L |
2564 000440: 88030001 d1000000 a8090071 b8080083 |........q.......|
2565 000450: 88030004 a8030083 b803006d 60630001 |........m.....c`|
2566 000460: 48630007 50630007 c4600003 c060fffb |..cH..cP..`...`.|
2567 000470: 981e0006 b80a0080 88840003 988a1806 |................|
2568 000480: a8030080 b8030069 28630030 c060ffff |....i...0.c(..`.|
2569 000490: b8030069 a8040082 a8000082 a80a0080 |i...............|
2570 0004a0: 88040001 88030802 a8040026 a8030027 |........&...'...|
2571 0004b0: 981e0006 8b1d0084 a8000030 881d001c |........0.......|
2572 0004c0: 881f0001 8b1d0081 b8030038 c0600000 |........8.....`.|
2573 0004d0: b8030038 b803006a c060ffff 01000000 |8...j.....`.....|
2574 0004e0: c4410008 c4400012 01000000 a8080083 |..A...@.........|
2575 0004f0: d1000000 a8090071 c8000000 c800ffff |....q...........|
2576 000500: a8000025 a8090024 a8000025 a80a0027 |%...$...%...'...|
2577 000510: cfc0ffff 01000000 a8080027 8ae20001 |........'.......|
2578 000520: 88030001 d1000000 a8090071 88050002 |........q.......|
2579 000530: a805008b b803008b a800008b 50630019 |..............cP|
2580 000540: 28660001 98063806 8a440001 a804005d |..f(.8....D.]...|
2581 000550: d40001d6 88030004 d40001d6 88030031 |............1...|
2582 000560: a8000030 881d001c 881f0001 d40001e3 |0...............|
2583 000570: 8b1d0081 8803f800 88040003 a8040026 |............&...|
2584 000580: a8030027 981e5806 981e6806 981e7006 |'....X...h...p..|
2585 000590: b8040062 b8050063 49ef0002 09ef0fff |b...c......I....|
2586 0005a0: 51ef000c 49ef000c a8030024 a8040025 |...Q...I$...%...|
2587 0005b0: a8050025 a80f0025 8803f400 88040001 |%...%...........|
2588 0005c0: a8040026 a8030027 33c40002 a8030024 |&...'......3$...|
2589 0005d0: a8040025 88000009 88006302 881db987 |%........c......|
2590 0005e0: 29220003 9942500a 51290002 99491007 |..").PB...)Q..I.|
2591 0005f0: d0000000 28420001 880c0000 a80c0041 |......B(....A...|
2592 000600: a8000042 a8000043 a8000044 a8000045 |B...C...D...E...|
2593 000610: a8000046 a8000047 c19ffff9 098c0001 |F...G...........|
2594 000620: a8000049 d0000000 a8000048 a800005b |I.......H...[...|
2595 000630: 8a820001 9902f006 9809f806 b802005b |............[...|
2596 000640: 881f0000 881da9b0 8a040042 309f1800 |........B......0|
2597 000650: 881f001f 881d001c 881f0001 881db309 |................|
2598 000660: a8000030 881d001c 881f0001 d40001e3 |0...............|
2599 000670: 8b1d0081 8803f400 a8030024 a8000025 |........$...%...|
2600 000680: 88040001 a8040026 a8030027 c3c0fe9b |....&...'.......|
2601 000690: 88050002 a805008b b803008b 50630019 |..............cP|
2602 0006a0: a8020025 50440008 50650008 9883600d |%.....DP..eP.`..|
2603 0006b0: 9845680d 998d6001 9885680d 9843280d |.hE..`...h...(C.|
2604 0006c0: 981f0806 0a520001 a8120054 01000000 |......R.T.......|
2605 0006d0: c6420003 1af70001 4af70010 d8000000 |..B........J....|
2606 0006e0: 981f0806 ca44000b 01000000 ca40ffc7 |......D.......@.|
2607 0006f0: 01000000 ca8fffc5 01000000 a8000057 |............W...|
2608 000700: a8020107 d8000000 981f0806 88020001 |................|
2609 000710: a8020064 c8000000 c800ffff 88020002 |d...............|
2610 000720: 9804f806 8a1da204 9c1ef806 d8000000 |................|
2611 000730: 981f0806 a8030018 a8040019 a809001a |................|
2612 000740: a8000071 a806012b a807012a 881d9880 |q...+...*.......|
2613 000750: 9807f806 a8020071 c507000d c50d001b |....q...........|
2614 000760: 88050003 880c9e0b a80c0024 30634000 |........$....@c0|
2615 000770: a8030025 a8040025 a8050025 8b1d00e7 |%...%...%.......|
2616 000780: 881f0001 881dd600 28df00ff 881dbb00 |...........(....|
2617 000790: 28df00ff 881d9840 28df00ff d40001c7 |...(@......(....|
2618 0007a0: 8883000f 88050889 880c088a 880f088a |................|
2619 0007b0: 88060938 88080938 88070889 880b0938 |8...8.......8...|
2620 0007c0: d40006f4 01000000 c800ffe6 01000000 |................|
2621 0007d0: 8802be20 a8020024 b8020075 b8070000 | ...$...u.......|
2622 0007e0: 28420001 48420001 b8440112 b8460113 |..B(..BH..D...F.|
2623 0007f0: 89430040 98832001 a8040025 a8060025 |@.C.. ..%...%...|
2624 000800: 881dd600 28df00ff 28c300ff 48630010 |.......(...(..cH|
2625 000810: 881dbb02 307f001e 881d9842 307f001e |.......0B......0|
2626 000820: a8060025 b8070000 88038e51 28e700a0 |%.......Q......(|
2627 000830: a8030024 c4e00003 89430134 89430268 |$.......4.C.h.C.|
2628 000840: 98832001 a8040025 d0000000 a8060025 |. ..%.......%...|
2629 000850: 981f1006 981f1806 981f2006 50850014 |......... .....P|
2630 000860: 984a5006 d400091e b8020000 b8030163 |.PJ.........c...|
2631 000870: d0000000 a8030071 284201fe c0400005 |....q.....B(..@.|
2632 000880: 88020001 a8040024 c800001a a8020025 |....$.......%...|
2633 000890: b8020000 c4420009 88020001 a8040024 |......B.....$...|
2634 0008a0: ca740004 0a731000 8a890001 9a699807 |..t...s.......i.|
2635 0008b0: c80007a0 01000000 8b1d00a0 33e20003 |...............3|
2636 0008c0: a8000080 d0000000 01000000 b8050038 |............8...|
2637 0008d0: c0a00000 b8050038 d0000000 01000000 |....8...........|
2638 0008e0: cbc1ffff 01000000 c8000005 01000000 |................|
2639 0008f0: a8050027 cbc0ffff 01000000 a8060024 |'...........$...|
2640 000900: 083c44ec 8214b560 0832d60f 332bd010 |.D<.`.....2...+3|
2641 000910: 1eaccb5f 10e2b81a bd038315 609b5fb5 |_............_.`|
2642 000920: 30420080 98021808 985e1006 a8080024 |..B0......^.$...|
2643 000930: a8020025 a8070024 a80d0025 a80e0025 |%...$...%...%...|
2644 000940: a80b0024 a8100025 a8060024 a8000025 |$...%...$...%...|
2645 000950: a8090024 a8000025 a80a0027 cfc0ffff |$...%...'.......|
2646 000960: 01000000 880b0002 a80b0026 a8070027 |........&...'...|
2647 000970: 981e5806 981e6006 996d7810 c9e0fffa |.X...`...xm.....|
2648 000980: 998e7810 c9e0fff8 01000000 a8080027 |.x..........'...|
2649 000990: 987e1805 b8020000 a8080024 284201fe |..~.....$.....B(|
2650 0009a0: a8030025 c4400004 880c3c45 a80c0024 |%.....@.E<..$...|
2651 0009b0: a8000025 a8040024 a8000025 a8050027 |%...$...%...'...|
2652 0009c0: d8000000 981f0806 c240ffdc 01000000 |..........@.....|
2653 0009d0: c7e00003 88020000 b8020107 a802005a |............Z...|
2654 0009e0: d8000000 981f0806 c240ffd4 b8030075 |..........@.u...|
2655 0009f0: 2be20003 28440001 c442000b 98641807 |...+..D(..B...d.|
2656 000a00: c8600010 01000000 ec000000 c800fd40 |..`.........@...|
2657 000a10: 01000000 d40006f4 01000000 d8000000 |................|
2658 000a20: 8804703f 53e30010 2863ff7f a8000055 |?p.....S..c(U...|
2659 000a30: 98831010 c840000f 01000000 ca400035 |......@.....5.@.|
2660 000a40: 8b1d0081 8803f800 a8030024 a80b0025 |........$...%...|
2661 000a50: a80d0025 a80e0025 d40001d6 88030031 |%...%.......1...|
2662 000a60: 8b050002 a805005d a8000030 d4000d33 |....]...0...3...|
2663 000a70: 8b1d0081 8b050003 d0000000 a805005d |............]...|
2664 000a80: 51080001 b80e0151 c1c0f83b 01000000 |...QQ...;.......|
2665 000a90: d8000000 981f0806 b8090000 31290027 |............'.)1|
2666 000aa0: 28630001 98672010 a800008b cc80000c |..c(. g.........|
2667 000ab0: 01000000 8b1d00e7 301f0001 881dd600 |...........0....|
2668 000ac0: 30e70140 38e70040 c800001d 88060001 |@..0@..8........|
2669 000ad0: 30e70140 38e70040 c8000019 88060004 |@..0@..8........|
2670 000ae0: 30630007 38630007 d4000898 01000000 |..c0..c8........|
2671 000af0: d40008a0 01000000 d400088b 01000000 |................|
2672 000b00: c040fb0a 01000000 a8090026 a8080027 |..@.....&...'...|
2673 000b10: ccdf0009 9809e006 8b1d00a0 9803f806 |................|
2674 000b20: c240fa86 981f6806 981f7006 981f8006 |..@..h...p......|
2675 000b30: c7800003 98008806 4bf1000a a8000030 |...........K0...|
2676 000b40: d40008ba 8803001c d40008ba 88030018 |................|
2677 000b50: d40008ba 8803001d d40008ba 88030019 |................|
2678 000b60: d40008ba 88030004 881d001c 881f0001 |................|
2679 000b70: d40008ba 88030031 881d001c 881f0001 |....1...........|
2680 000b80: d40008e4 8b1d0081 d8000000 981f0806 |................|
2681 000b90: b8030071 88040889 a8000071 a8030163 |q.......q...c...|
2682 000ba0: 0cc11b89 6c131458 5843d028 01ea10fe |....X..l(.CX....|
2683 000bb0: 5d7feda5 70dcef6b a319c3f8 bba68e8e |...]k..p........|
2684 000bc0: 88090938 880a0938 d400091e b8020000 |8...8...........|
2685 000bd0: 8b850002 d4000d33 a805005d 8b850003 |....3...].......|
2686 000be0: a805005d b80a0000 294301fe 88043800 |].........C).8..|
2687 000bf0: 88053801 8a020001 c460000b 30420000 |.8........`...B0|
2688 000c00: 28e700e4 8802ae50 a8020024 c4e00003 |...(P...$.......|
2689 000c10: 89430040 89430048 98832001 a8040025 |@.C.H.C.. ..%...|
2690 000c20: 88050215 294a01fc c5400004 0a262186 |......J)..@..!&.|
2691 000c30: 8a020002 30428000 0a28200c 0a272008 |......B0. (.. '.|
2692 000c40: 0a2b200d 0a2921fc 0a2a21fd 98463006 |. +..!)..!*..0F.|
2693 000c50: 98484006 98473806 984b5806 98494806 |.@H..8G..XK..HI.|
2694 000c60: c4730031 c4740030 c4600043 01000000 |1.s.0.t.C.`.....|
2695 000c70: 8b1d00e7 309f0001 881dd600 d4000ccf |.......0........|
2696 000c80: 2a7f00ff 2a6900ff 49290010 881dbb02 |...*..i*..)I....|
2697 000c90: 9923f806 881d9842 9923f806 d4000ca6 |..#.B.....#.....|
2698 000ca0: 88080000 d8000000 981f0806 c800000f |................|
2699 000cb0: 30420300 8a450001 a805005d a8000032 |..B0..E.]...2...|
2700 000cc0: 385f0003 981ff806 8a1da204 9c1ffa06 |.._8............|
2701 000cd0: d8000000 981f0806 8b1d0084 d8000000 |................|
2702 000ce0: 89ce5ef3 0621257b 92a9080c 00415736 |.^..{%!.....6WA.|
2703 000cf0: d04daed9 ffd74547 9ff9e6cb dff671f4 |..M.GE.......q..|
2704 000d00: 23b21c11 45ab4219 5001220b 114826cd |...#.B.E.".P.&H.|
2705 000d10: f93dbd26 df98513c accca3fa 5d663bf4 |&.=.<Q.......;f]|
2706 000d20: 329479f0 ca950003 3a9479f0 32940800 |.y.2.....y.:...2|
2707 000d30: d0000000 01000000 9c1f0606 d8000000 |................|
2708 000d40: 981f0806 a8000030 881d001c 881f0001 |....0...........|
2709 000d50: 8b1d0081 d8000000 981f0806 8b450002 |..............E.|
2710 000d60: bb72cd88 42e2d51b 2ed9da4d 930a12de |..r....BM.......|
2711 000d70: bdcf67c1 bd7d6906 f472d57b fed06673 |.g...i}.{.r.sf..|
2712 000d80: 546239e5 0a14930b 1d984f28 01015191 |.9bT....(O...Q..|
2713 000d90: 5b9912e0 c9cb7cf4 cd84ed26 8f6ce3e4 |...[.|..&.....l.|
2714 000da0: d8000000 981f0806 ca400009 01000000 |..........@.....|
2715 000db0: c8000057 01000000 ce87fffc ce41ff54 |W...........T.A.|
2716 000dc0: d0000000 981e0006 b8020104 b8030105 |................|
2717 000dd0: 98432006 c480000d b8040106 c480000b |. C.............|
2718 000de0: 881f0101 881da9bb 8a040020 309f0100 |........ ......0|
2719 000df0: 881dbb08 881f00ff 881db990 881f03fd |................|
2720 000e00: 881f0200 881f0000 881f0001 881f0000 |................|
2721 000e10: 881f0001 881f0000 8a0400dc 309fd8d4 |...............0|
2722 000e20: 01000000 a8040024 a8030025 a8030135 |....$...%...5...|
2723 000e30: d8000000 981f0806 981f3806 cce8005b |.........8..[...|
2724 000e40: c8400000 b802005b a800005b cc420003 |..@.[...[.....B.|
2725 000e50: 88020001 98001006 d0000000 01000000 |................|
2726 000e60: 88240001 a8040057 c800002a 32520010 |..$.W...*.....R2|
2727 000e70: ca400015 2a520003 ca8f0013 2ae20007 |..@...R*.......*|
2728 000e80: 88020a01 a8020024 5262000c 28420001 |....$.....bR..B(|
2729 000e90: 2a650001 48a50004 98451006 d0000000 |..e*...H..E.....|
2730 000ea0: 39290027 c5200005 b809012a cd260003 |'.)9.. .*.....&.|
2731 000eb0: 31290020 39290020 881d9880 3129fe00 | .)1 .)9......)1|
2732 000ec0: 3929f600 cd000004 51280010 c8000005 |..)9......(Q....|
2733 000ed0: 49080011 49080001 09080001 49080010 |...I...I.......I|
2734 000ee0: d0000000 01000000 8b1d00e7 881f0001 |................|
2735 000ef0: 881dd600 2a7f00ff 2a6900ff 49290010 |.......*..i*..)I|
2736 000f00: 881dbb02 9923f806 881d9842 9923f806 |......#.B.....#.|
2737 000f10: d4000ca6 88080000 d0000000 01000000 |................|
2738 000f20: 2a7f00ff ca680003 0a730001 3a730100 |...*..h...s...s:|
2739 000f30: 8a090001 d0000000 a809005d b809012a |........]...*...|
2740 000f40: 881d9880 3129fea0 3929fe20 a809012a |......)1 .)9*...|
2741 000f50: d0000000 9809f806 8ac80001 ce950013 |................|
2742 000f60: c50e0027 01000000 cd020007 88020000 |'...............|
2743 000f70: a8020125 88020001 a8020072 01000000 |%.......r.......|
2744 000f80: 01000000 d8000000 981f0806 88020004 |................|
2745 000f90: a8020125 88020001 a8020072 01000000 |%.......r.......|
2746 000fa0: 1043bac5 703d854b 50332984 712681c9 |..C.K.=p.)3P..&q|
2747 000fb0: 097b5f08 a8d15a9d ca812f86 c56f2899 |._{..Z.../...(o.|
2748 000fc0: ada4aba2 02c4ef39 5b3dd7d3 06619320 |....9.....=[ .a.|
2749 000fd0: f4fefd9f df7993f4 9599efc7 6a489bed |......y.......Hj|
2750 000fe0: 024bd3c6 37d9d2db 60eb66e2 4220ce4e |..K....7.f.`N. B|
2751 000ff0: f7fba305 bffdbf51 77fd697b 54b9a905 |....Q...{i.w...T|
2752 Unknown 1:
2753 -----------------------------------------------
2754 512 (0x200) bytes
2755 000000: 002c0001 00300000 00340001 00280007 |..,...0...4...(.|
2756 000010: 002c0001 00300001 00240007 00280009 |..,...0...$...(.|
2757 000020: 002c0001 00300000 00240007 00280009 |..,...0...$...(.|
2758 000030: 00200000 00340000 00280009 002c0001 |.. ...4...(...,.|
2759 000040: 00200000 00340000 00280009 002c0001 |.. ...4...(...,.|
2760 000050: 00200000 00340000 00280005 002c0001 |.. ...4...(...,.|
2761 000060: 00200000 00340000 002c000d 00280005 |.. ...4...,...(.|
2762 000070: 00240000 00380000 002c0009 00000000 |..$...8...,.....|
2763 000080: 00280000 002c0009 00000000 00000000 |..(...,.........|
2764 000090: 00200000 00340000 00280009 002c0003 |.. ...4...(...,.|
2765 0000a0: 00200000 00340000 00280009 002c0003 |.. ...4...(...,.|
2766 0000b0: 00240000 00380000 002c0009 00000000 |..$...8...,.....|
2767 0000c0: 00240000 00380000 002c0009 00000000 |..$...8...,.....|
2768 0000d0: 00200000 00340000 00280009 002c0001 |.. ...4...(...,.|
2769 0000e0: 002c0001 00300000 00280003 00240009 |..,...0...(...$.|
2770 0000f0: 002c0001 00200008 00280003 00340000 |..,... ...(...4.|
2771 000100: 002c0001 00200008 00280003 00340000 |..,... ...(...4.|
2772 000110: 00200003 00240008 00280001 002c0002 |.. ...$...(...,.|
2773 000120: 002c0002 00300000 00340001 00280008 |..,...0...4...(.|
2774 000130: 002c0002 00300001 00240008 00280001 |..,...0...$...(.|
2775 000140: 00300000 00340001 002c000c 00280008 |..0...4...,...(.|
2776 000150: 00240005 00280008 00300001 002c000c |..$...(...0...,.|
2777 000160: 00200005 00280001 002c0002 0024000c |.. ...(...,...$.|
2778 000170: 0028000c 002c0001 00240005 00200008 |..(...,...$... .|
2779 000180: 0028000c 002c0000 00240005 00200008 |..(...,...$... .|
2780 000190: 002c0002 00240005 00200008 0028000c |..,...$... ...(.|
2781 0001a0: 00300001 002c0002 00240008 0028000c |..0...,...$...(.|
2782 0001b0: 00340001 00300000 00280008 002c0002 |..4...0...(...,.|
2783 0001c0: 00340001 00300000 00280008 002c0002 |..4...0...(...,.|
2784 0001d0: 00380000 002c0008 00000000 00000000 |..8...,.........|
2785 0001e0: 00340000 00280006 002c0008 00000000 |..4...(...,.....|
2786 0001f0: 00340000 00280006 002c0008 00000000 |..4...(...,.....|
2787 Unknown 2:
2788 -----------------------------------------------
2789 2048 (0x800) bytes
2790 000000: 27804188 700a191c dc844610 05425801 |.A.'...p.F...XB.|
2791 000010: 110c4c4a 184a2053 68b84949 0ae8b449 |JL..S J.II.hI...|
2792 000020: 12c07202 c76db8e5 124b9351 28020250 |.r....m.Q.K.P..(|
2793 000030: 9420b0b1 201d5460 a0732d00 b569a009 |.. .`T. .-s...i.|
2794 000040: 5e82f3f6 7f2bfeff 9bef34b6 5daaf745 |...^..+..4..E..]|
2795 000050: 3fc43b8f 625f0377 1db3dab3 9fffe27b |.;.?w._b....{...|
2796 000060: 343def6b fffaf3fe 37e9ce77 b266dbd2 |k.=4....w..7..f.|
2797 000070: 19ab88b8 1f0bd481 c69be157 b77dbdcb |........W.....}.|
2798 000080: 52f83d01 2ea273b7 494a614e 67080b18 |.=.R.s..NaJI...g|
2799 000090: 59180501 36a720a0 40783944 13f7ce6e |...Y. .6D9x@n...|
2800 0000a0: a5af096b b1899172 742d0b55 ba034368 |k...r...U.-thC..|
2801 0000b0: 25a0a059 91db1692 ad44156e f62d819d |Y..%....n.D...-.|
2802 0000c0: a4bb3ac1 b8aeb7f3 7e47c3cf e75d1a35 |.:........G~5.].|
2803 0000d0: 6d9eef71 3069840a 3b0b7ff4 effffb68 |q..m..i0...;h...|
2804 0000e0: 753b89fd d69cb4c7 242febfb f65f245f |..;u....../$_$_.|
2805 0000f0: 4664c872 35d97c5b ea49ce2f bfddadff |r.dF[|.5/.I.....|
2806 000100: 128adfd5 f1bd99e3 48ec434e 95043054 |........NC.HT0..|
2807 000110: 87d50d03 04228856 8fa7fdb3 df7d075b |....V.".....[.}.|
2808 000120: fc65306d 9b56f43a 1436457f c50fd3d3 |m0e.:.V..E6.....|
2809 000130: 1c00a31f 607804b3 05e6e662 ead3ef36 |......x`b...6...|
2810 000140: ad79e58f dfaf7ff2 ca4b44f6 a99507c3 |..y......DK.....|
2811 000150: 6f8f988f 76014dd3 a7777ce7 07fb761b |...o.M.v.|w..v..|
2812 000160: b10729df bfd5ebe3 9d3ed87b c2bd80d4 |.)......{.>.....|
2813 000170: ddce8efc 4803b7a1 e84ff137 fff7a338 |.......H7.O.8...|
2814 000180: 18e9a112 d5578872 c816975b 0e600165 |....r.W.[...e.`.|
2815 000190: a8a54b19 af091516 af8fa2a1 cf76ef0f |.K............v.|
2816 0001a0: c58c8949 7d11626b bec41002 90aca52d |I...kb.}....-...|
2817 0001b0: 00c21244 c0090db5 2468df12 ba3e3cb6 |D.........h$.<>.|
2818 0001c0: daf2a390 49b3ab3a dcf7e39b 3d07e22c |....:..I....,..=|
2819 0001d0: 992151f3 d131399f c112fe99 cef4d763 |.Q!..91.....c...|
2820 0001e0: ffbedd75 bb7b57d4 b3f47139 ca9fbaf3 |u....W{.9q......|
2821 0001f0: 8edb02bc 81d62713 ed5aa377 bffc969f |.....'..w.Z.....|
2822 000200: 000000c3 f687e9a2 40bf8a43 04d5404a |........C..@J@..|
2823 000210: 000000c3 0004cb26 031c1035 3fc71760 |....&...5...`..?|
2824 000220: 000000c3 d7b596d1 6d35e005 d0008322 |..........5m"...|
2825 000230: 00000d80 50921151 c0aaa742 83f9d384 |....Q..PB.......|
2826 000240: 0000034e b7df1726 1300cb27 26f8e3ee |N...&...'......&|
2827 000250: 00000818 aa160fb7 6db772ad 3ce91b13 |.........r.m...<|
2828 000260: 00000536 eadaf7f0 77d3711a d0ab56cc |6........q.w.V..|
2829 000270: 00000357 3521d1f3 4bf7ffbf afda7777 |W.....!5...Kww..|
2830 000280: 206f051f 83ae13a3 567edb70 201a3bee |..o ....p.~V.;. |
2831 000290: bceb077a 0205b933 542d15ba dd07cb27 |z...3.....-T'...|
2832 0002a0: 8c128f1a dadf5ba5 808b16dd 71a4945a |.....[......Z..q|
2833 0002b0: 2960a80c 71815c67 cede2c8d d99f1e19 |..`)g\.q.,......|
2834 0002c0: f7758fd6 a6c03e48 d5fcd9fc 313851e9 |..u.H>.......Q81|
2835 0002d0: d9da1dff 6f49aab2 f72f8ee7 2a2fdabb |......Io../.../*|
2836 0002e0: 35cae6f7 dfcaf4b1 512017ef fa2aca55 |...5...... QU.*.|
2837 0002f0: 4c603537 ca23c4a0 dce72d75 c5b951fb |75`L..#.u-...Q..|
2838 000300: a0cc4a73 a8e9cf6f 0aca14c0 318ad047 |sJ..o.......G..1|
2839 000310: 4586a05a a68092a0 f5b85a36 2f3feccf |Z..E....6Z....?/|
2840 000320: 1debe64f ce6e42fd 85401f1e cf1c0801 |O....Bn...@.....|
2841 000330: 81a70047 00e2d869 fe446c4c d9f5c397 |G...i...LlD.....|
2842 000340: 8d5befd6 c99d1dfe bdcfc8ca 35adfd6d |..[.........m..5|
2843 000350: 80a9ae8f 248048f4 d3cc539e 1faffd1e |.....H.$.S......|
2844 000360: acfd7f35 f3d69dff e30dd307 010100c3 |5...............|
2845 000370: d4ee62f4 04e78b63 fd65ffcf 9ef372bd |.b..c.....e..r..|
2846 000380: 75523104 09ab4261 8e2d484c 0600a400 |.1RuaB..LH-.....|
2847 000390: 4a0c0e79 0a16f90c c7d9ddcc 86c719a2 |y..J............|
2848 0003a0: fa7eeb74 db4cc6da 1333580f 08564085 |t.~...L..X3..@V.|
2849 0003b0: df180445 581509ca bc54ff35 af29eef9 |E......X5.T...).|
2850 0003c0: cd916fff edef299b 5e104aea 71aa53ee |.o...)...J.^.S.q|
2851 0003d0: bc8156c8 f93dd356 fe3f673f fd4c3ab1 |.V..V.=.?g?..:L.|
2852 0003e0: 5fb977c5 7ba16dfd 73d55cf7 afdb29c8 |.w._.m.{.\.s.)..|
2853 0003f0: 553a8530 3bc31652 e0df34ff f4ebbf2e |0.:UR..;.4......|
2854 000400: 799e552a d6dd5986 22946b41 86bc118e |*U.y.Y..Ak."....|
2855 000410: 86415342 12250224 475d2e75 4a402630 |BSA.$.%.u.]G0&@J|
2856 000420: 55315754 7ca0318c e33640ce 32c80128 |TW1U.1.|.@6.(..2|
2857 000430: 57600d20 1ab5c28a 112e57a0 2cc9e8e2 | .`W.....W.....,|
2858 000440: b79cd9fb df8f8a65 33fbeb5c 97f1ce96 |....e...\..3....|
2859 000450: d866dde7 1674a2a6 1aeb03e6 9f65bef8 |..f...t.......e.|
2860 000460: ef73afb7 de54d3aa 59cef1f7 27497f70 |..s...T....Yp.I'|
2861 000470: b37b1b33 15fb737b d8b5c4ff edcbfdc4 |3.{.{s..........|
2862 000480: bd2abf7b 53034de5 42d08300 9431cda5 |{.*..M.S...B..1.|
2863 000490: 6e3b6d0d 1fe13348 a20944bb 03b44a24 |.m;nH3...D..$J..|
2864 0004a0: da239cfe 88f523c2 111298a2 43492454 |..#..#......T$IC|
2865 0004b0: c412043d 71160257 a036a462 3c9fc5b5 |=...W..qb.6....<|
2866 0004c0: 57193c6f f9bfdffb c1d0bbbf 2893a7f4 |o<.W...........(|
2867 0004d0: 668744f2 b5e72d9b 946b3a67 b6ef5d7d |.D.f.-..g:k.}]..|
2868 0004e0: 6b9f75e5 ddd4ebfe f335af4a 6c4becf6 |.u.k....J.5...Kl|
2869 0004f0: 9adcfce9 91c1f81f 2767956a abbbceed |........j.g'....|
2870 000500: 36c66af4 5f668c0a 40d40f7c fcba2d15 |.j.6..f_|..@.-..|
2871 000510: c10dac30 c30d0301 9bf1407a ceef75dd |0.......z@...u..|
2872 000520: bc0399d5 24c923aa 82470404 20e142fe |.....#.$..G..B. |
2873 000530: 84c3d052 223515ec 6b748f41 bddb3735 |R.....5"A.tk57..|
2874 000540: e7afcfd6 b86b9f8d 24e5aaf6 2cb5b88f |......k....$...,|
2875 000550: 04db9f46 268d85cf c9bf9eec dce6bfb4 |F......&........|
2876 000560: e3d7dff5 978697af e3381c2d 819dd50e |........-.8.....|
2877 000570: 86e56bd6 a16ecfed cff6b539 fffbfeef |.k....n.9.......|
2878 000580: aff1f170 01f8eefe 0c6e9e91 84052b37 |p.........n.7+..|
2879 000590: c481c15f 2024414e 7f74ca64 c8243f2a |_...NA$ d.t.*?$.|
2880 0005a0: 8ad70de7 834b6ff2 c2572cc1 6912ce39 |.....oK..,W.9..i|
2881 0005b0: 07e408e0 28430945 af8b2cb3 c77d15b1 |....E.C(.,....}.|
2882 0005c0: e6fd7afe beb7df3b 4628d6bd 8c842ca2 |.z..;.....(F.,..|
2883 0005d0: a6c4431e 63288dab dac11a6a 9d6f5d2b |.C....(cj...+]o.|
2884 0005e0: 3ebbbfef ffefddbf 769fbdfd 245dc87d |...>.......v}.]$|
2885 0005f0: ed91928f 22a71b74 e55bb57d cf1ffcbf |....t.."}.[.....|
2886 000600: 1b4e3de7 5ce8cfd0 03524d6d 28404239 |.=N....\mMR.9B@(|
2887 000610: 96f406c0 fba21058 96888102 08620d17 |....X.........b.|
2888 000620: 8564b7a0 1df3d9cf 0ac1264d d7b28635 |..d.....M&..5...|
2889 000630: 2041d2c8 4c383160 8080b330 71dee8ed |..A `18L0......q|
2890 000640: 7c7f7bbd ef7feeae 8b96695a 9e557ffc |.{.|....Zi....U.|
2891 000650: 7fa88a3c 61b67d0e bfb753fa d63506f3 |<....}.a.S....5.|
2892 000660: 137fe289 ef7b6f9b bf39f76e 79db8af2 |.....o{.n.9....y|
2893 000670: b389b43c e2732b8b 51681f7f 3deddb6e |<....+s...hQn..=|
2894 000680: d9595d88 ba3a9f87 81d07da7 881ad624 |.]Y...:..}..$...|
2895 000690: 484826ae 03120150 d3000a8e 8de31596 |.&HHP...........|
2896 0006a0: 912f7443 e47bc9ed a01b30c9 a0b34b48 |Ct/...{..0..HK..|
2897 0006b0: 310684bc a021d028 a0600bba 57c7e379 |...1(.!...`.y..W|
2898 0006c0: ba335aeb 1f721fb4 dc39fa3d 5037265a |.Z3...r.=.9.Z&7P|
2899 0006d0: 7ffc6b6a 376f9a3d b430adca 6fed9b1c |jk..=.o7..0....o|
2900 0006e0: 3895cdfc d1ec7aee 02897fcf cc6074df |...8.z.......t`.|
2901 0006f0: d43bb5be 1f1f91da 765d0d9d bad23caf |..;.......]v.<..|
2902 000700: 063e9a45 4946b78b f8caba07 5dcb6856 |E.>...FI....Vh.]|
2903 000710: 7a906d2c 2ddbdb16 86b4ada0 1b0a31aa |,m.z...-.....1..|
2904 000720: 70cb1db5 6e097399 7310c4df e6c32783 |...p.s.n...s.'..|
2905 000730: 06698849 021a0146 a26e26ef ce768a9a |I.i.F....&n...v.|
2906 000740: 0ddd7e70 77f1ffe1 b38da0f3 e88282ef |p~.....w........|
2907 000750: ddc1fbc2 ce39c0d3 ca7f65d3 37fea375 |......9..e..u..7|
2908 000760: d239ffe5 9385354d aadc04cf a121a7b2 |..9.M5........!.|
2909 000770: 765c8bd0 3fc73bd6 deb460ee db7f3f1a |..\v.;.?.`...?..|
2910 000780: eca0fc2a ffe35fbc 38c9a9a9 0d06836a |*...._.....8j...|
2911 000790: 8ca25879 f850e083 71dfc4db fd9f2744 |yX....P....qD'..|
2912 0007a0: 9247ffc3 a3153c3f 89336e4c b03323c5 |..G.?<..Ln3..#3.|
2913 0007b0: 9b0b9292 5ca22cb5 7a497f79 d837ae3b |.....,.\y.Iz;.7.|
2914 0007c0: 2ef0ab6f 9fa1d197 a4495431 64205a7c |o.......1TI.|Z d|
2915 0007d0: 97263716 c6402c83 b513f47a 6aa0630a |.7&..,@.z....c.j|
2916 0007e0: 6d459a37 6f730303 0dbc61ba 0c32efa2 |7.Em..so.a....2.|
2917 0007f0: 9189b297 959c2ca8 26280fab de3f00e1 |.....,....(&..?.|
2918 Unknown 3:
2919 -----------------------------------------------
2920 512 (0x200) bytes
2921 000000: 00000000 00000000 00000000 00000000 |................|
2922 000010: 00000000 00000000 00000000 00000000 |................|
2923 000020: 00000000 00000000 00000000 00000000 |................|
2924 000030: 00000000 00000000 00000000 00000000 |................|
2925 000040: 00000000 00000000 00000000 00000000 |................|
2926 000050: 00000000 00000000 00000000 00000000 |................|
2927 000060: 00000000 00000000 00000000 00000000 |................|
2928 000070: 00000000 00000000 00000000 00000000 |................|
2929 000080: 00000084 00000e80 00000000 00000000 |................|
2930 000090: 00000000 00000000 00000000 00000000 |................|
2931 0000a0: 00000000 00000000 00000000 00000000 |................|
2932 0000b0: 00000000 00000000 00000000 00000000 |................|
2933 0000c0: 00000000 00000000 00000000 00000000 |................|
2934 0000d0: 00000000 00000000 00000000 00000000 |................|
2935 0000e0: 00000000 00000000 00000000 00000000 |................|
2936 0000f0: 00000000 00000000 00000000 00000000 |................|
2937 000100: 00000000 00000000 00000000 00000000 |................|
2938 000110: 00000000 00000000 00000000 00000000 |................|
2939 000120: 00000000 00000000 00000000 00000000 |................|
2940 000130: 00000000 00000000 00000000 00000000 |................|
2941 000140: 00000000 00000000 00000000 00000000 |................|
2942 000150: 00000000 00000000 00000000 00000000 |................|
2943 000160: 00000000 00000000 00000000 00000000 |................|
2944 000170: 00000000 00000000 00000000 00000000 |................|
2945 000180: 00000000 00000000 00000000 00000000 |................|
2946 000190: 00000000 00000000 00000000 00000000 |................|
2947 0001a0: 00000000 00000000 00000000 00000000 |................|
2948 0001b0: 00000000 00000000 00000000 00000000 |................|
2949 0001c0: 00000000 00000000 00000000 00000000 |................|
2950 0001d0: 00000000 00000000 00000000 00000000 |................|
2951 0001e0: 00000000 00000000 00000000 00000000 |................|
2952 0001f0: 00000000 00000000 00000000 00000000 |................|
2953 SQE scratch control regs:
2954 17606c01 0x100: 17606c01
2955 00000000 0x101: 00000000
2956 00000000 0x102: 00000000
2957 00000000 0x103: 00000000
2958 00000000 0x104: 00000000
2959 00000000 0x105: 00000000
2960 00000000 0x106: 00000000
2961 20000000 0x107: 20000000
2962 00000000 0x108: 00000000
2963 00000000 0x109: 00000000
2964 00000000 0x10a: 00000000
2965 00000000 0x10b: 00000000
2966 00000000 0x10c: 00000000
2967 00000000 0x10d: 00000000
2968 23332200 0x10e: 23332200
2969 30664402 0x10f: 30664402
2970 00000000 SAVE_REGISTER_SMMU_INFO: 0
2971 00000000 SAVE_REGISTER_SMMU_INFO+0x1: 0
2972 00000000 SAVE_REGISTER_PRIV_NON_SECURE: 0
2973 00000000 SAVE_REGISTER_PRIV_NON_SECURE+0x1: 0
2974 00000000 SAVE_REGISTER_PRIV_SECURE: 0
2975 00000000 SAVE_REGISTER_PRIV_SECURE+0x1: 0
2976 00000000 SAVE_REGISTER_NON_PRIV: 0
2977 00000000 SAVE_REGISTER_NON_PRIV+0x1: 0
2978 00000000 SAVE_REGISTER_COUNTER: 0
2979 00000000 SAVE_REGISTER_COUNTER+0x1: 0
2980 00000000 0x11a: 00000000
2981 00000000 0x11b: 00000000
2982 00000000 0x11c: 00000000
2983 00000000 0x11d: 00000000
2984 00000000 0x11e: 00000000
2985 00000000 0x11f: 00000000
2986 00000000 0x120: 00000000
2987 00000000 0x121: 00000000
2988 00000000 0x122: 00000000
2989 00000000 0x123: 00000000
2990 00000000 0x124: 00000000
2991 00000000 0x125: 00000000
2992 00000000 PREEMPTION_INFO: 0
2993 00000000 0x127: 00000000
2994 00000000 0x128: 00000000
2995 00000000 0x129: 00000000
2996 00000101 0x12a: 00000101
2997 00000004 MODE_BITMASK: 0x4
2998 00000000 0x12c: 00000000
2999 00000000 0x12d: 00000000
3000 00000000 0x12e: 00000000
3001 00000000 0x12f: 00000000
3002 00000000 0x130: 00000000
3003 00000000 0x131: 00000000
3004 00000000 0x132: 00000000
3005 00000000 0x133: 00000000
3006 00000000 0x134: 00000000
3007 00000000 0x135: 00000000
3008 00000000 0x136: 00000000
3009 00000000 0x137: 00000000
3010 00000000 0x138: 00000000
3011 00000000 0x139: 00000000
3012 00000000 0x13a: 00000000
3013 00000000 0x13b: 00000000
3014 00000000 0x13c: 00000000
3015 00000000 0x13d: 00000000
3016 00000000 0x13e: 00000000
3017 00000000 0x13f: 00000000
3018 00000000 0x140: 00000000
3019 00000000 0x141: 00000000
3020 00000000 0x142: 00000000
3021 00000000 0x143: 00000000
3022 00000000 0x144: 00000000
3023 00000000 0x145: 00000000
3024 00000000 0x146: 00000000
3025 00000000 0x147: 00000000
3026 00000000 0x148: 00000000
3027 00000000 0x149: 00000000
3028 00000000 0x14a: 00000000
3029 00000000 0x14b: 00000000
3030 00000000 0x14c: 00000000
3031 00000000 0x14d: 00000000
3032 00000000 0x14e: 00000000
3033 00000000 0x14f: 00000000
3034 00000000 0x150: 00000000
3035 00000000 0x151: 00000000
3036 00000000 0x152: 00000000
3037 00000000 0x153: 00000000
3038 00000000 0x154: 00000000
3039 00000000 0x155: 00000000
3040 00000000 0x156: 00000000
3041 00000000 0x157: 00000000
3042 00000000 0x158: 00000000
3043 00000000 0x159: 00000000
3044 00000000 0x15a: 00000000
3045 00000000 0x15b: 00000000
3046 00000000 0x15c: 00000000
3047 00000000 0x15d: 00000000
3048 00000000 0x15e: 00000000
3049 00000000 0x15f: 00000000
3050 00000000 0x160: 00000000
3051 00000000 0x161: 00000000
3052 00000080 0x162: 00000080
3053 00000001 0x163: 00000001
3054 00000000 0x164: 00000000
3055 00000000 0x165: 00000000
3056 00000000 0x166: 00000000
3057 00000000 0x167: 00000000
3058 00000000 0x168: 00000000
3059 00000000 0x169: 00000000
3060 00000000 0x16a: 00000000
3061 00000000 0x16b: 00000000
3062 00000000 0x16c: 00000000
3063 00000000 0x16d: 00000000
3064 00000000 0x16e: 00000000
3065 00000000 0x16f: 00000000
3066 00000000 SCRATCH_REG0: 0
3067 00000000 SCRATCH_REG1: 0
3068 00000000 SCRATCH_REG2: 0
3069 00000000 SCRATCH_REG3: 0
3070 00000000 SCRATCH_REG4: 0
3071 00000000 SCRATCH_REG5: 0
3072 00000000 SCRATCH_REG6: 0
3073 00000000 SCRATCH_REG7: 0
3074 00000000 0x178: 00000000
3075 00000000 0x179: 00000000
3076 00000000 0x17a: 00000000
3077 00000000 0x17b: 00000000
3078 00000000 0x17c: 00000000
3079 00000000 0x17d: 00000000
3080 00000000 0x17e: 00000000
3081 00000000 0x17f: 00000000
3082 - regs-name: CP_ROQ
3083 dwords: 1024
3084 -----------------------------------------------
3085 4096 (0x1000) bytes
3086 000000: 70c80008 0000002f 00000003 20000000 |...p/.......... |
3087 000010: 00000000 00000000 00000000 00000000 |................|
3088 000020: 00000000 00000000 00000000 00000000 |................|
3089 000030: 00000000 70e60001 00000000 00000000 |.......p........|
3090 000040: 00000000 70e60001 00000000 70df0001 |.......p.......p|
3091 000050: 00000000 70d30004 53c06000 00000002 |.......p.`.S....|
3092 000060: 00000000 00000000 70df0001 00000001 |...........p....|
3093 000070: 703d0004 00000808 00010000 53c06000 |..=p.........`.S|
3094 000080: 00000002 703e8003 40080400 00000028 |......>p...@(...|
3095 000090: 00010000 703e8003 4009f888 00000038 |......>p...@8...|
3096 0000a0: 00010000 70460001 00000018 70460001 |......Fp......Fp|
3097 0000b0: 00000019 70bf8003 00000000 00000001 |.......p........|
3098 0000c0: 0000000c 703e8003 40080400 00000030 |......>p...@0...|
3099 0000d0: 00010000 703e8003 4009f888 00000040 |......>p...@@...|
3100 0000e0: 00010000 48088501 00000001 70460004 |.......H......Fp|
3101 0000f0: 80000004 00000004 00010000 00000001 |................|
3102 000100: 9c412835 d6f54bdf 170e2f05 79492b48 |5(A..K.../..H+Iy|
3103 000110: 28157100 391335fe 029f6990 b2bc32ff |.q.(.5.9.i...2..|
3104 000120: 787c0b32 f3d36ff5 32d3b4bb 7dfa32b4 |2.|x.o.....2.2.}|
3105 000130: cb205c23 3d93fa7e ad982456 55082ba4 |#\ .~..=V$...+.U|
3106 000140: 51901e02 85a3a315 014e804a 9705084b |...Q....J.N.K...|
3107 000150: 3116718e f5ddf5cc 03ee4973 f7bdf20f |.q.1....sI......|
3108 000160: 4a9c804c 636cbd94 eb7031bb 7bb15269 |L..J..lc.1p.iR.{|
3109 000170: 1376a20d 7d1dbbff a5b64f48 6908af30 |..v....}HO..0..i|
3110 000180: 0103428f fff389fd e196a1cf 246d1d5c |.B..........\.m$|
3111 000190: 30665088 dc8de9fc 6b5133b4 30b08671 |.Pf0.....3Qkq..0|
3112 0001a0: ddd9bfac 7b3f8f8f ac286175 d3f0f3f6 |......?{ua(.....|
3113 0001b0: 646b9949 dd44e7ac f5008f38 2d79bbb6 |I.kd..D.8.....y-|
3114 0001c0: 18ce18e8 07d52b7f 64518060 394617df |.....+..`.Qd..F9|
3115 0001d0: 7015fbc8 3c15b7d3 8b15fb5e fdd98483 |...p...<^.......|
3116 0001e0: 88822845 4746d919 46d0215e 570212bc |E(....FG^!.F...W|
3117 0001f0: 586a7b65 99dee3ea b5621d37 b71fbda5 |e{jX....7.b.....|
3118 000200: 49052203 b7bf07df a8fe811f eaf416f9 |.".I............|
3119 000210: 3875b559 8958d4da 85dbf224 c084accc |Y.u8..X.$.......|
3120 000220: b8b3ae84 33de6dd2 da9292b6 d4d1b995 |.....m.3........|
3121 000230: 1180a284 e0f06ca4 82b9151f f336c580 |.....l........6.|
3122 000240: 0830017c 6351b663 1596cc1d 34c2f6c3 ||.0.c.Qc.......4|
3123 000250: 47275fbe 3fda37dd 8ddf50b7 b15aadaa |._'G.7.?.P....Z.|
3124 000260: f20e01d1 9ccc6869 3d401a84 7568e147 |....ih....@=G.hu|
3125 000270: 4fa459c7 d9226626 ffcd7fa2 b7818758 |.Y.O&f".....X...|
3126 000280: ac221e4c 7ef1ec3d b337186f a799619b |L.".=..~o.7..a..|
3127 000290: 489f4a86 308c6a8a e68c13f6 984e98c4 |.J.H.j.0......N.|
3128 0002a0: 82033c5d f513dd0f 3bcbd020 26eace77 |]<...... ..;w..&|
3129 0002b0: 28091345 c587672d 82586e88 4de2d4e0 |E..(-g...nX....M|
3130 0002c0: 0000059d 00000d74 00001dd2 000000c3 |....t...........|
3131 0002d0: 000000c3 000000c3 000000c3 000000c3 |................|
3132 0002e0: 000000c3 000000c3 000000c3 000000c3 |................|
3133 0002f0: 8800006c a8020120 b8020071 a8030121 |l... ...q...!...|
3134 000300: 000000db 000000c3 000000c3 00000372 |............r...|
3135 000310: 000000c3 000000c3 00000836 00000d69 |........6...i...|
3136 000320: 00000360 0000028e 0000037a 000000c3 |`.......z.......|
3137 000330: 000000c3 000000c3 000000c3 000006a7 |................|
3138 000340: 00000af4 00000b02 000000c3 000000c3 |................|
3139 000350: 00000678 00000693 00000d48 0000064c |x.......H...L...|
3140 000360: 001450b9 44119e94 0ce7be2a ac9b69d6 |.P.....D*....i..|
3141 000370: b4ea2c5f 5fe97b2d bf5c2524 92dec46b |_,..-{._$%\.k...|
3142 000380: cc5817cb 93b53e53 d1a5a9e6 9e5023b3 |..X.S>.......#P.|
3143 000390: 8262144b 79791dc1 2ab800a7 9121c331 |K.b...yy...*1.!.|
3144 0003a0: a1ff329c da97ff91 0ad6fc7c 8f44cb9c |.2......|.....D.|
3145 0003b0: 03000b41 f10383f5 a238245e a7983ecf |A.......^$8..>..|
3146 0003c0: c07d4265 9f9ea237 c2c1013f 90940bd7 |eB}.7...?.......|
3147 0003d0: 2b0e0cb4 dae37fbe f13eb16f 5ddd067b |...+....o.>.{..]|
3148 0003e0: 0094003e 6ad1e3e2 c85e2512 02095922 |>......j.%^."Y..|
3149 0003f0: 91094148 f51e9ade 9638b255 f077d49f |HA......U.8...w.|
3150 000400: 70e50001 00000001 70108000 70108000 |...p.......p...p|
3151 000410: 70108000 70108000 deadd00d 70108000 |...p...p.......p|
3152 000420: 70108000 70108000 70108000 70108000 |...p...p...p...p|
3153 000430: 82801814 cbab1abe f0f5d282 31aee4ba |...............1|
3154 000440: 4c0b48c4 a03e355c ed13042e 944a33f0 |.H.L\5>......3J.|
3155 000450: 7f6b673e 589ecf76 7fe6f9eb 33b6fba5 |>gk.v..X.......3|
3156 000460: 3880a144 ae3800ac ad4e4a1d 5706cc8f |D..8..8..JN....W|
3157 000470: eb89708d bcddd9cf 7b69d9b7 71662d34 |.p........i{4-fq|
3158 000480: d85a5bcf efad6ebf c3a5800c 82eca2f1 |.[Z..n..........|
3159 000490: 63054b67 9227ab5e 06860dc8 f0f4890f |gK.c^.'.........|
3160 0004a0: 88ae85ce a663dadf a85b8ad6 94669fb9 |......c...[...f.|
3161 0004b0: 40650233 e790b161 1d196929 2ce4257b |3.e@a...)i..{%.,|
3162 0004c0: 6ce00281 8b60f169 9a6046f6 00071e9f |...li.`..F`.....|
3163 0004d0: 80d61166 afe11f8e 798fa2df 4ef7ff97 |f..........y...N|
3164 0004e0: 180b8d29 1dbec56f 50098030 2625670a |)...o...0..P.g%&|
3165 0004f0: 314cd2e6 3e37f726 e1abaef7 3faa37f9 |..L1&.7>.....7.?|
3166 000500: d9245e5a bb62869d 9b6a0ce4 2ce27232 |Z^$...b...j.2r.,|
3167 000510: 328199d4 5444dcff 20913a7d eaf75011 |...2..DT}:. .P..|
3168 000520: 48808815 5bdf872a 0cfdf891 75dd052a |...H*..[....*..u|
3169 000530: 8c01d117 d4006e8d c3b135f0 97dd9d14 |.....n...5......|
3170 000540: ad98030a 70041418 8250ae08 56d993e9 |.......p..P....V|
3171 000550: c392aa83 f33e2bf3 4eb3f248 7f6df8f4 |.....+>.H..N..m.|
3172 000560: 41427229 6443ace4 a433c29d ef43d500 |)rBA..Cd..3...C.|
3173 000570: 8b75a133 26ba6ee1 bfbadcf8 7b56bdbb |3.u..n.&......V{|
3174 000580: 808b9352 ebee73c8 ae6b4b42 c51093e1 |R....s..BKk.....|
3175 000590: 98cc6206 f42966f7 0af62248 adb3c9fc |.b...f).H"......|
3176 0005a0: 24a8e167 81cf75bc 407b7d9e eb1fdfbf |g..$.u...}{@....|
3177 0005b0: ad9a8c99 caaeeec6 c0caa04e 4c21f52a |........N...*.!L|
3178 0005c0: 081c0ad3 cc3d277a 5021325a 0d28e6d8 |....z'=.Z2!P..(.|
3179 0005d0: b5d03011 deffb3f7 0353bdbb a8bf41d7 |.0........S..A..|
3180 0005e0: c1136094 8427e308 09d39854 3729732a |.`....'.T...*s)7|
3181 0005f0: 9f341756 c7d79566 fe77dd6a 2cbedd0f |V.4.f...j.w....,|
3182 000600: 44ec0058 dddf2d5f cabbae3d d102d7aa |X..D_-..=.......|
3183 000610: 9e01011e 8ae62fcb 24242339 2ee6f5d7 |...../..9#$$....|
3184 000620: bd3a03f9 d577d8ba a482b9d8 ef4d7f8d |..:...w.......M.|
3185 000630: 09053582 2fc08611 9d35a867 fc390280 |.5...../g.5...9.|
3186 000640: e6810ac2 d42b2338 de4af439 d19eac5b |....8#+.9.J.[...|
3187 000650: 97771874 e5db7f7f db5c0ddd cfc6f569 |t.w.......\.i...|
3188 000660: a25082d0 c20226bc 44292730 9df50299 |..P..&..0')D....|
3189 000670: 443110cc c8b7fc28 9f354fd8 6d53e388 |..1D(....O5...Sm|
3190 000680: ce1146fc d5a90d3c 4894a733 f6b4a008 |.F..<...3..H....|
3191 000690: 0c02db31 61c4a404 6aa148aa 438591eb |1......a.H.j...C|
3192 0006a0: f45aa1e8 de8867af f638321c bb7779fc |..Z..g...28..yw.|
3193 0006b0: dab13284 c9f0996d f6a65e09 22c23021 |.2..m....^..!0."|
3194 0006c0: 150a3664 dcc2840d 144a0518 b0f07682 |d6........J..v..|
3195 0006d0: 89de22ed afd5fdf9 4bf3eb9e 4ff0d6bb |.".........K...O|
3196 0006e0: a6025c28 6ea2ec40 097ea0a6 4519c4fc |(\..@..n..~....E|
3197 0006f0: 5ab72d9c 3bb69b2f dff3bdd9 f4c6c8f9 |.-.Z/..;........|
3198 000700: 78091de8 87ab93fb 29306bfb d67abeae |...x.....k0)..z.|
3199 000710: 4c5ac140 279f8d71 100c2991 ce025768 |@.ZLq..'.)..hW..|
3200 000720: 3d7475a3 66ceafb1 a6df2e7e cf77eaf4 |.ut=...f~.....w.|
3201 000730: 0016a3c6 621967df 3a9fdc6c 9200f442 |.....g.bl..:B...|
3202 000740: 81801f2c 7f81029f 0c444d82 1e7002fe |,........MD...p.|
3203 000750: 4aa2c93f 6e35d27f ddee135e f9a7c7ac |?..J..5n^.......|
3204 000760: 2325f808 00d2987c c85f7d08 84d15a78 |..%#|....}_.xZ..|
3205 000770: 376e8f36 af9f3df8 f26d9b23 fe8165e4 |6.n7.=..#.m..e..|
3206 000780: b028bc86 da9e4a3e 604489ab 3de9ffff |..(.>J....D`...=|
3207 000790: 4620a050 5c0217f8 ffb82357 ca5ea495 |P. F...\W#....^.|
3208 0007a0: b3482149 de55ffed a51ff818 a8b9f46e |I!H...U.....n...|
3209 0007b0: a7a01144 a8268212 31015410 c0c965a4 |D.....&..T.1.e..|
3210 0007c0: d0220e45 08e83305 1a85eaa5 7832f7b2 |E."..3........2x|
3211 0007d0: 3b24ee91 51e256cd fbe6b38f 3fdebbcb |..$;.V.Q.......?|
3212 0007e0: 00120ead 8120b1f9 97c9aa41 54000daa |...... .A......T|
3213 0007f0: 06499292 f1e62762 fe833c6a 93ebe557 |..I.b'..j<..W...|
3214 000800: a9af5df7 1f3bcbbd 00e4762b dfb337b6 |.]....;.+v...7..|
3215 000810: 5b20d01d e1cc6a69 40ad313e 3e8c9980 |.. [ij..>1.@...>|
3216 000820: 96da2f40 dc7e1ceb a7851e6b 171d5775 |@/....~.k...uW..|
3217 000830: a1003e22 106e5700 8432ea64 3b4e9b40 |">...Wn.d.2.@.N;|
3218 000840: c0114074 fd92368b 521043cf 3b4423e1 |t@...6...C.R.#D;|
3219 000850: 73ea1455 70bb67e7 7fefc36e 77e77ce8 |U..s.g.pn....|.w|
3220 000860: 159a1058 65721c0a aae0f8e1 945d55f3 |X.....re.....U].|
3221 000870: 97088d52 beff9fd9 c424d47d 77df63c4 |R.......}.$..c.w|
3222 000880: 8dd6264a 5f882212 476debce 1b6fa9ee |J&..."._..mG..o.|
3223 000890: 575e1bcb 9cdc7561 932fd6aa cbe5c1a3 |..^Wau..../.....|
3224 0008a0: 3100a422 64ffa5bd 9cbae9f9 7fd45bd5 |"..1...d.....[..|
3225 0008b0: 218890c0 c9c523c8 bcda2e54 4788bdf1 |...!.#..T......G|
3226 0008c0: 50402b74 0ae80951 c1405552 20b0a3a0 |t+@PQ...RU@.... |
3227 0008d0: db684891 bf1cd763 941ba852 1b61eee6 |.Hh.c...R.....a.|
3228 0008e0: 4581341a 243bc17a 47d44010 34142080 |.4.Ez.;$.@.G. .4|
3229 0008f0: c66478f9 efb4fbed 1f0ef67c 6d81cdb0 |.xd.....|......m|
3230 000900: 4b214f6c ccc6cdbb 5186da10 a5a6c6ec |lO!K.......Q....|
3231 000910: e54a0902 d909bcfd 4e9010a7 97301a11 |..J........N..0.|
3232 000920: 09a3d8e2 4f6dfff0 ca4c723e bb17ce7c |......mO>rL.|...|
3233 000930: 880b2001 cf943e85 6916a634 e3900921 |. ...>..4..i!...|
3234 000940: 433d0c22 346edd58 00a60819 600304d8 |".=CX.n4.......`|
3235 000950: b5ce7912 b5f8dbf5 5b5dd7f7 c000a898 |.y........][....|
3236 000960: 68051802 4521d0b9 b238ba60 520bdd47 |...h..!E`.8.G..R|
3237 000970: f31a803c 1ff3a952 416fa62e c3956d69 |<...R.....oAim..|
3238 000980: da7e1411 9c765de7 1efff46c 8750e4d5 |..~..]v.l.....P.|
3239 000990: 08936160 45c4db28 f3222d15 3321a89c |`a..(..E.-"...!3|
3240 0009a0: 071c840e 7b0d99f0 9b4ffc7e 0fb63780 |.......{~.O..7..|
3241 0009b0: 162888bf c7e6e03f 1648e4c3 c056e118 |..(.?.....H...V.|
3242 0009c0: 2204cad7 c13b8056 09e84344 775dc28a |..."V.;.DC....]w|
3243 0009d0: 565db3b0 4bda0dd7 fb6ef46a b3ab6d5b |..]V...Kj.n.[m..|
3244 0009e0: 45282436 ad21d192 a4dd9886 1edcbc80 |6$(E..!.........|
3245 0009f0: f8cc4c9c f43dabe4 695c74f2 d768bb4c |.L....=..t\iL.h.|
3246 000a00: 422a22f6 d7ed8d6b d721145e 5af9e4f2 |."*Bk...^.!....Z|
3247 000a10: 4523308d 4d8e20b4 74460b4b c3f16dd8 |.0#E. .MK.Ft.m..|
3248 000a20: 415c2425 261fc7f7 f0541a93 2dd883cf |%$\A...&..T....-|
3249 000a30: 04420520 2a592648 440e8a3a daceedc3 | .B.H&Y*:..D....|
3250 000a40: 8500b10a b6bbab2d 078cb848 b296c084 |....-...H.......|
3251 000a50: 59efa6fa feaecf61 ce86507e 9fc36c75 |...Ya...~P..ul..|
3252 000a60: 63993287 169d7892 323c825c c046ce62 |.2.c.x..\.<2b.F.|
3253 000a70: 9849a4da be33a3f9 6564ebbc d604fd5f |..I...3...de_...|
3254 000a80: 54355082 f4cb6fbc 1feae644 175b38de |.P5T.o..D....8[.|
3255 000a90: 0f003563 b20cdf2c a829007d b12833a2 |c5..,...}.)..3(.|
3256 000aa0: a612ea7f cc7d18bd dcd7db4d d1f47202 |......}.M....r..|
3257 000ab0: 2ca1429e 947b6203 a784269a 89477d59 |.B.,.b{..&..Y}G.|
3258 000ac0: c5050021 e64014b9 396b2b2e 8ef19030 |!.....@..+k90...|
3259 000ad0: 070ea865 3d9f8de3 f94f0aff a6baaec6 |e......=..O.....|
3260 000ae0: 20150075 809a1201 19535012 38187917 |u.. .....PS..y.8|
3261 000af0: 78cf1c38 f7b7d65f d33d0803 75f1c5dc |8..x_.....=....u|
3262 000b00: a6854db9 1b0928f1 1189ccec a670a21f |.M...(........p.|
3263 000b10: 12896850 ceccefca 544232a2 c290c7f6 |Ph.......2BT....|
3264 000b20: 2642160c 9704b2e4 a9fca49e 12c6f922 |..B&........"...|
3265 000b30: 36100eb1 8f403811 57113625 ece0890e |...6.8@.%6.W....|
3266 000b40: f0305462 52588b21 c382e23d 8421782b |bT0.!.XR=...+x!.|
3267 000b50: 7c82eb8d c678e7ce f2a7feef b635a12b |...|..x.....+.5.|
3268 000b60: c2b4d4a6 fc0ab0a8 816e40a6 146f7298 |.........@n..ro.|
3269 000b70: 7c8b0e83 f96d958d cc2d3a0f c5aa4726 |...|..m..:-.&G..|
3270 000b80: 025e3537 f90a68fb 97aba875 30ec7f71 |75^..h..u...q..0|
3271 000b90: 6803617d 60a9a2ef ce5f64a0 470153a7 |}a.h...`.d_..S.G|
3272 000ba0: 26b1d9ee ec1ba9d7 89d1d1fb 3afe1d79 |...&........y..:|
3273 000bb0: 54ea06d8 aac4775b f72a3042 2a6734e9 |...T[w..B0*..4g*|
3274 000bc0: 2c44343b b99da006 27edd064 d3ece409 |;4D,....d..'....|
3275 000bd0: 2a863522 7a3ab8ff cff7e98b 053045bb |"5.*..:z.....E0.|
3276 000be0: 418763e1 c1c380ce 4f9d15d3 1d280862 |.c.A.......Ob.(.|
3277 000bf0: a87f8659 fbe37cbb 707c20e0 ef541ec6 |Y....|... |p..T.|
3278 000c00: 4b385727 f55f6dd8 2d5288b3 c55f37cc |'W8K.m_...R-.7_.|
3279 000c10: 2cfec64f 3c07978e 0c8233b0 0ebdc5a2 |O..,...<.3......|
3280 000c20: 7d3b47a0 dd52bdcf 81971f5e f57f966f |.G;}..R.^...o...|
3281 000c30: 38204028 b7d015d2 f032c95c 861532ac |(@ 8....\.2..2..|
3282 000c40: 06a304c6 d2df599a 49a31ce8 822974e3 |.....Y.....I.t).|
3283 000c50: 95f999de 793fdb0f 79976bee d5b674a0 |......?y.k.y.t..|
3284 000c60: 612b50b4 cc58b540 04226452 85d7d50b |.P+a@.X.Rd".....|
3285 000c70: 4aa29663 e7eb645f e12ba236 31d97f2e |c..J_d..6.+....1|
3286 000c80: 0e1e418a 38eb37ff 69238c3c 3860d6fe |.A...7.8<.#i..`8|
3287 000c90: c0f1545a 6564b56a 650d026d 0d57e6a8 |ZT..j.dem..e..W.|
3288 000ca0: 2e3b717f 2d32367f 9b67a2f4 9bd2bd02 |.q;..62-..g.....|
3289 000cb0: c848ac40 4760048d a54a302a 9909b962 |@.H...`G*0J.b...|
3290 000cc0: 84280e60 0329a7c2 d67020a4 7a60d27e |`.(...).. p.~.`z|
3291 000cd0: ee30d57a ed6c94cf 263fae7c 19b347ae |z.0...l.|.?&.G..|
3292 000ce0: 6ecd8296 cba3f07e 82f68880 03308d27 |...n~.......'.0.|
3293 000cf0: d8f97a5a 7bba751d faebbcb4 ea93ddf7 |Zz...u.{........|
3294 000d00: ff0c4c61 de9d2db3 54801e31 2935db26 |aL...-..1..T&.5)|
3295 000d10: 79f44788 6d70c77d 324afd5b a38ba6e4 |.G.y}.pm[.J2....|
3296 000d20: 6ecbe1bb 8be8f91a 73ff5673 5c64996e |...n....sV.sn.d\|
3297 000d30: 0f140940 ebdb5a49 a24228ed a2c89880 |@...IZ...(B.....|
3298 000d40: 541864d1 426284b3 62261e22 382bc388 |.d.T..bB".&b..+8|
3299 000d50: f1d8adf8 afc961c9 3a78f7f4 872b4722 |.....a....x:"G+.|
3300 000d60: 2e12a66e 90bad198 681305df 136d5a1d |n..........h.Zm.|
3301 000d70: 33513425 c2ff7cca 96aa2ce5 5edb1afb |%4Q3.|...,.....^|
3302 000d80: d9234846 2bdd8bac 6e99d56f d97e83db |FH#....+o..n..~.|
3303 000d90: 5c54141d 9b490c2a 0778a0fe 034d035b |..T\*.I...x.[.M.|
3304 000da0: 4ec999f7 7fae5f38 f1e8836f 0eb340cc |...N8_..o....@..|
3305 000db0: b15c0805 a74d6d88 c251be23 5ad03ca9 |..\..mM.#.Q..<.Z|
3306 000dc0: 2181d0d2 20bec46d 24b182d2 47d22859 |...!m.. ...$Y(.G|
3307 000dd0: 369fa69f 7ff9be33 e2f13abd f95a1546 |...63....:..F.Z.|
3308 000de0: 248204d0 9d1e613d 1d8c79bd 44ea40fd |...$=a...y...@.D|
3309 000df0: 0164c14f 00f8ee08 db32b96e e85bdc58 |O.d.....n.2.X.[.|
3310 000e00: 9f8211c5 20b6954e 2684c1d7 69393d83 |....N.. ...&.=9i|
3311 000e10: 621ce0c0 e0928c8f 4a01c36d a3cac465 |...b....m..Je...|
3312 000e20: 25670ef0 ffed1d44 41b96fa4 6ba99303 |..g%D....o.A...k|
3313 000e30: b0abf310 a0c2a20e 40b82ecc 9c99f744 |...........@D...|
3314 000e40: 69d01418 25ebb38d ec13200c b1392f1b |...i...%. .../9.|
3315 000e50: c2b827c6 fd38905f 2b3e5f1f f18ca115 |.'.._.8.._>+....|
3316 000e60: c9034621 de0c59a5 fd81469a 0a24c023 |!F...Y...F..#.$.|
3317 000e70: 5df908f4 cceaa7ec 2bb30df1 ed1be94c |...].......+L...|
3318 000e80: 0d3555d5 d7bbe8ff ae41c13d a0fd9abe |.U5.....=.A.....|
3319 000e90: 98192166 c284f576 8332029d dce8915a |f!..v.....2.Z...|
3320 000ea0: abce401a 7eccdd74 587e00fe 06c4d974 |.@..t..~..~Xt...|
3321 000eb0: e401be83 5802810d bc3488b6 5c23f11e |.......X..4...#\|
3322 000ec0: f2911008 e7b94331 626ae03f 845a0a93 |....1C..?.jb..Z.|
3323 000ed0: efd21343 7fcce73b 77fa1bfb 332672a8 |C...;......w.r&3|
3324 000ee0: b0089508 6c4a238c 78cc9d6f 80648e44 |.....#Jlo..xD.d.|
3325 000ef0: 8080ce6a 1d72e65b 9f7dbdd2 8ffcfde5 |j...[.r...}.....|
3326 000f00: e2d67e3a b36ec79a ef6a465f 2ac10fb1 |:~....n._Fj....*|
3327 000f10: 172de151 b488ecbb db9b9cfe 5e21629c |Q.-..........b!^|
3328 000f20: c8aba5fc b9f7feff 5c7a23a9 eaa0c10f |.........#z\....|
3329 000f30: 40100346 94ef14b6 86bd5db1 889c4de1 |F..@.....]...M..|
3330 000f40: 5a882600 c5679160 4acd1b30 60bb0efa |.&.Z`.g.0..J...`|
3331 000f50: cf1c3159 eef8c9cc bb99dc52 2e82f6fb |Y1......R.......|
3332 000f60: a3c3762f 02398540 7a285880 db3e4f59 |/v..@.9..X(zYO>.|
3333 000f70: 4af3274c d3a5ff8c a5069472 79c707ea |L'.J....r......y|
3334 000f80: d3298e14 5755c6a5 f2664f7c fca10683 |..)...UW|Of.....|
3335 000f90: ef88227c 31065ef3 f7fbcaae ede9e66d ||"...^.1....m...|
3336 000fa0: b38662bd c33a10ba 28c4e05b c353d857 |.b....:.[..(W.S.|
3337 000fb0: 1ca29566 d73b5a3a a7cf8828 d3071ea2 |f...:Z;.(.......|
3338 000fc0: f2af1172 7852dfbb 97b5676d b471029b |r.....Rxmg....q.|
3339 000fd0: 7fb8fc5c 6da32a12 aa3d9dc3 51502eca |\....*.m..=...PQ|
3340 000fe0: 1c248768 0fb22597 79a5b06e 7fb7499a |h.$..%..n..y.I..|
3341 000ff0: ddf2e5c3 59328b75 1e300460 86d10c96 |....u.2Y`.0.....|
3342 - regs-name: CP_MEMPOOL
3343 dwords: 8288
3344 data2:
3345 -----------------------------------------------
3346 384 (0x180) bytes
3347 000000: 00000080 00000000 00000000 00000000 |................|
3348 000010: 00000000 00000000 00000000 00000000 |................|
3349 000020: 00000000 00000000 00000000 00000000 |................|
3350 000030: 00000000 00000000 00000000 00000000 |................|
3351 000040: 00000000 00000000 00000000 00000000 |................|
3352 000050: 00000000 00000000 00000000 00000000 |................|
3353 000060: 00000000 00000000 00000000 00000000 |................|
3354 000070: 00000000 00000000 00000000 00000000 |................|
3355 000080: 00000000 00000000 00000000 00000000 |................|
3356 000090: 00000000 00000000 00000000 00000000 |................|
3357 0000a0: 00000003 00000003 00000003 00000003 |................|
3358 0000b0: 00000003 00000003 00000000 00000000 |................|
3359 0000c0: 00000000 00000000 00000000 00000000 |................|
3360 0000d0: 00000000 00000000 00000000 00000000 |................|
3361 0000e0: 00000000 00000000 00000000 00000000 |................|
3362 0000f0: 00000000 00000000 00000000 00000000 |................|
3363 000100: 00000000 00000000 00000000 00000000 |................|
3364 000110: 00000000 00000000 00000000 00000000 |................|
3365 000120: 00000000 00000000 00000000 00000000 |................|
3366 000130: 00000000 00000000 00000000 00000000 |................|
3367 000140: 00000000 00000000 00000000 00000000 |................|
3368 000150: 00000000 00000000 00000000 00000000 |................|
3369 000160: 00000007 00000007 00000007 00000007 |................|
3370 000170: 00000007 00000007 00000007 00000007 |................|
3371 CLUSTER_FE:
3372
3373
3374 CLUSTER_SP_VS:
3375
3376
3377 CLUSTER_PC_VS:
3378
3379
3380 CLUSTER_GRAS:
3381
3382
3383 CLUSTER_SP_PS:
3384
3385
3386 CLUSTER_PS:
3387
3388
3389 shader-blocks:
3390 - type: A6XX_TP0_TMO_DATA
3391 - bank: 0
3392 size: 512
3393 - bank: 1
3394 size: 512
3395 - bank: 2
3396 size: 512
3397 - type: A6XX_TP0_SMO_DATA
3398 - bank: 0
3399 size: 128
3400 - bank: 1
3401 size: 128
3402 - bank: 2
3403 size: 128
3404 - type: A6XX_TP0_MIPMAP_BASE_DATA
3405 - bank: 0
3406 size: 960
3407 - bank: 1
3408 size: 960
3409 - bank: 2
3410 size: 960
3411 - type: A6XX_TP1_TMO_DATA
3412 - bank: 0
3413 size: 512
3414 - bank: 1
3415 size: 512
3416 - bank: 2
3417 size: 512
3418 - type: A6XX_TP1_SMO_DATA
3419 - bank: 0
3420 size: 128
3421 - bank: 1
3422 size: 128
3423 - bank: 2
3424 size: 128
3425 - type: A6XX_TP1_MIPMAP_BASE_DATA
3426 - bank: 0
3427 size: 960
3428 - bank: 1
3429 size: 960
3430 - bank: 2
3431 size: 960
3432 - type: A6XX_SP_INST_DATA
3433 - bank: 0
3434 size: 2048
3435 :0:0000:0000[0600e824x_a018c54ax] unknown(0,12)
3436 :7:0001:0001[edc6145bx_11fa09c3x] (ss)(jp)unknown(7,11).g
3437 :2:0002:0002[41440087x_008c504ax] ceil.f hr33.w, (neg)hc18.z
3438 :0:0003:0003[14183488x_d5c04509x] (sy)(ss)(rpt4)cut
3439 :5:0004:0008[a52373bdx_8ff7c071x] samgp0.3d.a.p (s8)(xy)hr47.y, r14.x, s#15, t#71
3440 :1:0005:0009[39301c43x_1d826d16x] (sy)(ss)(jp)(rpt4)cov.s16f16 (pos_infinity)hr16.w, (r)hc325.z
3441 :3:0006:0014[7f64a39ax_609f35bcx] (sy)(jp)(rpt3)(ul)sad.s16 hr38.z, hc367.x, (neg)hc50.y, (r)hr39.w
3442 :7:0007:0018[f352cfcbx_ecad502bx] (sy)unknown(7,6).g
3443 :4:0008:0019[818209d0x_74021646x] (rpt1)unknown(4,12) hr52.x, (r)hc401.z
3444 :6:0009:0021[c90972c0x_8e905e80x] (jp)stl.s16 l[hr48.x], hr16.x, 142
3445 :5:0010:0022[a4827242x_46248300x] gather4b.a (s8)(y)hr16.z, hr32.x, s#1, t#35
3446 :4:0011:0023[82342205x_cd064d21x] (rpt2)(ul)unknown(4,17) r1.y, (neg)c<a0.x + 289>
3447 :5:0012:0026[a923bf8bx_81f95908x] (jp)samb.3d.a.p (u32)(xyzw)r34.w, hr33.x, hr43.x, s#15, t#64
3448 :1:0013:0027[3dda8123x_a0d91ccdx] (sy)(jp)(rpt1)cov.u8u16 (even)(pos_infinity)hr<a0.x + 35>, 0xa0d91ccd
3449
3450 ../src/freedreno/ir3/instr-a3xx.h:1016: is_cat6_legacy: Assertion `instr->cat6.opc == 0' failed.
3451 -----------------------------------------------
3452 8192 (0x2000) bytes
3453 000000: a018c54a 0600e824 11fa09c3 edc6145b |J...$.......[...|
3454 000010: 008c504a 41440087 d5c04509 14183488 |JP....DA.E...4..|
3455 000020: 8ff7c071 a52373bd 1d826d16 39301c43 |q....s#..m..C.09|
3456 000030: 609f35bc 7f64a39a ecad502b f352cfcb |.5.`..d.+P....R.|
3457 000040: 74021646 818209d0 8e905e80 c90972c0 |F..t.....^...r..|
3458 000050: 46248300 a4827242 cd064d21 82342205 |..$FBr..!M..."4.|
3459 000060: 81f95908 a923bf8b a0d91ccd 3dda8123 |.Y....#.....#..=|
3460 000070: ff50ce54 c7ec0ca9 4397318f 1649f66e |T.P......1.Cn.I.|
3461 000080: f8ce238b 2b5a6874 85b579f1 3f56493c |.#..thZ+.y..<IV?|
3462 000090: e793bc81 ce1aae35 9c68645d d05cbe2d |....5...]dh.-.\.|
3463 0000a0: 9bfd69fd aea552f7 e9c0faf4 f9c56a58 |.i...R......Xj..|
3464 0000b0: fedf457f 56ff69fd c47daf79 f77af7dd |.E...i.Vy.}...z.|
3465 0000c0: 896cffa0 c3add1bd 49d34315 b190ce80 |..l......C.I....|
3466 0000d0: c0cb5d09 41134a08 12923d29 43d01c1d |.]...J.A)=.....C|
3467 0000e0: db9fbd7a e8fcfe85 f1bb88e7 7f16cf38 |z...........8...|
3468 0000f0: fdf650da cffe3efd 57cb7ecd 579db3f2 |.P...>...~.W...W|
3469 000100: c9033034 20786084 979c0ee0 0c529814 |40...`x ......R.|
3470 000110: 32c88944 b4286875 ac30023c 86a22ed5 |D..2uh(.<.0.....|
3471 000120: f3d9def0 a0fb82bf 85237f6e 63913a2e |........n.#..:.c|
3472 000130: edef742f 7ab4ef50 6c365676 dca7a11a |/t..P..zvV6l....|
3473 000140: 30128c72 025a791d 28431b94 9d43a84b |r..0.yZ...C(K.C.|
3474 000150: cd574282 8ae82250 31301961 402a48ed |.BW.P"..a.01.H*@|
3475 000160: d9c0cefe fce6ffdf b0739dbf 3e943c68 |..........s.h<.>|
3476 000170: c3f745cf f67db04d a6abefe4 af64fb6e |.E..M.}.....n.d.|
3477 000180: 0efc3e5c 1020e460 ef1e1f55 64ba2917 |\>..`. .U....).d|
3478 000190: 5b419bc1 3ee138ff 222220f8 b3c13b14 |..A[.8.>. "".;..|
3479 0001a0: fd5df7f2 fcfb13c1 8b0fddfa f33119eb |..]...........1.|
3480 0001b0: fbfc4e5f f2bfbd3b 6fb28edb fcb5eac7 |_N..;......o....|
3481 0001c0: 03fcad01 92d8ccf8 ac66ba5e 96c0afcc |........^.f.....|
3482 0001d0: 047aa351 e2d91251 1452ac65 52595026 |Q.z.Q...e.R.&PYR|
3483 0001e0: fd2affef fbd7dfef 016e952d f553b9f2 |..*.....-.n...S.|
3484 0001f0: 6ff3b1b7 4e735ede b7dbf7f7 c28c99a7 |...o.^sN........|
3485 000200: 058c0428 000a0642 ce01507d 6ec1e58a |(...B...}P.....n|
3486 000210: 95388c0b 4054b039 06804b52 08c3c5c9 |..8.9.T@RK......|
3487 000220: be5e26e0 f3c6f25c 839ccb64 db07bf5d |.&^.\...d...]...|
3488 000230: ff2656ee 0c9bdc22 bff7caba 703b72db |.V&."........r;p|
3489 000240: 1b787423 f08c24e7 25184a12 90068dca |#tx..$...J.%....|
3490 000250: 48fa0953 8660848a 0be91c6e aadd060b |S..H..`.n.......|
3491 000260: e7557eec b9f57b76 ac5431ed f758b5f7 |.~U.v{...1T...X.|
3492 000270: e2e0f3bf ddca194b 8bbabcb1 86c454a5 |....K........T..|
3493 000280: 61c92534 19907193 9b0d603d 07a0a640 |4%.a.q..=`..@...|
3494 000290: 0867489f 445f3ae9 ca68dc70 2b6595ce |.Hg..:_Dp.h...e+|
3495 0002a0: feef96e9 bbfe7272 bafef328 c76f9c4e |....rr..(...N.o.|
3496 0002b0: 43e3de0a 2da44d40 33f2cbf6 d652579b |...C@M.-...3.WR.|
3497 0002c0: 2539eb17 b10c2478 6ff84f58 6c1b12b8 |..9%x$..XO.o...l|
3498 0002d0: 0b17cb5c 60d978cd 175faaee 74bad9e6 |\....x.`.._....t|
3499 0002e0: f76afec9 feb755b3 8f64c737 5d767f3d |..j..U..7.d.=.v]|
3500 0002f0: ebbaeeeb b75756bf 7cf8b178 2cd537fe |.....VW.x..|.7.,|
3501 000300: 654b2a26 88d4c181 0618f42b 44bc4740 |&*Ke....+...@G.D|
3502 000310: 48e1acb0 5fc89835 090c12f8 10cb2389 |...H5.._.....#..|
3503 000320: 42d2e7ef defe60f7 fbf7767a e54e52e4 |...B.`..zv...RN.|
3504 000330: 4ad23ce6 7e051ba9 9ebfe9de ec67aeff |.<.J...~......g.|
3505 000340: 23e18542 d8404017 4ae49af7 9c8925a1 |B..#.@@....J.%..|
3506 000350: 571689d4 828a91cb 363febb9 fb824d4b |...W......?6KM..|
3507 000360: b3feb7dd bef5333c 92ced5db e8e2932d |....<3......-...|
3508 000370: f0bf8beb 99d7d46b 1abe7b5e 97e1ea7f |....k...^{......|
3509 000380: 11cd2a23 3e04c411 6680e0d5 b01a57e1 |#*.....>...f.W..|
3510 000390: 92dbf2ac 55d0afe1 d1c47087 b00bd2fc |.......U.p......|
3511 0003a0: 7cf748b7 c9effcf5 5dd5afe9 91c375c3 |.H.|.......].u..|
3512 0003b0: f67b8ddd a7f236b5 cabff4bd e8ff9de1 |..{..6..........|
3513 0003c0: 1482a119 7df11674 85115f26 b4071e36 |....t..}&_..6...|
3514 0003d0: ff0643c4 d98418c9 32d08fcd 281642ca |.C.........2.B.(|
3515 0003e0: de0497d0 7d75776f 04ccbefc b778a5db |....owu}......x.|
3516 0003f0: efdcebe8 f9df3c6f bdf8ffcd 6ffbbdff |....o<.........o|
3517 000400: 0aa90a26 be02481c 3c629c93 dedb5680 |&....H....b<.V..|
3518 000410: 4a9c628c da59d484 9d8184c7 9885c704 |.b.J..Y.........|
3519 000420: c177afef f9d6eeff 72a2c136 eadfcdad |..w.....6..r....|
3520 000430: bd976c9e 9b9fbdcc ab6433be e1551b57 |.l.......3d.W.U.|
3521 000440: 8474e835 a5bb67f1 111106d2 c6a74ddf |5.t..g.......M..|
3522 000450: e18c00f3 101a064f b8784e0d 40881aee |....O....Nx....@|
3523 000460: dfe7cbf8 d4b572b5 0bcf83ec 6d16af1f |.....r.........m|
3524 000470: ed1ee961 f9f5a93f fde65fda 45499723 |a...?...._..#.IE|
3525 000480: c5c10664 552fc17a 14e5391d 8c4311c1 |d...z./U.9....C.|
3526 000490: 181b7d1a 7bc908a6 849be161 cc07961f |.}.....{a.......|
3527 0004a0: f9f0fc4e af68fa8f 2af17a11 d907cd91 |N.....h..z.*....|
3528 0004b0: f9fb5072 fe272ee5 e6e3ff77 f306ffbe |rP....'.w.......|
3529 0004c0: 634d4c3e 8e90c2c2 1f61ecd2 a28427ae |>LMc......a..'..|
3530 0004d0: 01f6c1c0 897f04b4 0aa1bb8c c2623ee8 |.............>b.|
3531 0004e0: 07f7dbb3 717d2277 40acaf91 42b7f75d |....w"}q...@]..B|
3532 0004f0: acbfc70a fb2108fb f9fd5426 5ffbfefd |......!.&T....._|
3533 000500: 04e510a1 89a605f0 83c9bc98 dc5c1a7c |............|.\.|
3534 000510: e5a6e898 07012562 9621e2a1 c83f9ea2 |....b%....!...?.|
3535 000520: ffdeaf7d cefab3bf e7da322e 60bbe5a1 |}........2.....`|
3536 000530: bcb77cf1 dbd7baf2 b2d9dd96 7f3cabdd |.|............<.|
3537 000540: fc6561a0 d0708624 98f72000 3615359c |.ae.$.p.. ...5.6|
3538 000550: 54a25142 f96ca993 d80c087e 760e29a8 |BQ.T..l.~....).v|
3539 000560: 3f712110 fdfe37f5 1af7a877 7ebcf5f6 |.!q?.7..w......~|
3540 000570: d32fef46 6816fb16 cd73a47e d77de0e7 |F./....h~.s...}.|
3541 000580: a0131093 818bec38 8224d999 9154466d |....8.....$.mFT.|
3542 000590: c994989b 596da3af 270000b2 f67a3880 |......mY...'.8z.|
3543 0005a0: fac9377e cc9cd57e 2ef63cb6 2ff2c5f5 |~7..~....<...../|
3544 0005b0: 9ad5fbe4 badf5234 3fad75ba ffa37fc7 |....4R...u.?....|
3545 0005c0: 94009a03 44ccb685 902b0080 9e81b1b4 |.......D..+.....|
3546 0005d0: 4cd24d71 e4771db3 d22790f4 568698a2 |qM.L..w...'....V|
3547 0005e0: c9ffe4b9 afbbc5a5 4afb22d5 9c5543e5 |.........".J.CU.|
3548 0005f0: fde2ed56 38e70074 ff9d4e67 2e67f1b1 |V...t..8gN....g.|
3549 000600: 4a5013b5 02b03fc8 8fab2aa9 330f4265 |..PJ.?...*..eB.3|
3550 000610: ed96b590 0c71470e ae848354 feee9a11 |.....Gq.T.......|
3551 000620: d5fcf732 fd3f6d53 f174ef36 2dcfb77e |2...Sm?.6.t.~..-|
3552 000630: e18cf1ef b9c65e5d c3642500 be42dfd7 |....]^...%d...B.|
3553 000640: 05ac1829 98c080b4 d489b316 900f06b4 |)...............|
3554 000650: 47e5dd2f 0c9f53b8 ca11453e 4e413665 |/..G.S..>E..e6AN|
3555 000660: 3eb8fcf7 8ebc7363 535ea6b4 7f2283ce |...>cs....^S..".|
3556 000670: fcfdac40 d6ef5b56 fa6e742d fb0a74fa |@...V[..-tn..t..|
3557 000680: 55709aba 09da88c1 7577820f e4b66ec7 |..pU......wu.n..|
3558 000690: a5ac3dfa 4830853d 8e016ecc 85929c22 |.=..=.0H.n.."...|
3559 0006a0: c6fdff78 fe72d5fb e6bd594c edadd75c |x.....r.LY..\...|
3560 0006b0: 9d85727b f5d7dabb fb68b7f4 3bbabed7 |{r........h....;|
3561 0006c0: eb394345 010818a8 75568449 b2cc2434 |EC9.....I.Vu4$..|
3562 0006d0: 9b3730e1 a7b05e03 c3e05473 5e6f1721 |.07..^..sT..!.o^|
3563 0006e0: 99fe6fd0 99eb1db6 03676ad7 4b7f47ff |.o.......jg..G.K|
3564 0006f0: 0ef8f67f d1fbf9d4 bef765ff 8bdbbffc |.........e......|
3565 000700: a15b33b0 01d5aedd 1596ab06 2ea46b17 |.3[..........k..|
3566 000710: 0060069c 7a8e3a8c 80c3e3c0 03ebabf0 |..`..:.z........|
3567 000720: 92a7fcbc f4777afb 3df5ae2a e4bbebf2 |.....zw.*..=....|
3568 000730: 0357fdd2 f6be7ebf a7fc2d2b fff1d732 |..W..~..+-..2...|
3569 000740: 0b136052 410aa286 798c3f41 c1c39024 |R`.....AA?.y$...|
3570 000750: cb45ce44 b7845cac 834ec316 fa336c03 |D.E..\....N..l3.|
3571 000760: 1acd6076 b3cb3fea 9f9beea7 c619a47f |v`...?..........|
3572 000770: b1f55fb4 ff5f7f1d 7bd77d95 d7a7eea3 |._...._..}.{....|
3573 000780: d56af448 7b4028b9 59628821 3da0a55c |H.j..(@{!.bY\..=|
3574 000790: 9a4905df f4bb8663 02b07c53 e459ac54 |..I.c...S|..T.Y.|
3575 0007a0: f7b0fdef f9e262c6 bfc568e7 9fbeceb3 |.....b...h......|
3576 0007b0: adebb7b0 ec9de6be ffd5998e 75a1abb5 |...............u|
3577 0007c0: c102228d 098ff1a7 c901fc64 5588da72 |."......d...r..U|
3578 0007d0: 99892042 f54da177 e1f3351f 4c6108a3 |B ..w.M..5....aL|
3579 0007e0: fb7f9fe6 7a1b7dff 963aafe7 979a7675 |.....}.z..:.uv..|
3580 0007f0: f098e737 fecefbea 8b942bff b66505b3 |7........+....e.|
3581 000800: c1631321 58712921 4d628bcb a8b5748c |!.c.!)qX..bM.t..|
3582 000810: b307939b a5989303 90be96ab d4602585 |.............%`.|
3583 000820: bed5f7fb d3a9b947 f7f18b7b 73f4b6c1 |....G...{......s|
3584 000830: abffeb1d d30deddd 7d3b9536 5df7ffb7 |........6.;}...]|
3585 000840: 19c9154b b15ec784 cf79c51c c9a2ec04 |K.....^...y.....|
3586 000850: ad6c51e4 d2248ab0 71b41e91 b438881c |.Ql...$....q..8.|
3587 000860: 6df5e6d7 aca3f5dc afb0e6fd a6f77e33 |...m........3~..|
3588 000870: f9c6f76c be7e37ff 12fdc9ef 62cde1b1 |l....7~........b|
3589 000880: 4a0397f4 1035f921 9ec20f03 37b0014d |...J!.5.....M..7|
3590 000890: 4123b106 84186d3c cd475c73 e950dbb9 |..#A<m..s\G...P.|
3591 0008a0: 1ef5b7fa 89a8bbcf eb5de3ff 977ace1d |..........]...z.|
3592 0008b0: 12ff8ef9 7f2f52bd fbbd68be 2ae2daa6 |.....R/..h.....*|
3593 0008c0: 3d958393 5d28072c 1e3c1520 e88c1015 |...=,.(] .<.....|
3594 0008d0: 5cdd100a c02f548e 48acb73f d5c4313b |...\.T/.?..H;1..|
3595 0008e0: eff756f7 ae97cf9f b66185e0 c114fe15 |.V........a.....|
3596 0008f0: fe3f9fbd feeee28f df97b88d df3ce3be |..?...........<.|
3597 000900: 024909ab 3536311a 92681f4f fba0015a |..I..165O.h.Z...|
3598 000910: f92d8f5a 4c61a55c 14c3d2db 52041b20 |Z.-.\.aL.... ..R|
3599 000920: 6ef4bf7e 2a6f59f3 e83df2d6 ab5eecfd |~..n.Yo*..=...^.|
3600 000930: 51f4fef2 7c69f69f ff99b6b1 eb77388e |...Q..i|.....8w.|
3601 000940: 8a139fd0 49e411ac 70244553 c48a057f |.......ISE$p....|
3602 000950: 6a1955b1 90136824 e7b05c35 8a921635 |.U.j$h..5\..5...|
3603 000960: 7ce64bdf 977d7d7a ac346335 07dceee7 |.K.|z}}.5c4.....|
3604 000970: bcd1bad2 bfb3c9d5 5eabd4e5 fa39c1bb |...........^..9.|
3605 000980: 00fd4389 552a0511 0230acc7 6f000288 |.C....*U..0....o|
3606 000990: 0e705109 6e94cadf 20105048 66289aa3 |.Qp....nHP. ..(f|
3607 0009a0: a5d23bff 7be7bd7b 69be065e eaaa77ff |.;..{..{^..i.w..|
3608 0009b0: bffdcfec 8ffd7f6e fffded66 b97f5df7 |....n...f....]..|
3609 0009c0: e504f412 691cd873 62007848 abfb02c6 |....s..iHx.b....|
3610 0009d0: 2e50d6c6 10d26649 b6b041e6 923864fd |..P.If...A...d8.|
3611 0009e0: fdffefdf c6b4e40d 6baba176 26275f92 |........v..k._'&|
3612 0009f0: f61df5f5 e7289f6f cdff0cbf fa77c087 |....o.(.......w.|
3613 000a00: 112cc485 531ebf7b 40d3aae5 610177a9 |..,.{..S...@.w.a|
3614 000a10: 42643c87 8e8d2787 09469847 ee2c922e |.<dB.'..G.F...,.|
3615 000a20: 9ec52ec9 cd3df4eb ad3bec69 9df40dae |......=.i.;.....|
3616 000a30: 2c6ff67f e5ede65a 2de400b0 af5b66f8 |..o,Z......-.f[.|
3617 000a40: bb1c2c6d 2ecc9822 68862aca 623f028f |m,.."....*.h..?b|
3618 000a50: 7e721151 10f63245 1ac60538 862ec2f3 |Q.r~E2..8.......|
3619 000a60: 5fd3b4fd ad7f75df 6ada668d 56addfbd |..._.u...f.j...V|
3620 000a70: f79dccf4 4564e37b bfdfd9db 6badb5b3 |....{.dE.......k|
3621 000a80: 475eae25 62b69a08 a4f025a6 108ca478 |%.^G...b.%..x...|
3622 000a90: aa0f3cc7 4fce1782 052ee11e def4a7f4 |.<.....O........|
3623 000aa0: db5f17c5 95b5654b 43df71bc fa312c3f |.._.Ke...q.C?,1.|
3624 000ab0: 654f94b6 7fc1fd0e 3f4282fa ed77bede |..Oe......B?..w.|
3625 000ac0: 2c60f4b6 4fe6001c 52e1ea90 5e05144f |..`,...O...RO..^|
3626 000ad0: 37afcab0 c817936c 08abe597 0d1842bb |...7l........B..|
3627 000ae0: cfe6b6ff bc655ae4 ef1160df b7fd31a2 |.....Ze..`...1..|
3628 000af0: 8ebecd3a f3f9af0e cd9d5ad0 7e75272f |:........Z../'u~|
3629 000b00: 5ee14d42 7494c640 8250951d 80744630 |BM.^@..t..P.0Ft.|
3630 000b10: 803490fc 8d481302 1790924c 1c848931 |..4...H.L...1...|
3631 000b20: e9ee9dcf dc1d47c7 773dd3ae 393a7a62 |.....G....=wbz:9|
3632 000b30: d7bfd54d f8e17e26 dde130bd 554dafe5 |M...&~...0....MU|
3633 000b40: 010491d7 84840182 527cacd8 cb033521 |..........|R!5..|
3634 000b50: 3aa04a60 5b0c8681 30435fda 08924582 |`J.:...[._C0.E..|
3635 000b60: 77e538d6 fef3ca55 fa6a5c2f b97a7d3a |.8.wU.../\j.:}z.|
3636 000b70: bcbedead ff0adf5c da4974e5 e409a783 |....\....tI.....|
3637 000b80: bcd282d0 eae55ab9 01c0168a 790e77c9 |.....Z.......w.y|
3638 000b90: 84081049 056d8f00 ba5297f3 7e0491b5 |I.....m...R....~|
3639 000ba0: efcd8d77 e8e9fbfb a7376921 eb64785f |w.......!i7._xd.|
3640 000bb0: b51dc7fd 12db7a66 7f1572a8 2ef57efb |....fz...r...~..|
3641 000bc0: 1fd05c54 fc965086 d46e3e6b db85ed22 |T\...P..k>n."...|
3642 000bd0: 1f600871 aab67b84 30e46215 95a0c237 |q.`..{...b.07...|
3643 000be0: fdedfd6a ff6b0d7e 8ba8e147 f35257a5 |j...~.k.G....WR.|
3644 000bf0: 9edaecdd ff5eab48 dfdfaaf5 564b0faf |....H.^.......KV|
3645 000c00: 1944241c b00c84d6 35233f93 4e62841e |.$D......?#5..bN|
3646 000c10: a6902580 316011b9 d50dc8a9 0d6e2480 |.%....`1.....$n.|
3647 000c20: 2fa8ab7d bffdb0fd b83fb9ce 3eaff93e |}../......?.>..>|
3648 000c30: d4dbf4f6 67d93bf7 63267fee 60c616bc |.....;.g..&c...`|
3649 000c40: 59460c1c b581980e fb713211 c9a19112 |..FY.....2q.....|
3650 000c50: 1503c408 00028484 8ad41550 95a0600c |........P....`..|
3651 000c60: d36f5749 fa33616f 1d014ce6 d07375fb |IWo.oa3..L...us.|
3652 000c70: ed7977fd fed5f7ff f77afe1f dc55f67d |.wy.......z.}.U.|
3653 000c80: cf482bf5 d9dfce99 e5a1a88f 5029bd6a |.+H.........j.)P|
3654 000c90: 22c8b021 752e6806 a09f0854 d9e871cf |!..".h.uT....q..|
3655 000ca0: f74defb5 bc797ef7 59f3fd82 8defb9eb |..M..~y....Y....|
3656 000cb0: fd9af538 db99ebe7 f29f830e e73a64ff |8............d:.|
3657 000cc0: 625c0a62 20e28d8f d2207252 4c638903 |b.\b... Rr ...cL|
3658 000cd0: 706bec66 d754a805 d18db156 6324e7e1 |f.kp..T.V.....$c|
3659 000ce0: ffeb5d6e f4ebb42b f9abfc2a bcb2257e |n]..+...*...~%..|
3660 000cf0: f1ebfeef aedfecb8 fe2bd7cb 8f0aa338 |..........+.8...|
3661 000d00: 5dda06a7 7fa9c940 c35be1d9 d401ce4a |...]@.....[.J...|
3662 000d10: 2a9c2309 245df8c8 491cb031 2e289d8d |.#.*..]$1..I..(.|
3663 000d20: 47effb9d 5ddc3fcf 53f341ef 7befabaa |...G.?.].A.S...{|
3664 000d30: aebe48d6 aecbce35 745e6bdc 84fab726 |.H..5....k^t&...|
3665 000d40: 63ca1b2e 0087295a 42a249b1 2921371b |...cZ)...I.B.7!)|
3666 000d50: df7a406a 4380f880 94c1d64b 26742983 |j@z....CK....)t&|
3667 000d60: 6d3eba74 e5bbe7aa 65bef5b5 fe7299dc |t.>m.......e..r.|
3668 000d70: b54befc4 aa7f5176 943b2350 bc324def |..K.vQ..P#;..M2.|
3669 000d80: 2384f22f 7f41ef86 1671f08a b864a410 |/..#..A...q...d.|
3670 000d90: 96916bf2 01a91680 2d4e91df 640db199 |.k........N-...d|
3671 000da0: ef357ff9 f3bdfdce d364cbee 4e3dfeb8 |..5.......d...=N|
3672 000db0: ee72d55c ea4ffeff 787e0f63 e6ff6f3f |\.r...O.c.~x?o..|
3673 000dc0: 66132b23 25ec017b 88c0ba7b 1ab1cf27 |#+.f{..%{...'...|
3674 000dd0: 85005ef2 6f80b080 79b19276 86be52cc |.^.....ov..y.R..|
3675 000de0: ecbeaf0e efebffa3 f73e4abf f9eedff7 |.........J>.....|
3676 000df0: bdb9bfdf fe721c57 8cfa5fdb e773909f |....W.r.._....s.|
3677 000e00: 08109986 6b00e8a9 1050b2a1 77748c58 |.......k..P.X.tw|
3678 000e10: 85817f8e 198f0914 17f0d87c 13564931 |........|...1IV.|
3679 000e20: 7db06927 30d57fbc 9ddefc55 fe45eb7b |'i.}...0U...{.E.|
3680 000e30: ec82fe90 46f5fbe8 73b02bf6 7d9cf6fb |.......F.+.s...}|
3681 000e40: 6fe0835b 04bb8519 5a6a8e7c 5f80401c |[..o....|.jZ.@._|
3682 000e50: 59031100 818da4c1 aedd1ab1 d6e05e08 |...Y.........^..|
3683 000e60: 0ffc7d68 317bbd7e 4eea8a2c 5cdf8447 |h}..~.{1,..NG..\|
3684 000e70: f5afe99f d8fbf6c6 f922975c f3b9e5f1 |........\.".....|
3685 000e80: ca508298 a36deeed 4b2d86bb a4be8c1c |..P...m...-K....|
3686 000e90: 2ac7bed5 1eafa822 36a4ffab 93b2aade |...*"......6....|
3687 000ea0: 1dd3731f 28f33d5f 886d7355 b968cb55 |.s.._=.(Usm.U.h.|
3688 000eb0: 308274f9 d3ef7fa7 31e7d3d8 317c49fe |.t.0.......1.I|1|
3689 000ec0: 676b07af 8683f43c 5162ca25 191be6a0 |..kg<...%.bQ....|
3690 000ed0: 1858444b e45d659c 1773caa0 b66c4009 |KDX..e]...s..@l.|
3691 000ee0: 2ebefe76 e8f9bfbf b82aa237 dc10ec6b |v.......7.*.k...|
3692 000ef0: d5ffd7bb f7dfcff7 cd7857a9 e3ff3ad9 |.........Wx..:..|
3693 000f00: 1a01824a 0cf26ff0 4a503576 15ba869a |J....o..v5PJ....|
3694 000f10: 2fd23224 0ab86920 07e1206c 41d0ea56 |$2./ i..l ..V..A|
3695 000f20: 9fc7fbac 54fab655 cdf4d10e 6ceaf2b2 |....U..T.......l|
3696 000f30: b4b56508 afe3f27a f7e6efcf 715545ef |.e..z........EUq|
3697 000f40: c6cb2a2d ce9a0d20 3351da14 5586586f |-*.. .....Q3oX.U|
3698 000f50: 2d01e7b2 3013500a 545458f9 867c5008 |...-.P.0.XTT.P|.|
3699 000f60: c672bf76 6c1fb935 bd2b7f3d 8f3adc6d |v.r.5..l=.+.m.:.|
3700 000f70: dfb5ff92 bf47b3df db682af3 ec7f7364 |......G..*h.ds..|
3701 000f80: 20819a02 0403273d 1a5a7aa6 774207b1 |... ='...zZ...Bw|
3702 000f90: 14aa88a0 2879b0c8 5ceced8d 1806eeb7 |......y(...\....|
3703 000fa0: bfdb9f59 5f5ac6db ccb6f07d fef367f8 |Y.....Z_}....g..|
3704 000fb0: 3ffebf46 e4ff4d1e fefdefef cfdbbefd |F..?.M..........|
3705 000fc0: 19c0927f ea801e31 4009d67b 31813826 |....1...{..@&8.1|
3706 000fd0: 98fa276a c25f4f51 76294261 d3f545be |j'..QO_.aB)v.E..|
3707 000fe0: 8ee3f8db b99dd171 c1cefcff d822fdf9 |....q.........".|
3708 000ff0: df957877 f7b95f0e b79ee3b9 f79ba1ff |wx..._..........|
3709 001000: b7015484 09068d5d 404c99b7 65776e47 |.T..].....L@Gnwe|
3710 001010: 0cb008e9 0d07d982 42320509 6d6459a1 |..........2B.Ydm|
3711 001020: fce337df f0fc204b 7a3caf13 34ff571a |.7..K ....<z.W.4|
3712 001030: 2cffbcb3 554eaf7c dc4fd9c6 d7604712 |...,|.NU..O..G`.|
3713 001040: 4fc882ae 920b2f0a 6088ae92 f1a8a26e |...O./.....`n...|
3714 001050: 31c20424 92130097 bb0fb95d c45004f8 |$..1....].....P.|
3715 001060: 77e99b99 dd8f7ee5 e65eb2f3 c4427cd5 |...w.~....^..|B.|
3716 001070: f7957c3f bf7c8a5a dccb12ff 872fd4fd |?|..Z.|......./.|
3717 001080: 1fa8b477 f248f0c6 8a5028ae b21e0225 |w.....H..(P.%...|
3718 001090: 0ce31fa0 1aa39da7 d84fa7e2 5332f8d5 |..........O...2S|
3719 0010a0: 5f4deff4 7d4533ff dfe086b4 c5fd9fb2 |..M_.3E}........|
3720 0010b0: ec73733f bffe23e2 eed1c9cc 73924fb3 |?ss..#.......O.s|
3721 0010c0: 1f99eb3d 7609ab2c 8d0ad873 1a10d12d |=...,..vs...-...|
3722 0010d0: 132a9c03 9b2c8a2b 6acd2dbc cf784c84 |..*.+.,..-.j.Lx.|
3723 0010e0: 9ec8fb8d fff3bed5 3781a9eb 65e4e3d5 |...........7...e|
3724 0010f0: d6ddaebf f79bbafc dd3979df bf76dcc5 |.........y9...v.|
3725 001100: 007b0002 70899c04 f14dc498 3372f2b7 |..{....p..M...r3|
3726 001110: ad40dcda d0787500 907c0868 ee527a09 |..@..ux.h.|..zR.|
3727 001120: a2cdab0d eb1d8351 fb630b34 5492db51 |....Q...4.c.Q..T|
3728 001130: 8a183ff1 e25ecdf0 58beebc9 158e6728 |.?....^....X(g..|
3729 001140: 70920304 937c549c 2542f065 2450f17b |...p.T|.e.B%{.P$|
3730 001150: 1204b71c c408012c 418a24b5 34633a96 |....,....$.A.:c4|
3731 001160: 7dd2d57d cfd67394 5eabea2a adf7621d |}..}.s..*..^.b..|
3732 001170: c4bf6e85 bdbdc9fb 478ddbdc 9bf90ed9 |.n.........G....|
3733 001180: 1b1a2695 bb68c862 606d0a29 220181ad |.&..b.h.).m`..."|
3734 001190: 6ba138a1 426855ac cb0a45ec 4e240a06 |.8.k.UhB.E....$N|
3735 0011a0: e35fe32f efad6f99 f7fe7033 d049b0f7 |/._..o..3p....I.|
3736 0011b0: cd31e50b dec9d6ff ba09f7bb 6dc5afe9 |..1............m|
3737 0011c0: 13a033f4 13f872b4 5774b8e8 414ef10b |.3...r....tW..NA|
3738 0011d0: 92606f4b c152c43f b0842c28 a1d6ca08 |Ko`.?.R.(,......|
3739 0011e0: ff1bfeef 26acb869 7621d606 dac5fa59 |....i..&..!vY...|
3740 0011f0: e4ffae97 92fffe6e d6dfba6e bc59b970 |....n...n...p.Y.|
3741 001200: a3489ca1 7920ca5f b1662c80 575d91b4 |..H._. y.,f...]W|
3742 001210: 06b7a380 624e01a6 044492bc 582d6c82 |......Nb..D..l-X|
3743 001220: bf27ed6e f557abd5 b15f7c98 d467f6d6 |n.'...W..|_...g.|
3744 001230: 6bffe8b6 94d7f6f8 ddcbb769 7165cf9b |...k....i.....eq|
3745 001240: 25250352 31582a12 41ae30e0 9deca996 |R.%%.*X1.0.A....|
3746 001250: 2320514f a094c813 ddc6ecbc 2ac0fb6f |OQ #........o..*|
3747 001260: b7cffef0 61bec183 63fa365b e9ddefce |.......a[6.c....|
3748 001270: 71ffb64f 5fb24fab 3effeeb9 9f43f75e |O..q.O._...>^.C.|
3749 001280: 82b76a19 29291456 d27edef4 825c8165 |.j..V.))..~.e.\.|
3750 001290: 08ebe30c ac93e983 b698a26b a8ef32c1 |........k....2..|
3751 0012a0: ff7ddd9b bbf37bfb b55da4e1 4d15d8eb |..}..{....]....M|
3752 0012b0: ebf56d9e ee13fff3 b1fd6e5b b571bf2d |.m......[n..-.q.|
3753 0012c0: 8080f8e0 045f0b90 88f721eb dda1a881 |......_..!......|
3754 0012d0: 0379c163 7fd1bc3b 4c8f28ad 35339d4a |c.y.;....(.LJ.35|
3755 0012e0: feedfafb cd2791ed c8fea753 df8e6564 |......'.S...de..|
3756 0012f0: 6cfaff4d faf74eef 3b9f1adc 9ef2bc35 |M..l.N.....;5...|
3757 001300: a7b91190 c875fd4d f93d5906 2c5008fc |....M.u..Y=...P,|
3758 001310: 2da6e9a0 82128a98 603c9b9b 46e2a8e2 |...-......<`...F|
3759 001320: db62ecdd 3ef943f3 ab7a794e adae5ced |..b..C.>Nyz..\..|
3760 001330: 7efaf566 f0f54cf0 085aabca 2df80ae5 |f..~.L....Z....-|
3761 001340: c3889522 009603aa 73e5198e 374bd685 |"..........s..K7|
3762 001350: 0033ea01 9a92cd22 7d846808 c1bb9000 |..3."....h.}....|
3763 001360: fd995332 dff9ebc3 3aed91df f9caa3fd |2S.........:....|
3764 001370: eaffbeff b98772ec fbf3ff3d af5efadc |.....r..=.....^.|
3765 001380: a3aab419 09b1ac4c fc1dbf42 e206a071 |....L...B...q...|
3766 001390: 9c87fcfb c4ca4cf1 f985fb76 f0eee370 |.....L..v...p...|
3767 0013a0: 55ffef57 9ba8bef5 f973fd37 3d5a3eed |W..U....7.s..>Z=|
3768 0013b0: 8e57bdd1 f6bcbdd5 5997e3d5 b539dccb |..W........Y..9.|
3769 0013c0: f4950e76 46a1c25e b867e0ae 738a9c5c |v...^..F..g.\..s|
3770 0013d0: e1e70f8d 12059a83 30994cb5 4312c34d |.........L.0M..C|
3771 0013e0: f67c66fe e4b7fe67 c34ae58e f7debbfd |.f|.g.....J.....|
3772 0013f0: aadd1fea 04b7dbfb f53ddd8d a9f9fb3b |..........=.;...|
3773 001400: d7088a12 54a62a84 30d9de78 e77eb659 |.....*.Tx..0Y.~.|
3774 001410: 84e214a0 ab181e7a 63001bcc 2c661d3c |....z......c<.f,|
3775 001420: 634afd7e 89e6dde5 cefce576 3fd1bd5d |~.Jc....v...]..?|
3776 001430: fa02effd 7d867793 9ee78b9d 7395e477 |.....w.}....w..s|
3777 001440: 4432c91b 2d818651 ab16b73e 568334d6 |..2DQ..->....4.V|
3778 001450: 9187da8f 9573c502 33d60168 aa6bcb29 |......s.h..3).k.|
3779 001460: f4ee4dfb f713ed8b e38836a2 79dee7d3 |.M.......6.....y|
3780 001470: 5cdb66c7 3bcbe6e2 490acb57 23b3d171 |.f.\...;W..Iq..#|
3781 001480: 448905f2 58ca1898 52fc1056 245bf337 |...D...XV..R7.[$|
3782 001490: b046d6b2 41b60aad 3eae07cc a5c26c90 |..F....A...>.l..|
3783 0014a0: 338f7bf7 f7e7ffdb 36c1b93e 414e3811 |.{.3....>..6.8NA|
3784 0014b0: d2c6e25b dd1d855b 5fcd7bcb 136de2d9 |[...[....{._..m.|
3785 0014c0: 0d0587e2 91c2af0f b116a3eb 72501e8c |..............Pr|
3786 0014d0: 5329934c e51d9714 50335672 4bfd8651 |L.)S....rV3PQ..K|
3787 0014e0: ecafff17 cab719bc 6fc4576d bbef6369 |........mW.oic..|
3788 0014f0: 4ceb1f77 fb6ee1d2 a6a9f2f1 faf2f636 |w..L..n.....6...|
3789 001500: 9cc56292 e5caa902 15cc4191 30be45b5 |.b.......A...E.0|
3790 001510: 942c0650 015d8ace 46295e91 4911511c |P.,...]..^)F.Q.I|
3791 001520: 7bc381fa beaa2bbb 78c39d34 4f12ad11 |...{.+..4..x...O|
3792 001530: 940c62f2 5436bd5b f8ef2f1a 5dbfa653 |.b..[.6T./..S..]|
3793 001540: ac417b06 a3d2eac9 e603a84f 76555991 |.{A.....O....YUv|
3794 001550: f02297c4 2700eaa5 cf4cd367 3691a1d7 |.."....'g.L....6|
3795 001560: cdcf59db d5341994 e1842135 ecbff3b6 |.Y....4.5!......|
3796 001570: a34a2a38 b9e1d331 b093b3e9 606ca2df |8*J.1.........l`|
3797 001580: b9c559d5 a2ca5fe8 87cef12e b05ff01f |.Y..._........_.|
3798 001590: 121dba9d 69ddd312 262db6dc 94637de6 |.......i..-&.}c.|
3799 0015a0: bcff7e45 bdf47ab3 c105dbf4 26daab6d |E~...z......m..&|
3800 0015b0: b7d26b55 791dbec7 727fb26d 663fc577 |Uk.....ym..rw.?f|
3801 0015c0: be3bd1f0 402b6949 eae1e82b 25e79d0f |..;.Ii+@+......%|
3802 0015d0: 10ec05dc 3199a827 2f6e444b bf08b074 |....'..1KDn/t...|
3803 0015e0: 6efefdd1 c73d5bf7 20b642d7 9b37e374 |...n.[=..B. t.7.|
3804 0015f0: dba0e432 6eed784f f834dde4 7cfc64e3 |2...Ox.n..4..d.||
3805 001600: 784e0821 b0488309 1453e2f7 3006909c |!.Nx..H...S....0|
3806 001610: 8a60621d 502c2210 4145a702 6b8250ed |.b`..",P..EA.P.k|
3807 001620: 15accde7 09ffc6bb c7bd4af6 b17aea6a |.........J..j.z.|
3808 001630: e72668dd 75be5be3 204cbd7d 87a3f1f7 |.h&..[.u}.L ....|
3809 001640: cc7a9848 c4cd062b 0ca51845 14a9d196 |H.z.+...E.......|
3810 001650: 26242717 50442021 05694953 e00c74e0 |.'$&! DPSIi..t..|
3811 001660: a7cfd3d1 4f3ed4f5 6d6673d8 bfc71ddb |......>O.sfm....|
3812 001670: 8a5dc4fe df7fbddb 1ebfe8e7 3d69b835 |..].........5.i=|
3813 001680: 527eb270 b31f1af2 9c477143 f0c5ba01 |p.~R....CqG.....|
3814 001690: cf60121d d1dca2ca 6f2ef262 f726bb82 |..`.....b..o..&.|
3815 0016a0: 75ec79ff bbfd57f4 af1d8c0e fba85d9b |.y.u.W.......]..|
3816 0016b0: 564af27f fc8e4f5b ed5fad79 9d7f3ee7 |..JV[O..y._..>..|
3817 0016c0: bb72520e a9d82382 b40b8355 b8c6b958 |.Rr..#..U...X...|
3818 0016d0: 85b25e16 20915615 886f1f5b 87afbb00 |.^...V. [.o.....|
3819 0016e0: befb68df fdbacfac 29de57e6 bd1d3bfc |.h.......W.).;..|
3820 0016f0: 4bff6af6 ff7fb97f 8beffff5 ab893ba7 |.j.K.........;..|
3821 001700: 48645e2e 7404bb1c 844e65a3 24d14660 |.^dH...t.eN.`F.$|
3822 001710: 94e4500a 72872105 0f15966d 32a52689 |.P...!.rm....&.2|
3823 001720: 55aabc67 758f0bf7 e08494b9 bd6b58e6 |g..U...u.....Xk.|
3824 001730: 7ebfa560 1de51879 ccef1fbc 9d53b66b |`..~y.......k.S.|
3825 001740: 3132187b 89af1b05 84cd8560 6dca8542 |{.21....`...B..m|
3826 001750: 21d88b40 20961ea1 4ccccc08 e54f4013 |@..!... ...L.@O.|
3827 001760: 4be7fbd7 ff8b7722 61ffdaee e8f55369 |...K"w.....aiS..|
3828 001770: 7df62ae6 13ff990a a5fd35a3 2f4b31ce |.*.}.....5...1K/|
3829 001780: 4b8c8f18 5319668e ad567100 5566d2e1 |...K.f.S.qV...fU|
3830 001790: 437e648a b00d0379 005e07ea 7cb35c9d |.d~Cy.....^..\.||
3831 0017a0: c50fab9d 257ee6b7 26b92eba cd5eb8e8 |......~%...&..^.|
3832 0017b0: fe9f1bff 2ce758e9 bd67ff8f ea2a9ffd |.....X.,..g...*.|
3833 0017c0: ad59936a dac6ebe8 22eeb02d 5980ddd4 |j.Y.....-.."...Y|
3834 0017d0: 2bc1b1e3 2c372955 e4678efe 01fc7afc |...+U)7,..g..z..|
3835 0017e0: 39c28dbb dbb5e97f 3f9f67f9 7de3b0ce |...9.....g.?...}|
3836 0017f0: ff94aa51 d500a76c a097f9de f779f32b |Q...l.......+.y.|
3837 001800: 29cc8612 bd794c40 640c6de8 d705d35a |...)@Ly..m.dZ...|
3838 001810: 48349c59 1e04926a 1c587222 9321d48d |Y.4Hj..."rX...!.|
3839 001820: ff8bbc1b 25ffcaae 61e63518 e33df23e |.......%.5.a>.=.|
3840 001830: 9944bffb 5727fbbe ef38bb43 e4b3cf7e |..D...'WC.8.~...|
3841 001840: d1215ca1 10238302 60fe3465 54c6d454 |.\!...#.e4.`T..T|
3842 001850: a1248202 4d29865f 18425482 bd3d296f |..$._.)M.TB.o)=.|
3843 001860: 3da7ef18 d534936f 7d6b1ac8 7b555454 |...=o.4...k}TTU{|
3844 001870: ae9fc9cd 4dc157dd cd59bb54 dd7d8b3a |.....W.MT.Y.:.}.|
3845 001880: e86c8d2c 993c695b cc78e63a 294b501a |,.l.[i<.:.x..PK)|
3846 001890: 51a33798 fe0469fb 304b1a96 034e864d |.7.Q.i....K0M.N.|
3847 0018a0: 8eb7ed5d f9f5d993 73fbf50a afff00f9 |]..........s....|
3848 0018b0: 9eff7ede 1ffbedb6 37e7bf7f fd11ebc7 |.~.........7....|
3849 0018c0: acf1181a b5b40c42 7313f844 01850c67 |....B...D..sg...|
3850 0018d0: 03c526f1 90ff1d6f 28139428 ecbd7fae |.&..o...(..(....|
3851 0018e0: 5ae06b85 bfbd99c1 fd2b0ff8 f95d2471 |.k.Z......+.q$].|
3852 0018f0: 656fa1e5 55f15aff cf1717f6 fcf19a3b |..oe.Z.U....;...|
3853 001900: f1005d82 00b0650a e2645ad2 3d69c450 |.]...e...Zd.P.i=|
3854 001910: bb2bd189 0433e95b 91902194 26122dec |..+.[.3..!...-.&|
3855 001920: a3b997ac f77b6a01 3f75a32e 8bcdf64f |.....j{...u?O...|
3856 001930: 5fb7501a 5ddbe6e8 a7d5fa3e 1dd46bec |.P._...]>....k..|
3857 001940: 98c8ab4d f1320142 93cec271 86c5640a |M...B.2.q....d..|
3858 001950: 37454c68 f1bc95ae 4e969e83 ba26fadf |hLE7.......N..&.|
3859 001960: f7fded0f c8bcfedf f0f7abfc 9202ecea |................|
3860 001970: cb7e83fb efe76293 570f69c5 e0f17e42 |..~..b...i.WB~..|
3861 001980: 51305480 5bbc0c03 be542c83 ae48f657 |.T0Q...[.,T.W.H.|
3862 001990: 31b6a48e 2134c43d d2718d91 ff32b899 |...1=.4!..q...2.|
3863 0019a0: ff75dbad f7b4be61 f733382a c84ed92b |..u.a...*83.+.N.|
3864 0019b0: dedf5f3d 7e4e768f b8e7cdc8 35f73efd |=_...vN~.....>.5|
3865 0019c0: a93e31d0 18466c13 430bb035 f32da402 |.1>..lF.5..C..-.|
3866 0019d0: 1ac556b4 f60ad7f7 0d84feb6 d457d6cc |.V............W.|
3867 0019e0: fb59d4ef 787e78a9 11eee57a 9eba753a |..Y..x~xz...:u..|
3868 0019f0: be46d3db b7b7af67 fbd1ef6d a57fa4a3 |..F.g...m.......|
3869 001a00: 23041368 869c8371 48c5ce71 6ce28506 |h..#q...q..H...l|
3870 001a10: 9b17306e d100f610 228200a4 eb67a5d7 |n0........."..g.|
3871 001a20: d43f6e55 e0b7d6d3 f879a9ba 9f4aff6a |Un?.......y.j.J.|
3872 001a30: 744dfd6c bd70ee9d 1fe669af 79ffb39c |l.Mt..p..i.....y|
3873 001a40: e95a8a9c 106e8342 0e0c4636 229e3538 |..Z.B.n.6F..85."|
3874 001a50: d104d6b8 a108e986 39334921 1d417094 |........!I39.pA.|
3875 001a60: db9add3c a1d85092 e1cf077f e1f21f91 |<....P..........|
3876 001a70: f69ceead 1f2683fd a42e13a7 ea37bee6 |......&.......7.|
3877 001a80: 142d9438 901808b7 8394b54d 1a81ee10 |8.-.....M.......|
3878 001a90: e7e696ae 5e474188 9a9c1cb4 f3370261 |.....AG^....a.7.|
3879 001aa0: f53dffed f3d7ff77 db988ef6 8bd7c5cb |..=.w...........|
3880 001ab0: 7fdebf6c 7ebff2d9 31aca9fd ebfb0aaf |l......~...1....|
3881 001ac0: 8bd2afed 98af41c4 029816a6 c8902a84 |.....A.......*..|
3882 001ad0: 0dc8a3d9 c52339ba 54940073 a9112978 |.....9#.s..Tx)..|
3883 001ae0: dbf6abdc fef0cb93 fe036e9b 83fc4778 |.........n..xG..|
3884 001af0: f6df27db a7e7a7ef af8e39fc 3abffc7a |.'.......9..z..:|
3885 001b00: 8338e519 9e040003 951dc771 1c156729 |..8.....q...)g..|
3886 001b10: 8e302069 94eab044 80a10307 97464524 |i 0.D.......$EF.|
3887 001b20: 5f593b68 bb6cbeec 94e45a46 1bc61d50 |h;Y_..l.FZ..P...|
3888 001b30: dd1ef96f a64d9377 d3eefafa ef4f8449 |o...w.M.....I.O.|
3889 001b40: 1e0b8928 046ee2e2 779c1586 3ed84d80 |(.....n....w.M.>|
3890 001b50: 6f2d10a8 750220ca 0e341b46 2e406d7b |..-o. .uF.4.{m@.|
3891 001b60: 6dfa82bf 722421b3 fb8b8a07 ec9c6c5a |...m.!$r....Zl..|
3892 001b70: fef38b9d 571962ad 66af22b1 cae62954 |.....b.W.".fT)..|
3893 001b80: af4bad8b e88ab995 26a54b52 19e819e5 |..K.....RK.&....|
3894 001b90: 6044e373 a72c6180 035a83ae 611c4573 |s.D`.a,...Z.sE.a|
3895 001ba0: 1c7ddf9d 5ddd623e fedbe41a cd484fff |..}.>b.].....OH.|
3896 001bb0: d687b7ff efcf7ff6 5befaf31 a50fa127 |........1..['...|
3897 001bc0: e672f028 89f6e1c3 009ece92 4c40c508 |(.r...........@L|
3898 001bd0: f3048a17 b84332c7 4db5a024 1c3ee761 |.....2C.$..Ma.>.|
3899 001be0: 4ee152fc fdede9a3 14ed4b66 ede4b0f5 |.R.N....fK......|
3900 001bf0: bf705fb0 7e9ff5a7 77befdbf 99a50bcd |._p....~...w....|
3901 001c00: 0d81a843 0ed1b8d3 2a800e50 9f884094 |C.......P..*.@..|
3902 001c10: e87ab92f 64103b90 250003a1 dab3ad06 |/.z..;.d...%....|
3903 001c20: 7ff3542f 7149b36b f7c7e83d 980a656b |/T..k.Iq=...ke..|
3904 001c30: 78a77bb6 e64addbe ddefff57 ffeaff56 |.{.x..J.W...V...|
3905 001c40: 0712d441 a1051d7a 98cac418 bfd2450d |A...z........E..|
3906 001c50: 4f059ab4 6076a8a6 623eaf11 73238ce9 |...O..v`..>b..#s|
3907 001c60: dbefed1a c7f7b8c5 12bbe6fa 84e694e8 |................|
3908 001c70: 2f4d6a5a 0ce530e5 6f4eefff bacd14f1 |ZjM/.0....No....|
3909 001c80: 0722a4e8 c345aa98 2212efa3 a2131184 |.."...E...."....|
3910 001c90: 725ebac3 33a80c4a 20dc04fa e8fb4c54 |..^rJ..3... TL..|
3911 001ca0: 64cdf3e2 e4dffbff d25a8eb5 80ebe351 |...d......Z.Q...|
3912 001cb0: 6f4dafe4 ebfe0fce fbb568dd 9c3d1a83 |..Mo.....h....=.|
3913 001cc0: 77917bc4 78b8a8ed 81cbc552 e8428761 |.{.w...xR...a.B.|
3914 001cd0: 56187d10 66d4de69 c519092d 83b14ee1 |.}.Vi..f-....N..|
3915 001ce0: bbf7e8d3 7f9ef655 11316cb4 a7bb9bff |....U....l1.....|
3916 001cf0: ba7ef65e 3fdbf1fe bee6c96b 7ef7294f |^.~....?k...O).~|
3917 001d00: ab4b94e0 079124e4 3236ccd3 660d94c2 |..K..$....62...f|
3918 001d10: 4c548559 211614ac 6e942055 a9ba1ccc |Y.TL...!U .n....|
3919 001d20: fb6f4f10 68ccf8e4 a6f77677 df97b2af |.Oo....hwv......|
3920 001d30: ff6987f7 fff4bd5e 775a7133 5cbdd9e0 |..i.^...3qZw...\|
3921 001d40: 819a7a55 1e07a288 e95de00c ca2b8766 |Uz........].f.+.|
3922 001d50: 5f2dca55 0e8a4dc4 e9b1011e 1ab00564 |U.-_.M......d...|
3923 001d60: fdb7a3fc 7d3b323d b587a2b5 d4f0acb9 |....=2;}........|
3924 001d70: df3dbb5e ca1efcff 97eb4e32 ef658b9b |^.=.....2N....e.|
3925 001d80: 422c1830 1100b5c0 003a8db9 a43fa6f2 |0.,B......:...?.|
3926 001d90: b5948044 8c1e264a 76de6275 0cca0c56 |D...J&..ub.vV...|
3927 001da0: 7ff7dff6 7ddfddf0 1dca1734 b2fe14b3 |.......}4.......|
3928 001db0: e6b3fdb5 abc96ed8 f6b0e272 4cfd05b7 |.....n..r......L|
3929 001dc0: 3af01bfa 9dd2ce41 2e4fbc34 20c31d68 |...:A...4.O.h.. |
3930 001dd0: 043e1bb4 3e0210f4 db15754b ada6d0c4 |..>....>Ku......|
3931 001de0: f7f65637 77bfea3f 399aa02e bb26e59b |7V..?..w...9..&.|
3932 001df0: 2c54ee1e b62ef8bd bdcf3afe 9ce393c7 |..T,.....:......|
3933 001e00: 00000000 204cc38c 00000000 204cc390 |......L ......L |
3934 001e10: 00000000 204cc394 00000000 204cc398 |......L ......L |
3935 001e20: 00000000 204cc39c 00000000 204cc3a0 |......L ......L |
3936 001e30: 00000000 204cc3a4 00000000 204cc3a8 |......L ......L |
3937 001e40: 00000000 204cc3ac 00000000 204cc3b0 |......L ......L |
3938 001e50: 00000000 204cc3b4 00000000 204cc3b8 |......L ......L |
3939 001e60: 00000000 204cc3bc 00000000 e0400000 |......L ......@.|
3940 001e70: 00000000 03000000 00000000 00000000 |................|
3941 001e80: 00000000 204cc34c 00000000 204cc350 |....L.L ....P.L |
3942 001e90: 00000000 204cc354 00000000 204cc358 |....T.L ....X.L |
3943 001ea0: 00000000 204cc35c 00000000 204cc360 |....\.L ....`.L |
3944 001eb0: 00000000 204cc364 00000000 204cc368 |....d.L ....h.L |
3945 001ec0: 00000000 204cc36c 00000000 204cc370 |....l.L ....p.L |
3946 001ed0: 00000000 204cc374 00000000 204cc378 |....t.L ....x.L |
3947 001ee0: 00000000 204cc37c 00000000 204cc380 |....|.L ......L |
3948 001ef0: 00000000 204cc384 00000000 204cc388 |......L ......L |
3949 001f00: 00000000 204cc30c 00000000 204cc310 |......L ......L |
3950 001f10: 00000000 204cc314 00000000 204cc318 |......L ......L |
3951 001f20: 00000000 204cc31c 00000000 204cc320 |......L .... .L |
3952 001f30: 00000000 204cc324 00000000 204cc328 |....$.L ....(.L |
3953 001f40: 00000000 204cc32c 00000000 204cc330 |....,.L ....0.L |
3954 001f50: 00000000 204cc334 00000000 204cc338 |....4.L ....8.L |
3955 001f60: 00000000 204cc33c 00000000 204cc340 |....<.L ....@.L |
3956 001f70: 00000000 204cc344 00000000 204cc348 |....D.L ....H.L |
3957 001f80: 20800000 56100003 00000000 204cc001 |... ...V......L |
3958 001f90: 00000008 204cc002 00000000 204cc304 |......L ......L |
3959 001fa0: 00000000 00000300 00020001 429400f8 |...............B|
3960 001fb0: 00000000 00000500 00000005 00800000 |................|
3961 001fc0: 04800008 c1060700 20100003 42101003 |........... ...B|
3962 001fd0: 20010001 42100001 fffffff9 01000000 |... ...B........|
3963 001fe0: 00000000 08000500 00000000 204cc300 |..............L |
3964 001ff0: 00000000 204cc304 00000000 204cc308 |......L ......L |
3965 - bank: 1
3966 size: 2048
3967 - bank: 2
3968 size: 2048
3969 - type: A6XX_SP_LB_0_DATA
3970 - bank: 0
3971 size: 2048
3972 - bank: 1
3973 size: 2048
3974 - bank: 2
3975 size: 2048
3976 - type: A6XX_SP_LB_1_DATA
3977 - bank: 0
3978 size: 2048
3979 - bank: 1
3980 size: 2048
3981 - bank: 2
3982 size: 2048
3983 - type: A6XX_SP_LB_2_DATA
3984 - bank: 0
3985 size: 2048
3986 - bank: 1
3987 size: 2048
3988 - bank: 2
3989 size: 2048
3990 - type: A6XX_SP_LB_3_DATA
3991 - bank: 0
3992 size: 2048
3993 - bank: 1
3994 size: 2048
3995 - bank: 2
3996 size: 2048
3997 - type: A6XX_SP_LB_4_DATA
3998 - bank: 0
3999 size: 2048
4000 - bank: 1
4001 size: 2048
4002 - bank: 2
4003 size: 2048
4004 - type: A6XX_SP_LB_5_DATA
4005 - bank: 0
4006 size: 512
4007 - bank: 1
4008 size: 512
4009 - bank: 2
4010 size: 512
4011 - type: A6XX_SP_CB_BINDLESS_DATA
4012 - bank: 0
4013 size: 8192
4014 - bank: 1
4015 size: 8192
4016 - bank: 2
4017 size: 8192
4018 - type: A6XX_SP_CB_LEGACY_DATA
4019 - bank: 0
4020 size: 640
4021 - bank: 1
4022 size: 640
4023 - bank: 2
4024 size: 640
4025 - type: A6XX_SP_UAV_DATA
4026 - bank: 0
4027 size: 128
4028 - bank: 1
4029 size: 128
4030 - bank: 2
4031 size: 128
4032 - type: A6XX_SP_INST_TAG
4033 - bank: 0
4034 size: 128
4035 - bank: 1
4036 size: 128
4037 - bank: 2
4038 size: 128
4039 - type: A6XX_SP_CB_BINDLESS_TAG
4040 - bank: 0
4041 size: 128
4042 - bank: 1
4043 size: 128
4044 - bank: 2
4045 size: 128
4046 - type: A6XX_SP_TMO_UMO_TAG
4047 - bank: 0
4048 size: 128
4049 - bank: 1
4050 size: 128
4051 - bank: 2
4052 size: 128
4053 - type: A6XX_SP_SMO_TAG
4054 - bank: 0
4055 size: 128
4056 - bank: 1
4057 size: 128
4058 - bank: 2
4059 size: 128
4060 - type: A6XX_SP_STATE_DATA
4061 - bank: 0
4062 size: 63
4063 - bank: 1
4064 size: 63
4065 - bank: 2
4066 size: 63
4067 - type: A6XX_HLSQ_CHUNK_CVS_RAM
4068 - bank: 0
4069 size: 448
4070 - bank: 1
4071 size: 448
4072 - bank: 2
4073 size: 448
4074 - type: A6XX_HLSQ_CHUNK_CPS_RAM
4075 - bank: 0
4076 size: 640
4077 - bank: 1
4078 size: 640
4079 - bank: 2
4080 size: 640
4081 - type: A6XX_HLSQ_CHUNK_CVS_RAM_TAG
4082 - bank: 0
4083 size: 64
4084 - bank: 1
4085 size: 64
4086 - bank: 2
4087 size: 64
4088 - type: A6XX_HLSQ_CHUNK_CPS_RAM_TAG
4089 - bank: 0
4090 size: 64
4091 - bank: 1
4092 size: 64
4093 - bank: 2
4094 size: 64
4095 - type: A6XX_HLSQ_ICB_CVS_CB_BASE_TAG
4096 - bank: 0
4097 size: 4
4098 - bank: 1
4099 size: 4
4100 - bank: 2
4101 size: 4
4102 - type: A6XX_HLSQ_ICB_CPS_CB_BASE_TAG
4103 - bank: 0
4104 size: 4
4105 - bank: 1
4106 size: 4
4107 - bank: 2
4108 size: 4
4109 - type: A6XX_HLSQ_CVS_MISC_RAM
4110 - bank: 0
4111 size: 448
4112 - bank: 1
4113 size: 448
4114 - bank: 2
4115 size: 448
4116 - type: A6XX_HLSQ_CPS_MISC_RAM
4117 - bank: 0
4118 size: 1408
4119 - bank: 1
4120 size: 1408
4121 - bank: 2
4122 size: 1408
4123 - type: A6XX_HLSQ_INST_RAM
4124 - bank: 0
4125 size: 2048
4126 :2:0000:0000[40846422x_d81251c5x] (sat)(ul)sign.f r8.z, (neg)hc113.y
4127 :4:0001:0001[938a16e2x_520c369ax] (sy)(ss)(sat)(rpt2)unknown(4,28) hr56.z, -358
4128 :1:0002:0004[200a00c1x_094864d2x] cov.u16f16 hr<a0.x + 193>,
4129 ../src/freedreno/ir3/disasm-a3xx.c:184: regmask_get: Assertion `num < MAX_REG' failed.
4130 -----------------------------------------------
4131 8192 (0x2000) bytes
4132 000000: d81251c5 40846422 520c369a 938a16e2 |.Q.."d.@.6.R....|
4133 000010: 094864d2 200a00c1 4a201507 44109084 |.dH.... .. J...D|
4134 000020: 14a391b1 882fadab 7106601a 6060f068 |....../..`.qh.``|
4135 000030: 02900201 60ed4212 8a054280 005b6589 |.....B.`.B...e[.|
4136 000040: dbae7dee 7cebfff7 fd7472ff aff86b27 |.}.....|.rt.'k..|
4137 000050: 6d7a21a4 0ed959d7 8d6e703b 445a8ebe |.!zm.Y..;pn...ZD|
4138 000060: 1f6ffee7 dc7bfeef abce7def cf7dfdf7 |..o...{..}....}.|
4139 000070: 6bf77060 43ae53ce 1d155c9e 210f657a |`p.k.S.C.\..ze.!|
4140 000080: f398f1da bb3bc5bc 407efedb 2ae4a3ce |......;...~@...*|
4141 000090: d7a6d1c9 26f0e328 988b003e 1944221c |....(..&>...."D.|
4142 0000a0: b64f61f1 6e69ebff 8aaa7181 8f0abbf9 |.aO...in.q......|
4143 0000b0: 24941211 8260e056 76148931 1823c880 |...$V.`.1..v..#.|
4144 0000c0: f45efc5f 83b2cd7f ae314bee aca4fe3e |_.^......K1.>...|
4145 0000d0: fe5fb07d ce7f8aec 5c8ddf5f 1689aa4f |}._....._..\O...|
4146 0000e0: 5bb05fb2 f3b7d3f5 b98aafa3 2f8f7fe6 |._.[.........../|
4147 0000f0: 3fb580fc ddbf531b 0da32123 ae1a6fa0 |...?.S..#!...o..|
4148 000100: d6caa35a 729df118 2fac5efe 79ff09e0 |Z......r.^./...y|
4149 000110: d790c392 2775e305 3caa9a04 7c089042 |......u'...<B..||
4150 000120: 10931636 fe7c4cc5 aecabb3a 5ca5dd4c |6....L|.:...L..\|
4151 000130: 3d011055 228d994a 89b91024 ae428130 |U..=J.."$...0.B.|
4152 000140: ae7f3e4f e27eceff e5dfffaf f5f5e374 |O>....~.....t...|
4153 000150: 5d718f8a 94bad5b9 4d2c840d fdabdaaa |..q]......,M....|
4154 000160: 9dee1ace 2c13fe7d f3ee3dff e13e57fd |....}..,.=...W>.|
4155 000170: 9c85fea6 f7847d56 c52f0aae 75c9ef82 |....V}..../....u|
4156 000180: 2daa5ce6 cafdd5e8 507cd78d ffb4a18e |.\.-......|P....|
4157 000190: 5de9c36b 4af893ba c176a41a 5801d0e0 |k..]...J..v....X|
4158 0001a0: 389dd26d ec627149 3cc5c2c7 55dc1ec9 |m..8Iqb....<...U|
4159 0001b0: 84d70311 a9c08302 9a73df90 344be02b |..........s.+.K4|
4160 0001c0: 49b2efef bddc6abe ba4d59d6 be7dedd9 |...I.j...YM...}.|
4161 0001d0: d99ca5e4 4691eadd 342410db 912f4e06 |.......F..$4.N/.|
4162 0001e0: f6f9ff37 babfb14f 67ef7fc3 d53843af |7...O......g.C8.|
4163 0001f0: 3f6ef799 48a1d47d 8acfdb18 64eb2a3c |..n?}..H....<*.d|
4164 000200: 7df6f78f 7d54c6b5 1a0e5fc5 6d909d8f |...}..T}._.....m|
4165 000210: 467db808 adc6c081 2080b951 280e8eae |..}F....Q.. ...(|
4166 000220: 94b5ba3b c45929e7 bf83fae3 198efb6b |;....)Y.....k...|
4167 000230: 8a327c92 1c209698 21295506 b429388c |.|2... ..U)!.8).|
4168 000240: 8ff6efb8 7ed56bf3 267373c3 64fa7def |.....k.~.ss&.}.d|
4169 000250: 05a8abfc 7d2f441d ae087f1d 0f40987d |.....D/}....}.@.|
4170 000260: ebedee7a dff77be6 b324f7fb bdfe9b6d |z....{....$.m...|
4171 000270: 9e7cfb82 f2328115 e12d3c1a 9de608fb |..|...2..<-.....|
4172 000280: e8cc4e1a 56332ff5 926f7a97 c948ddad |.N.../3V.zo...H.|
4173 000290: 80442114 0680b1af 0b7a40be 6680c406 |.!D......@z....f|
4174 0002a0: c4ed2a39 3ce736df b5fe6364 1dd36355 |9*...6.<dc..Uc..|
4175 0002b0: 23020e20 a0026389 b00d213c 6f561d51 | ..#.c..<!..Q.Vo|
4176 0002c0: ae1fddf5 5afbcbf5 de0e739c 372d73d9 |.......Z.s...s-7|
4177 0002d0: 9162ac0d e7acc1a6 78e0e951 f4162651 |..b.....Q..xQ&..|
4178 0002e0: fe65f8fb 5ed7b36f 8df6478d dff9f6f0 |..e.o..^.G......|
4179 0002f0: 638476f4 d6b4d748 24a9693c eeaaa833 |.v.cH...<i.$3...|
4180 000300: 9e6cfdd9 fa725c9b 0e96794d deb8e1a3 |..l..\r.My......|
4181 000310: b0008d55 c8313a48 6f850460 28dc3d02 |U...H:1.`..o.=.(|
4182 000320: a7acfae6 2a5fab98 5dc47f9b 97eec5c1 |......_*...]....|
4183 000330: 66c23624 48f33070 92e2222c 84799b92 |$6.fp0.H,"....y.|
4184 000340: eaec9b9b efe2bfb5 2f3ef110 1d69a9b4 |..........>/..i.|
4185 000350: 9f3cae56 46fd4e46 cc9018e1 3082947e |V.<.FN.F....~..0|
4186 000360: 9f546e57 3ffdff5d dd1b2bc9 4d63be5f |WnT.]..?.+.._.cM|
4187 000370: 1356c9d2 49dca3bb 14e99370 d3ad985b |..V....Ip...[...|
4188 000380: a9e59bfa 30f4debd 9a9eb33c 2fd174f7 |.......0<....t./|
4189 000390: 92348790 015f2c16 3b200285 bd41de86 |..4..,_... ;..A.|
4190 0003a0: 2d75c233 0fed7dc5 71d48a99 65a4fb0d |3.u-.}.....q...e|
4191 0003b0: 61d8fd60 8ab2f259 04a5a31a 25d91076 |`..aY.......v..%|
4192 0003c0: a4f5d6df 35bc9fbf 179ebbd3 ab7a27a5 |.......5.....'z.|
4193 0003d0: 8a27c78f 73c790d3 8f67a6f3 075a60be |..'....s..g..`Z.|
4194 0003e0: bbd1f2e3 b71d349e fbd0befd b18f37e4 |.....4.......7..|
4195 0003f0: 196aac74 9c33b0c8 d088be1a 97d3c0fd |t.j...3.........|
4196 000400: fbe7afb8 0ed84f59 d71de695 abca98b3 |....YO..........|
4197 000410: 5e68cd28 0f525018 8f911e4c 00c53017 |(.h^.PR.L....0..|
4198 000420: 88a88f3b ad61c117 a962eaa6 9dabd6d4 |;.....a...b.....|
4199 000430: 1ea39076 84d0a242 751d3428 b62c23d2 |v...B...(4.u.#,.|
4200 000440: 7ff99fad f37a5f7e a9efa905 79485ba9 |....~_z......[Hy|
4201 000450: fd43ad18 25dfa024 1bb23bf1 c5f31292 |..C.$..%.;......|
4202 000460: f7eadef9 65f92639 35eabef3 2decf7f7 |....9&.e...5...-|
4203 000470: 4ac97036 dbbb2a1b 736989bb dc1d4330 |6p.J.*....is0C..|
4204 000480: f1ef948a d7f14dbc 4cad1047 cd541fab |.....M..G..L..T.|
4205 000490: bf457230 0d40084c 06e92826 c1fe3024 |0rE.L.@.&(..$0..|
4206 0004a0: e2f868bb 8d5bf75a 71b391b7 6d6e20c2 |.h..Z.[....q. nm|
4207 0004b0: 9e62d150 5a580d20 8307395a 8410021d |P.b. .XZZ9......|
4208 0004c0: 31a37467 1fcd96cc dbd6caec 4cf33e2a |gt.1........*>.L|
4209 0004d0: 29c7ea38 afe56c68 5f0a4d1c a1ecc8f0 |8..)hl...M._....|
4210 0004e0: db79e2ff 6d8fffef f5717bff 69bf74f2 |..y....m.{q..t.i|
4211 0004f0: 704c84d0 6925af38 ebe91b59 e30cd877 |..Lp8.%iY...w...|
4212 000500: f94b46af dfbda575 594e484f fc7c242b |.FK.u...OHNY+$|.|
4213 000510: 40915ea0 95f02c71 57c12850 803ee028 |.^.@q,..P(.W(.>.|
4214 000520: dc46877f d9ef8dec abea64f3 6825cba5 |..F......d....%h|
4215 000530: d54e9401 73aca5a0 68f2b428 d41c5b66 |..N....s(..hf[..|
4216 000540: e97f757e 78ffd7b4 d16efff5 1dd7bcea |~u.....x..n.....|
4217 000550: b2494bac cedd4d34 0a75e68d 561b600d |.KI.4M....u..`.V|
4218 000560: 85f54fdd 2fffff2d 1bef54cf de54b7fd |.O..-../.T....T.|
4219 000570: d94fe316 d1a4996e f161e53e 818c5e69 |..O.n...>.a.i^..|
4220 000580: e9a271f7 b85f97d1 863ef0ff 317cbe10 |.q...._...>...|1|
4221 000590: f2e0c000 9dc2d36e 96e746eb 0433f00d |....n....F....3.|
4222 0005a0: cc5dc775 dd476965 777f9774 b650dbd0 |u.].eiG.t..w..P.|
4223 0005b0: 410ac81d 4c232a80 3729aa58 a8b29c30 |...A.*#LX.)70...|
4224 0005c0: c7fdf676 17eb9f87 dead3df7 397a3ed9 |v........=...>z9|
4225 0005d0: e1a2ce10 2b5097a0 fc24d47f 20d54146 |......P+..$.FA. |
4226 0005e0: f7bdfedf ddd791ff 7d4b7fd5 fe9fddfe |..........K}....|
4227 0005f0: 47ee0dec ca323abc ed9b2702 87527cc4 |...G.:2..'...|R.|
4228 000600: 42ede016 86d1a226 8e20b9f4 bd17bb4e |...B&..... .N...|
4229 000610: 49e20c01 5a6a6854 4210b07e b8b0c43d |...IThjZ~..B=...|
4230 000620: 19925d2b 5f20b99f f4bc30d9 3c008a90 |+].... _.0.....<|
4231 000630: f61c0023 f2ea441f db7a601a a37ef5c8 |#....D...`z...~.|
4232 000640: 6bb1ff3a cdb13beb f15bdf67 4e4cbb2f |:..k.;..g.[./.LN|
4233 000650: 46267d75 22a1d1db b27ea820 6534c534 |u}&F..." .~.4.4e|
4234 000660: bfe7d99f fa97a4ae afa5a7fc 752f5eac |.............^/u|
4235 000670: a30c7d04 3a120864 538e4338 da1a9bdf |.}..d..:8C.S....|
4236 000680: e270cdda b4693ebf 271cb874 c73e995e |..p..>i.t..'^.>.|
4237 000690: 86a0c20d 3cc0b412 83527fc2 6608453a |.......<..R.:E.f|
4238 0006a0: de8adf2f d39835b5 cb4624db f7c6db4c |/....5...$F.L...|
4239 0006b0: c032489e da322031 d7890169 51a4283e |.H2.1 2.i...>(.Q|
4240 0006c0: f867fefd 6ca6fb5d dc40b39d bfc7bf67 |..g.]..l..@.g...|
4241 0006d0: 93af7349 795faea8 e48334c7 ab9a2b7a |Is...._y.4..z+..|
4242 0006e0: b6ca6fff 9bea77bf 553ca9e7 27a57d2e |.o...w....<U.}.'|
4243 0006f0: c077d1a2 e322e1ea 59454281 a9b07a2b |..w..."..BEY+z..|
4244 000700: fdc600be 471710bd 84618f38 77a79c6d |.......G8.a.m..w|
4245 000710: 11441181 662c9695 ee10226a 3fb642d8 |..D...,fj"...B.?|
4246 000720: 761c4ee9 d3f2ed99 5fcab4f6 2fe2b048 |.N.v......._H../|
4247 000730: e9d0f6bc 8473b906 b9612905 c0203029 |......s..)a.)0 .|
4248 000740: eafa499b a8a6bdc7 d4c6daf7 d3efe6f1 |.I..............|
4249 000750: 1c5e81d7 c9ffa299 1f308ace 9d36885e |..^.......0.^.6.|
4250 000760: 679efef6 1db5edaf b76babbf 962b8f0b |...g......k...+.|
4251 000770: 1cb677c6 9260d36e 92e31f9e c70e0cbb |.w..n.`.........|
4252 000780: ab59f0bf 54a57ecc fbe1714f aabdfe70 |..Y..~.TOq..p...|
4253 000790: 0246c295 79d7c818 4f05e004 37030e90 |..F....y...O...7|
4254 0007a0: e25a5d25 49b5be27 53afe12c aa4deb53 |%]Z.'..I,..SS.M.|
4255 0007b0: 2170a433 c358d004 36db1318 4254022d |3.p!..X....6-.TB|
4256 0007c0: 53f6ef3d 6845dffa 7b7f52d7 d2fddb67 |=..S..Eh.R.{g...|
4257 0007d0: 837b67d6 6575c842 3f38a397 cf15a8ab |.g{.B.ue..8?....|
4258 0007e0: 3bdb5dbb 95d6eaf6 779a77ea bbcdfb8d |.].;.....w.w....|
4259 0007f0: 63b080fd 5b8397d9 0437f0e0 dac09e67 |...c...[..7.g...|
4260 000800: 21122f3f d183e77a dc9fce79 ac788972 |?/.!z...y...r.x.|
4261 000810: f039082c 110018b2 026087a1 a1e1aa1a |,.9.......`.....|
4262 000820: 7b94dceb db97faec f30a22fa 52f18f2e |...{.....".....R|
4263 000830: 9a20d0c0 63591388 bc5206a0 a9a5a644 |.. ...Yc..R.D...|
4264 000840: f5dbff4f dbfbeefb 4f1fd975 bc7fffcc |O.......u..O....|
4265 000850: ae2c2840 830834bf 35d55fb9 8800b3d8 |@(,..4..._.5....|
4266 000860: 7b8affd2 fef7b5ee bc5e2fa7 3c56676d |...{...../^.mgV<|
4267 000870: 35eace93 a6f24c80 cf429677 cb8d5837 |...5.L..w.B.7X..|
4268 000880: 5bba5e4f 9d86b4e8 f56a4415 18efb1fa |O^.[.....Dj.....|
4269 000890: 754f7801 f42d9c91 33110120 5c015342 |.xOu..-. ..3BS.\|
4270 0008a0: 7b13758b 7973fdbb 14ce3634 f742776c |.u.{..sy46..lwB.|
4271 0008b0: 01cc0c2c 850e63c4 8e401411 750690f8 |,....c....@....u|
4272 0008c0: 6dbff3ba 85f9d7ff ca3cd7dd 7b9fe6c9 |...m......<....{|
4273 0008d0: f8da6852 23bf96bd 84038f7b 34abc0a0 |Rh.....#{......4|
4274 0008e0: b7ff7e27 ffe9f5fc 345ebc1c b40abf87 |'~........^4....|
4275 0008f0: 0d8746a4 c9d2fd70 5d231505 f5e73340 |.F..p.....#]@3..|
4276 000900: 1ceaf2bf 090d82ab e2cef9cd f4f3c67b |............{...|
4277 000910: 18226734 08a9dc89 71010008 bea441d8 |4g"........q.A..|
4278 000920: deffdec3 7cf4b7c2 20dd8f48 2bd8e657 |.......|H.. W..+|
4279 000930: d88fb840 28466348 b5e142e4 a1e80420 |@...HcF(.B.. ...|
4280 000940: f59adebf e1cfabb8 e2d739de dfffd4ba |.........9......|
4281 000950: 5a45963d 248eac40 32832ba0 dc43dc5a |=.EZ@..$.+.2Z.C.|
4282 000960: deafe5ef 7e5ff7de 799e9e77 f5fdf2f9 |......_~w..y....|
4283 000970: 48ccf685 c72ac1e7 9df329f2 08b689b2 |...H..*..)......|
4284 000980: c140a6d9 5f3f9610 9fdbfcb6 1f2ff121 |..@...?_....!./.|
4285 000990: 0b31081b e22b6b54 44024b63 004b98a8 |..1.Tk+.cK.D..K.|
4286 0009a0: dad93c71 f99679d6 3a427eff 7d7ddc3b |q<...y...~B:;.}}|
4287 0009b0: 10082980 d822b555 09502cc4 892091aa |.)..U."..,P... .|
4288 0009c0: dde7e7c3 64ffdfb7 51dff4e7 5fabd9fb |.......d...Q..._|
4289 0009d0: 1fa23288 80773df4 38e05c6a 18c594ba |.2...=w.j\.8....|
4290 0009e0: b3afccf9 f17dd785 fd5d7dcf ff7fff3b |......}..}].;...|
4291 0009f0: c9069b7c e1e0cdb2 2b113bc1 df3937e8 ||........;.+.79.|
4292 000a00: 7cdab77b 94af97ce 3b151ae5 ea7f7957 |{..|.......;Wy..|
4293 000a10: ac0f8429 48ebc45c 9cab1009 127715b2 |)...\..H......w.|
4294 000a20: 663bac70 eb1eb28a 83c638ef faac0a77 |p.;f.....8..w...|
4295 000a30: 29c4d432 c1b74c40 ae6a3c42 613965aa |2..)@L..B<j..e9a|
4296 000a40: dfd0ef3b f7a5ff2d fbf6a0ff a8ef63e1 |;...-........c..|
4297 000a50: 553668f6 2fdb059e 82af7902 f34c43e0 |.h6U.../.y...CL.|
4298 000a60: b7169fdd eff6d32b 1bedfffd dbc79eb6 |....+...........|
4299 000a70: 6220aa52 b3f4ecd2 8bafb479 57f612aa |R. b....y......W|
4300 000a80: e63b20b3 a1addaec d9732cf6 2826b96c |. ;......,s.l.&(|
4301 000a90: a949a863 a8f58f2f 42064e20 12644302 |c.I./... N.B.Cd.|
4302 000aa0: e1674f29 433dc66b 141d97ab ff4bfe12 |)Og.k.=C......K.|
4303 000ab0: 176aeed0 152b03e6 04cac509 2ddc56ed |..j...+......V.-|
4304 000ac0: f67ce7af e76bbecf fa27e34e 7e7eb95c |..|...k.N.'.\.~~|
4305 000ad0: 2958b887 958a1e3e 87378806 18aafec3 |..X)>.....7.....|
4306 000ae0: ef5f5b78 ff879fe3 63ef9e5b ff1aff79 |x[_.....[..cy...|
4307 000af0: 59b4e310 d1ea9277 63821460 afdf97c2 |...Yw...`..c....|
4308 000b00: 47fede69 8ef49a36 6fb1f0f6 af6e4d74 |i..G6......otMn.|
4309 000b10: 3c5038c3 6a0ae520 421e90c9 2db87b50 |.8P< ..j...BP{.-|
4310 000b20: f91b19f2 c14f49ea 876b7a81 7658f712 |.....IO..zk...Xv|
4311 000b30: 9148f5d1 85c31006 1828222c 0f2303db |..H.....,"(...#.|
4312 000b40: 5fe6f79f fff79adb 37e7e577 ef2b8bb7 |..._....w..7..+.|
4313 000b50: 49b01c7b 70acffe2 b063b1d7 1a72fe0a |{..I...p..c...r.|
4314 000b60: a7fd5dd7 fe9fde0d cdd7ff15 fdbbdaa8 |.]..............|
4315 000b70: 7242e340 874351ff bf45adac 1fea207d |@.Br.QC...E.} ..|
4316 000b80: dc39e686 9b17a0ef 5870a7fe 65bad24f |..9.......pXO..e|
4317 000b90: 4e019a24 b0aede00 08206082 603a1a87 |$..N.....` ...:`|
4318 000ba0: 0b9dd0f6 3bd0b26e f55fb1bd a19aee8c |....n..;.._.....|
4319 000bb0: 10d04f4a 00621809 cc21c084 400bd228 |JO....b...!.(..@|
4320 000bc0: fa6d7fd7 df5dbbf5 c8fe54ef d47dd75f |..m...]..T.._.}.|
4321 000bd0: 9f15df70 81672e9c 0b253fe0 e3b706fd |p.....g..?%.....|
4322 000be0: 957d7779 d2db64b4 a88a9fbb d92e637c |yw}..d......|c..|
4323 000bf0: 44b30bee a3f4d28d 6431f2fa c24ac80f |...D......1d..J.|
4324 000c00: 42a37e9c 1817bb5e 036e6dff a0fe3bb0 |.~.B^....mn..;..|
4325 000c10: 59c680c2 748c684a 022c9060 96d004c0 |...YJh.t`.,.....|
4326 000c20: ac31d4e0 71ba65a4 d3fb90e5 5fe02ddd |..1..e.q.....-._|
4327 000c30: 16012425 9b7bba16 8621530d 82338288 |%$....{..S!...3.|
4328 000c40: 59fea57b 1f4d3c2f 3d4f73df eee5cdbc |{..Y/<M..sO=....|
4329 000c50: 28ddcbcf df9f7600 c618d6d8 d71595e2 |...(.v..........|
4330 000c60: 2fbdcdff de9aabf5 f2bbe9fd 7deeeaec |.../...........}|
4331 000c70: cfbb90bd 1ffb5ae4 04b8e535 e745b3df |.....Z..5.....E.|
4332 000c80: 4f7f446e c6ad63ea 453839fc 0fa80b01 |nD.O.c...98E....|
4333 000c90: c80944e2 c6ec8f20 8705e766 a12400d4 |.D.. ...f.....$.|
4334 000ca0: 757c7afa dbfb2f64 d093f7f4 1f3288ae |.z|ud/........2.|
4335 000cb0: 49225035 13dfd28d 9780f517 0940817e |5P"I........~.@.|
4336 000cc0: eb5ffbe5 4ddbfbfc bfce7f3d 7feb796f |.._....M=...oy..|
4337 000cd0: f030596a b67e18c4 b3d070cc 673118c8 |jY0...~..p....1g|
4338 000ce0: 7ffcfb6f 6dabe333 dcb27449 d4fec6cd |o...3..mIt......|
4339 000cf0: c91af48e db41d229 0c89bb08 39c2bf92 |....).A........9|
4340 000d00: 3e9d3e8f 29f4225d 365ef87c 5b459e6f |.>.>]".)|.^6o.E[|
4341 000d10: 67232440 1021320c 2dc63844 a2c972af |@$#g.2!.D8.-.r..|
4342 000d20: 01f5feff e9619f05 2f804818 933e7181 |......a..H./.q>.|
4343 000d30: a681d542 5029d251 80184890 8d53c35a |B...Q.)P.H..Z.S.|
4344 000d40: f35f66df a9af7770 b3a77c7e fdc38bbf |.f_.pw..~|......|
4345 000d50: f86c85bb 7a26372b 8be766a4 c255b355 |..l.+7&z.f..U.U.|
4346 000d60: d56f7dc7 b9a6fbb9 f776fa79 6aab6ace |.}o.....y.v..j.j|
4347 000d70: 18fd746c 95f25a70 02f1470d ed460309 |lt..pZ...G....F.|
4348 000d80: 755b63bf 0744e0c1 2cef3db4 324e5213 |.c[u..D..=.,.RN2|
4349 000d90: 44e18901 84c35725 a2048840 90d20a6a |...D%W..@...j...|
4350 000da0: 15c60568 bc7abb54 d3dc70f3 a5d9475e |h...T.z..p..^G..|
4351 000db0: 3270b6c5 0222282c 01901025 d7cc111f |..p2,(".%.......|
4352 000dc0: f5dec1be de7aaea9 1a773974 a35f0f71 |......z.t9w.q._.|
4353 000dd0: bb975ffb 8f635180 f6ac0741 8ef09af3 |._...Qc.A.......|
4354 000de0: 355eefdf de6efab8 15d73fbf 6f83deff |..^5..n..?.....o|
4355 000df0: 66d6f687 573b9eab 8c0adda4 d45bbffc |...f..;W......[.|
4356 000e00: cdc369f9 c3710ee9 98defb86 675fa967 |.i....q.....g._g|
4357 000e10: 18207f54 02fe5921 15200535 cd919aad |T. .!Y..5. .....|
4358 000e20: 43fafbe6 a78b2e5b 34c7085a be0a3b3f |...C[...Z..4?;..|
4359 000e30: 014426bc 4d920245 2bf16e23 8352897c |.&D.E..M#n.+|.R.|
4360 000e40: bfd9bd75 f7c3cf0d 5bc95671 8fde2dc7 |u.......qV.[.-..|
4361 000e50: 636c69bc db47d15a 7d480a92 c097f689 |.ilcZ.G...H}....|
4362 000e60: ba7fb3be 5c9fbd73 3955becc 9cf8fd6d |....s..\..U9m...|
4363 000e70: a6701cd9 69d61f59 a9fe1c2d b44e01eb |..p.Y..i-.....N.|
4364 000e80: e8f5fc11 6d88458e 5153eb73 2fdce602 |.....E.ms.SQ.../|
4365 000e90: 8b316999 003b90c2 50f80818 d7a0e40f |.i1...;....P....|
4366 000ea0: de1733d6 266980de ef8a5f74 f5bd6e0e |.3....i&t_...n..|
4367 000eb0: 974421bb 8287b003 308cdc19 15b37328 |.!D........0(s..|
4368 000ec0: 7e897b7f dd3dfeee efffe77d 9551ba60 |.{.~..=.}...`.Q.|
4369 000ed0: 6b6d6d10 a96ce246 44d01a6b 1f9b1199 |.mmkF.l.k..D....|
4370 000ee0: bff3e7ff b736bf1d a65e35fe fbbbdfba |......6..5^.....|
4371 000ef0: 0af03fb7 95947c8e 1aadab11 acbf1c18 |.?...|..........|
4372 000f00: 72396332 f7930a81 2a990d15 b20390ca |2c9r.......*....|
4373 000f10: fc0cb71c 2cca91b2 84aa6552 5320459e |.......,Re...E S|
4374 000f20: 7f129c5b 3c3f2e66 28778393 a90b598a |[...f.?<..w(.Y..|
4375 000f30: 42047624 d5144a00 d2a94e50 bd3495f3 |$v.B.J..PN....4.|
4376 000f40: bd9e6f34 ee1eeff9 19fdeb9f df93db09 |4o..............|
4377 000f50: dafce3e4 2debdb0e cd6b07ee cb95f8be |.......-..k.....|
4378 000f60: 3fbde4e7 4afe9267 7c1f9c95 b33dcddf |...?g..J...|..=.|
4379 000f70: 3f1c7695 6fb3b246 b4d5a7c8 4e5457bb |.v.?F..o.....WTN|
4380 000f80: efc19b34 e61aa3c4 88efabad 17a839c1 |4............9..|
4381 000f90: 009744cc 0a06d0ca 8943758e 617544c5 |.D.......uC..Dua|
4382 000fa0: af670071 3e706726 e61c99d5 2b775d58 |q.g.&gp>....X]w+|
4383 000fb0: a35ab108 e4981c63 09450086 2b5c60b4 |..Z.c.....E..`\+|
4384 000fc0: 17df9ff8 6e0fbd82 9ddbbb2f 1debe7a3 |.......n/.......|
4385 000fd0: d97a274f a5e54053 c421a72b 09cacc65 |O'z.S@..+.!.e...|
4386 000fe0: 37af2e6d ee5efffe ab7dbf99 4d7f7d5b |m..7..^...}.[}.M|
4387 000ff0: d35ab5a6 01f56a6f c1288f2b cd85a5f1 |..Z.oj..+.(.....|
4388 001000: 8e9bef4a b1ac8e95 7ef1d436 0c99a14f |J.......6..~O...|
4389 001010: e2a0990e 61261400 55069720 87273808 |......&a ..U.8'.|
4390 001020: b931764e 909dd903 7395be34 2cdd7619 |Nv1.....4..s.v.,|
4391 001030: d4ca85a5 20822533 ba086428 8650e5f9 |....3%. (d....P.|
4392 001040: d9e7ffcb f3bc7f0f ceb2ffbf 3c7d6be9 |.............k}<|
4393 001050: b4b6bd65 2ed9bde7 5dbe3f4c 8af2ff41 |e.......L?.]A...|
4394 001060: dcff77df b6fb8ff7 fe1fbfcd 54d7f6fe |.w.............T|
4395 001070: 46ec6f49 f3a139e3 bb85fa91 e630f97e |Io.F.9......~.0.|
4396 001080: 193f2b09 b4fc0e10 f9d6df7f 704d6f8d |.+?..........oMp|
4397 001090: 707aa215 0ff31382 0d8a85b1 20000903 |..zp........... |
4398 0010a0: 81f3bd98 8f7a8a3c 989edde9 5f0217d1 |....<.z........_|
4399 0010b0: 094c6c29 5577a600 ae1e8000 e9479de8 |)lL...wU......G.|
4400 0010c0: b18fa9ef 3fbffabf fbcfef29 f2e77fdf |.......?).......|
4401 0010d0: 05abe018 3bb71f1b dc491441 213fa015 |.......;A.I...?!|
4402 0010e0: ba35fd3b f65d073c d695add1 5ff6b5de |;.5.<.]........_|
4403 0010f0: b3d8dba6 5e13b03f b7eaf656 cb3936be |....?..^V....69.|
4404 001100: f28c71ec 77ed8a08 deb47f27 2a5fdcef |.q.....w'....._*|
4405 001110: e345046c 6cd78505 29372113 4731848b |l.E....l.!7)..1G|
4406 001120: e77d6f39 a03f8f6e b2df35b1 b847d179 |9o}.n.?..5..y.G.|
4407 001130: 56b42006 572aa06e e9987a38 1ba1b000 |. .Vn.*W8z......|
4408 001140: c42a2bed d669fbd5 1ff5f28b 387dd5f8 |.+*...i.......}8|
4409 001150: f5f71a68 3ebd1fa2 0b1fd1d7 07224f23 |h......>....#O".|
4410 001160: e66f5fdf 0a57bfef ebbf7ca6 29ebf7b9 |._o...W..|.....)|
4411 001170: 95155697 7603ebce d6a9a5a5 ed4db308 |.V.....v......M.|
4412 001180: d7a3ad24 ca44fba4 a95bf0a2 18bcb706 |$.....D...[.....|
4413 001190: 48065200 18173352 1ad4351b 22a260b9 |.R.HR3...5...`."|
4414 0011a0: d0e948b3 fcd12045 f4dbb6f6 25973a4b |.H..E ......K:.%|
4415 0011b0: 2935d002 a45660e8 48902c91 a4005082 |..5).`V..,.H.P..|
4416 0011c0: ddcef7dc fbeff72b b7aa7f6c 4b5e7d4f |....+...l...O}^K|
4417 0011d0: 6f42713a 8824b1d6 10ceb8f5 3375934c |:qBo..$.....L.u3|
4418 0011e0: cdf946b5 7ab5ccdf ab92fef8 f91e6f45 |.F.....z....Eo..|
4419 0011f0: 5f4dc592 ea2835ba cd186724 2591d00f |..M_.5(.$g.....%|
4420 001200: 8f9399a9 e36ed2d7 b7aa3dc3 d94677de |......n..=...wF.|
4421 001210: 1471c281 600945a3 adaa9295 28905044 |..q..E.`....DP.(|
4422 001220: c577dda9 905ffdd1 f9fafbd8 399153ca |..w..._......S.9|
4423 001230: 8beaca0d c1ac089c 7223e724 81210001 |........$.#r..!.|
4424 001240: f1ff37fb bbedfd77 bf236edc df1f7bd9 |.7..w....n#..{..|
4425 001250: 2c3bce3a 38c31e82 96b7d111 a938f8ca |:.;,...8......8.|
4426 001260: a3f2c59f ed4f54df b9fa7fff 7e2dfbed |.....TO.......-~|
4427 001270: b2e53ec8 9a47ecdc 2b2194a8 ac11427c |.>....G...!+|B..|
4428 001280: ed6f2c2c 28670c73 1e177e79 8a76f766 |,,o.s.g(y~..f.v.|
4429 001290: a2050bd9 78821570 d016c0aa 462a6813 |....p..x.....h*F|
4430 0012a0: 00da69f6 c80688ea 0eef37df bf65d4f7 |.i.......7....e.|
4431 0012b0: 2284cc08 92ed0816 eb798e29 ae298856 |..."....).y.V.).|
4432 0012c0: 7948dd1f 7af1ff2b 6b83ffc9 9d747967 |..Hy+..z...kgyt.|
4433 0012d0: 6a157ebe def9ccbf e313b2f0 24fd58f9 |.~.j.........X.$|
4434 0012e0: 66e7cfbe b6d139ce 7dedffd6 db34d7b7 |...f.9.....}..4.|
4435 0012f0: 8294abb4 c2e3b6c4 ff85b5cf 0aaf9868 |............h...|
4436 001300: 77189cf1 69fb0742 24c3ef84 c366ea2f |...wB..i...$/.f.|
4437 001310: 706e45c7 914d2c73 804a6205 63f1307d |.Enps,M..bJ.}0.c|
4438 001320: 756b85a9 07482b63 da8b3b84 78752379 |..kuc+H..;..y#ux|
4439 001330: 2231882c 7e361484 c294ec74 b9260256 |,.1"..6~t...V.&.|
4440 001340: 6f77fe3d 7b93d726 fcbfd58f 426cacde |=.wo&..{......lB|
4441 001350: 362dfc82 2f9e5cad 980930ba cd7a4d6c |..-6.\./.0..lMz.|
4442 001360: 8e49fdaf 7f92db5e cacc62dc 5e9c6ffb |..I.^....b...o.^|
4443 001370: 4433963b cba3d323 7a82261e 773e8a56 |;.3D#....&.zV.>w|
4444 001380: 584f762d b0abea11 1f5775a0 3ba0ef9c |-vOX.....uW....;|
4445 001390: 242838c0 b659bdca 0c210212 c702740e |.8($..Y...!..t..|
4446 0013a0: 5ff368f9 33fdbb44 e94d5399 703f2c95 |.h._D..3.SM..,?p|
4447 0013b0: 7638b518 a05b308a 7200027a c3242a24 |..8v.0[.z..r$*$.|
4448 0013c0: b5bf5ce7 57bcffbc 176a1fd8 4dced5ee |.\.....W..j....M|
4449 0013d0: 0f2428cb f89bd940 a0b11074 c171c4e2 |.($.@...t.....q.|
4450 0013e0: b87deffb df83bab7 7552d7ff 6ff8eff1 |..}.......Ru...o|
4451 0013f0: 76013b31 fcff9a86 120c3e95 eb6eac35 |1;.v.....>..5.n.|
4452 001400: 4d07277f 925979ac f6fed3c8 5587797e |.'.M.yY.....~y.U|
4453 001410: c4793857 40266100 31810284 c140a973 |W8y..a&@...1s.@.|
4454 001420: aa616f4d 6b88cbb1 6d9b7afe e0e261d6 |Moa....k.z.m.a..|
4455 001430: 77a20e67 745a869d 310b149a 12dc0d6c |g..w..Zt...1l...|
4456 001440: 675ed66f a65e6efd f49ef7fe d63dea7b |o.^g.n^.....{.=.|
4457 001450: 0365afdb ab48ab3e f30529da 4ad07925 |..e.>.H..)..%y.J|
4458 001460: 9edfa5f6 67b5ccef cd95f6d2 d27cb57f |.......g......|.|
4459 001470: 95fe06b7 dfaae354 04cf4956 178f0db3 |....T...VI......|
4460 001480: 6edd81ef aa5263f4 6cf268df 5ae475f2 |...n.cR..h.l.u.Z|
4461 001490: 62e5caf1 21383424 7241b012 c65513c2 |...b$48!..Ar..U.|
4462 0014a0: 0cd2dfbc cd569d59 d149607e 9ced963d |....Y.V.~`I.=...|
4463 0014b0: 92684d58 0744c1a2 281b19f3 f1013c59 |XMh...D....(Y<..|
4464 0014c0: 7fbf8fda b51be979 a57a79ef 6dde7ca5 |....y....yz..|.m|
4465 0014d0: fa4dfb4e 3b3c5ae4 2e5450e5 44fd5bb1 |N.M..Z<;.PT..[.D|
4466 0014e0: 7ffbf7db ff3f930f eb7a8f2b c2e8ba3c |......?.+.z.<...|
4467 0014f0: 7afb8452 db22cfa6 27139fc8 ea036c38 |R..z.."....'8l..|
4468 001500: 578783b4 35e18df5 4c3a7124 aad2192a |...W...5$q:L*...|
4469 001510: 6aec47e2 80502580 4c6a8549 342407d0 |.G.j.%P.I.jL..$4|
4470 001520: 7bb4df1d 2f84c8b3 ad72d6ad dd25b33e |...{.../..r.>.%.|
4471 001530: a0054631 9e18e428 800c1752 430a4418 |1F..(...R....D.C|
4472 001540: 77e7fbd9 09673765 7fb7a8ff 9f7ff327 |...we7g.....'...|
4473 001550: afe34f2a 586cabf5 e9469ad7 04ae5b83 |*O....lX..F..[..|
4474 001560: f3f6dbad 29ae3355 f10bd3eb 8e7dcbfa |....U3.)......}.|
4475 001570: d7ea56d0 90da793d 97d551e9 f303c8f8 |.V..=y...Q......|
4476 001580: ae304a75 67aeeec0 d9e5fe0b 29f6b72b |uJ0....g....+..)|
4477 001590: 33a11988 582b4a81 714c9821 1eec0a27 |...3.J+X!.Lq'...|
4478 0015a0: e55fae5d aba3a94a ef2b35e8 98f18c47 |]._.J....5+.G...|
4479 0015b0: 877c80c0 11442433 c2600d95 e08c202c |..|.3$D...`., ..|
4480 0015c0: b9efbddf 7eefeff6 a83cf83d 2f7777a6 |.......~=.<..ww/|
4481 0015d0: 9b6c9fd4 59931ba0 e94f20b0 37df6e27 |..l....Y. O.'n.7|
4482 0015e0: d6f6d5ff 60c29abd bdbbe7dc f2aabed7 |.......`........|
4483 0015f0: d7d665c2 814730f9 bc582f51 6845404d |.e...0G.Q/X.M@Eh|
4484 001600: 7f53d83f 7eb188ba fd3d10dc f394c5c6 |?.S....~..=.....|
4485 001610: 22ce311c a0b04f0e 213246a2 459637f8 |.1.".O...F2!.7.E|
4486 001620: 19326624 08b5dd83 0b787ffc ef88cc51 |$f2.......x.Q...|
4487 001630: c1f3ed06 f1064281 d4108254 0524024c |.....B..T...L.$.|
4488 001640: f2a751ff 3fb7c7ac ecbae1cf bf1ef3be |.Q.....?........|
4489 001650: 76f2a5c7 ff8f7987 077cfae2 63b1f9f3 |...v.y....|....c|
4490 001660: e7569d7e ddfde6a8 fe7edeae cf6333e4 |~.V.......~..3c.|
4491 001670: 75ed3539 b9aeb2c3 96a6e7b2 d46a5210 |95.u.........Rj.|
4492 001680: 12e15376 e7f3e4ba e93d9a5d fdbdd488 |vS......].=.....|
4493 001690: 3ebd4a47 2c8ac00d da405ac2 9271bdf3 |GJ.>...,.Z@...q.|
4494 0016a0: 85701610 32474742 b8e7ecb7 f91b60b5 |..p.BGG2.....`..|
4495 0016b0: 1fe3b432 11063204 5016050e 1022d359 |2....2.....PY.".|
4496 0016c0: b46c8fbb ffbafceb 699bf283 9d4efe9a |..l........i..N.|
4497 0016d0: 34bf8d00 deccd1b4 ccaa8da7 9e296d72 |...4........rm).|
4498 0016e0: 51fe55f9 d377ff63 7cdfc073 d1b6d9ca |.U.Qc.w.s..|....|
4499 0016f0: 3f2735fb 976e3bf4 ba04a61e b1b0c536 |.5'?.;n.....6...|
4500 001700: 9853df19 f0f02887 69ad18db e9ea6afc |..S..(.....i.j..|
4501 001710: 11f56320 a0531543 9b24c300 28577063 | c..C.S...$.cpW(|
4502 001720: 01d95d48 768ce61f 99e9a49c 3bbe7153 |H].....v....Sq.;|
4503 001730: 0220020a 14209924 39c0882c 6900a020 |.. .$. .,..9 ..i|
4504 001740: 7bd3dfce faff69ff b3fe9fc7 25ce3eaa |...{.i.......>.%|
4505 001750: 6b9d6c47 71fe69bb 7e34f9c4 b18e6f36 |Gl.k.i.q..4~6o..|
4506 001760: cfbbedfb d7a73c2f 65eef7fd a9b47dfa |..../<.....e.}..|
4507 001770: 2f0ff12e 3439bc8f 2704944e 47943225 |.../..94N..'%2.G|
4508 001780: f7c3b229 fb61750d bfa6976f 554cbc8c |)....ua.o.....LU|
4509 001790: 03a44e4c 522561b8 1acc630f a6a6401a |LN...a%R.c...@..|
4510 0017a0: c68bf586 5bcb7edb b9c3b63f 952a75fa |.....~.[?....u*.|
4511 0017b0: 46071a40 b460f6e7 2fe60a28 b9992328 |@..F..`.(../(#..|
4512 0017c0: bb5f28eb 15c444a8 ffdcdf46 5b95ffbf |.(_..D..F......[|
4513 0017d0: e9a48f22 d8796ddb 678a1283 69a3eb3a |"....my....g:..i|
4514 0017e0: 8a7af6d3 dbe5f71d aea7fbef 71ffc9ff |..z............q|
4515 0017f0: 5febe16f dab7ec86 67e211e8 1d41c124 |o.._.......g$.A.|
4516 001800: 666b152e c7760f2f f7e29f7a 6f5799f5 |..kf/.v.z.....Wo|
4517 001810: 830a0f2b 4281248d 9e6d8ae8 c0884ba4 |+....$.B..m..K..|
4518 001820: 8a25a055 16c4cd1c ac25bb2d 090b9d2c |U.%.....-.%.,...|
4519 001830: 8615aa59 0ac78cf6 c14e417f 0b530376 |Y........AN.v.S.|
4520 001840: c16fd669 abcb139f e67eafc5 d9ed7f3d |i.o.......~.=...|
4521 001850: 41f8509e 43e7e6d7 5305b6ea d2854a91 |.P.A...C...S.J..|
4522 001860: fd65b3fd 9e77df57 f8bbbfa7 ea7b7bdb |..e.W.w......{{.|
4523 001870: 8fddf95b 43dd5235 06de595a c7476386 |[...5R.CZY...cG.|
4524 001880: 32d8ba7b 9d82114e 23f45ca2 936f5a6a |{..2N....\.#jZo.|
4525 001890: 03b28601 0eb12553 6a0a094a 0a24038c |....S%..J..j..$.|
4526 0018a0: f787413b 65b55d50 6c947e05 15fdc295 |;A..P].e.~.l....|
4527 0018b0: 16832292 40aa139e 03df1929 a107179b |.".....@).......|
4528 0018c0: 0e996efd cf529daf fbd149f4 c7cfdb33 |.n....R..I..3...|
4529 0018d0: 8376e5fd b37a1f73 2d0fca5a 0b553285 |..v.s.z.Z..-.2U.|
4530 0018e0: 7639a1df 67bdd6ff fa913bdf c36366ff |..9v...g.;...fc.|
4531 0018f0: 1f83f5cd 073daab7 eb0a693c 295607af |......=.<i....V)|
4532 001900: 428f88ab 63c80cc1 31d022d0 df76d7b5 |...B...c.".1..v.|
4533 001910: a837455c 236553c1 3104184e e3810800 |\E7..Se#N..1....|
4534 001920: 46130181 a3ed549c d26bf34d 6b22efee |...F.T..M.k..."k|
4535 001930: 24f007c1 82c1a682 59be401a b17d0b75 |...$.....@.Yu.}.|
4536 001940: 678f94e3 fe4ed3ce c3feee15 df2f4fa7 |...g..N......O/.|
4537 001950: a33ef33a 8bb4f5d3 22083a50 cf51e4f8 |:.>.....P:."..Q.|
4538 001960: a7664ff9 c777b5b3 bf7de6f5 7bf7adf7 |.Of...w...}....{|
4539 001970: 53f9e38a 9ebe8ffd 9bf2a374 c5d758b4 |...S....t....X..|
4540 001980: 43265f43 fd009911 b176bd7f dc3fad66 |C_&C......v.f.?.|
4541 001990: ef1096f1 11476d07 036882d0 8ac106d8 |.....mG...h.....|
4542 0019a0: 37c21453 c617908e 78e67bbc 6815799e |S..7.....{.x.y.h|
4543 0019b0: 411cb0c4 04ef8df6 b200531e 60638089 |...A.....S....c`|
4544 0019c0: 91a8d6d3 1b29bb5d 58fedd7d cd997df6 |....].).}..X.}..|
4545 0019d0: f7c773f3 3d72f055 17c04233 6ed58c5a |.s..U.r=3B..Z..n|
4546 0019e0: 6ed7998f 4be6c9b8 f7fdfebf e93dfe7b |...n...K....{.=.|
4547 0019f0: f3bb9e7d 645b8fa9 b38b794e d64aeb0a |}.....[dNy....J.|
4548 001a00: ec1313b9 46e7ae54 68c537bb 52ee89ed |....T..F.7.h...R|
4549 001a10: 169b5320 087214d0 4202bac0 4fd96851 | S....r....BQh.O|
4550 001a20: a7482093 97eb0ca1 2fbe8c77 7abdb939 |. H.....w../9..z|
4551 001a30: b0ce1df0 c0f74da7 6386c80e a210bed2 |.....M.....c....|
4552 001a40: 60bfbbb3 acfeadf6 fcdb45f6 fcdfe5e5 |...`.....E......|
4553 001a50: 30c947aa 6b3f49b9 0a6cb021 d0e4f0dd |.G.0.I?k!.l.....|
4554 001a60: f37bdfaf cbbb75fc ff745db1 febd6a2e |..{..u...]t..j..|
4555 001a70: 72ce7368 b3adcd2b b8ae80e6 ec16da8b |hs.r+...........|
4556 001a80: 3dc31a2d 89168ed7 b583dcf0 5aedfced |-..=...........Z|
4557 001a90: 1b29de43 81b9a2e9 12a95082 49a0d2d2 |C.)......P.....I|
4558 001aa0: bd13dfb0 6ae853e9 f88871d4 5ca6b56f |.....S.j.q..o..\|
4559 001ab0: a1ea6d5a 50a4ae17 098e9943 cc0c428a |Zm.....PC....B..|
4560 001ac0: 375dfca1 f4283dec d6dbfc32 7f7abeef |..]7.=(.2.....z.|
4561 001ad0: e72e8d57 a479715b 78cf3063 ac388a16 |W...[qy.c0.x..8.|
4562 001ae0: fda55fdd 5a52a5ad 6def2bdf 49bfa63e |._....RZ.+.m>..I|
4563 001af0: 6a39effc dc9f0e3d f6884a67 a62ec750 |..9j=...gJ..P...|
4564 001b00: 14c95872 51a31490 9f6be0c9 7f9cbbe2 |rX.....Q..k.....|
4565 001b10: 7f3f0ad3 330f8bde 1134b087 acf02000 |..?....3..4.. ..|
4566 001b20: a26b6841 fb98b37f f68b9245 0d74aff7 |Ahk.....E.....t.|
4567 001b30: f3bf2eb5 266a0438 73a12e05 e8ec0520 |....8.j&...s ...|
4568 001b40: ff56d556 fd93bc6a f7fd17ca fa577f5d |V.V.j.......].W.|
4569 001b50: 7f3fdf84 b3fab7df f126d10b f255625d |..?.......&.]bU.|
4570 001b60: eb7b3d72 9fe1655f f99796eb fb74f3e9 |r={._e........t.|
4571 001b70: ab2d1da5 faf887e4 4ab0355f a9c6ce00 |..-....._5.J....|
4572 001b80: 393274d7 d040551c 1f8dfbc8 50356f3d |.t29.U@.....=o5P|
4573 001b90: f47aa24c 346ae357 c300c081 02018270 |L.z.W.j4....p...|
4574 001ba0: e4a38033 5a7ad787 f75ee8bf aa7e1e59 |3.....zZ..^.Y.~.|
4575 001bb0: 386398fc b8d20404 b283180d 18810b21 |..c8........!...|
4576 001bc0: b2fb5bb3 9a9a51cb f5bdf266 f13ddbec |.[...Q..f.....=.|
4577 001bd0: 617bc6c9 83ffcec6 90881c34 39e18a00 |..{a....4......9|
4578 001be0: b617124b 73f94da6 a63fbbd3 eecfff3d |K....M.s..?.=...|
4579 001bf0: 89ff546f 31cda4c7 2391f407 c481b9ab |oT.....1...#....|
4580 001c00: 00ac5085 500b05c1 514c47ea d47ec80a |.P.....P.GLQ..~.|
4581 001c10: 243461f0 805c0a80 9156d855 f0581066 |.a4$..\.U.V.f.X.|
4582 001c20: 0bc8c1c5 604804ba a4d0395b fae21e1d |......H`[9......|
4583 001c30: c5964acd b05d0fb0 0616442b 07502924 |.J....].+D..$)P.|
4584 001c40: 4579dcdf d31a7fb8 762cd7e3 fc7c7408 |..yE......,v.t|.|
4585 001c50: 6f75976c 355be09f 8650575b 84012a23 |l.uo..[5[WP.#*..|
4586 001c60: 7fc4d547 b3cf8d02 fb5efe9f bef7c64e |G.........^.N...|
4587 001c70: 595a533d c96b8cdf 672d6c90 ffba3d9f |=SZY..k..l-g.=..|
4588 001c80: df3ad1b8 78402683 48d896b0 be7f043e |..:..&@x...H>...|
4589 001c90: 3d5005b1 140aa072 c24b1cd0 80018044 |..P=r.....K.D...|
4590 001ca0: 8acded73 8f2659a4 fedf2f45 4eb75bbe |s....Y&.E/...[.N|
4591 001cb0: 43b43201 d81c0c31 2b640325 1e4a0484 |.2.C1...%.d+..J.|
4592 001cc0: 25ffb627 e75b6eaa bad38fc5 ffdf8e7f |'..%.n[.........|
4593 001cd0: 3e3b2da9 a6fb68ea d0cbcd20 2db524d6 |.-;>.h.. ....$.-|
4594 001ce0: 97efdce6 e54f596f 8efd3f15 fef4ff47 |....oYO..?..G...|
4595 001cf0: debe2b91 ed0daf9f 49a28c8d 374cbc2f |.+.........I/.L7|
4596 001d00: 07ca2d71 8b134e07 803d1741 bff5427e |q-...N..A.=.~B..|
4597 001d10: 0c2777b8 d228692a 70055a21 34602cf9 |.w'.*i(.!Z.p.,`4|
4598 001d20: 64442a80 0feb85ab 69ec7417 5d78d104 |.*Dd.....t.i..x]|
4599 001d30: 39443690 a6000ade 0da00725 1f201304 |.6D9....%..... .|
4600 001d40: 79e1e9d3 3f758b2d f7ba7ed4 1ffef267 |...y-.u?.~..g...|
4601 001d50: f401a148 a79c2950 e0130e26 925d3ffc |H...P)..&....?].|
4602 001d60: 5fa87bef e7fbeff8 fbbce9ff f7dce532 |.{._........2...|
4603 001d70: 6eebbfee a0abfbe3 65e00204 bb2c02f0 |...n.......e..,.|
4604 001d80: 0e836803 2092111d de532824 216faa2e |.h..... $(S...o!|
4605 001d90: 9f2f3014 a4006be3 004c86e1 a8805254 |.0/..k....L.TR..|
4606 001da0: 5ceaef74 8c59cfd9 c46fa3e6 7ac4c807 |t..\..Y...o....z|
4607 001db0: 5c408e19 4db2841e 40828740 90240a00 |..@\...M@..@..$.|
4608 001dc0: 3a55a5da e615a93d fbffffff 67fbb726 |..U:=.......&..g|
4609 001dd0: 7bef81c9 fbbf7b2e 846fa1ae 1d1a22d4 |...{.{....o.."..|
4610 001de0: dfff61af f7beb2e1 f7f535e5 5fbfed39 |.a.......5..9.._|
4611 001df0: ff0f7d94 9b1bcd14 a8ebf21a db451a2f |.}........../.E.|
4612 001e00: 20800000 56100003 00000000 204cc001 |... ...V......L |
4613 001e10: 00000008 204cc002 00000000 204cc304 |......L ......L |
4614 001e20: 00000000 00000300 00020001 429400f8 |...............B|
4615 001e30: 00000000 00000500 00000005 00800000 |................|
4616 001e40: 04800008 c1060700 20100003 42101003 |........... ...B|
4617 001e50: 20010001 42100001 fffffff9 01000000 |... ...B........|
4618 001e60: 00000000 08000500 00000000 204cc300 |..............L |
4619 001e70: 00000000 204cc304 00000000 204cc308 |......L ......L |
4620 001e80: 00000000 204cc30c 00000000 204cc310 |......L ......L |
4621 001e90: 00000000 204cc314 00000000 204cc318 |......L ......L |
4622 001ea0: 00000000 204cc31c 00000000 204cc320 |......L .... .L |
4623 001eb0: 00000000 204cc324 00000000 204cc328 |....$.L ....(.L |
4624 001ec0: 00000000 204cc32c 00000000 204cc330 |....,.L ....0.L |
4625 001ed0: 00000000 204cc334 00000000 204cc338 |....4.L ....8.L |
4626 001ee0: 00000000 204cc33c 00000000 204cc340 |....<.L ....@.L |
4627 001ef0: 00000000 204cc344 00000000 204cc348 |....D.L ....H.L |
4628 001f00: 00000000 204cc34c 00000000 204cc350 |....L.L ....P.L |
4629 001f10: 00000000 204cc354 00000000 204cc358 |....T.L ....X.L |
4630 001f20: 00000000 204cc35c 00000000 204cc360 |....\.L ....`.L |
4631 001f30: 00000000 204cc364 00000000 204cc368 |....d.L ....h.L |
4632 001f40: 00000000 204cc36c 00000000 204cc370 |....l.L ....p.L |
4633 001f50: 00000000 204cc374 00000000 204cc378 |....t.L ....x.L |
4634 001f60: 00000000 204cc37c 00000000 204cc380 |....|.L ......L |
4635 001f70: 00000000 204cc384 00000000 204cc388 |......L ......L |
4636 001f80: 00000000 204cc38c 00000000 204cc390 |......L ......L |
4637 001f90: 00000000 204cc394 00000000 204cc398 |......L ......L |
4638 001fa0: 00000000 204cc39c 00000000 204cc3a0 |......L ......L |
4639 001fb0: 00000000 204cc3a4 00000000 204cc3a8 |......L ......L |
4640 001fc0: 00000000 204cc3ac 00000000 204cc3b0 |......L ......L |
4641 001fd0: 00000000 204cc3b4 00000000 204cc3b8 |......L ......L |
4642 001fe0: 00000000 204cc3bc 00000000 e0400000 |......L ......@.|
4643 001ff0: 00000000 03000000 00000000 00000000 |................|
4644 - bank: 1
4645 size: 2048
4646 :0:0000:0000[00000000x_00003002x] nop
4647 :0:0001:0001[00000000x_00000000x] nop
4648 :6:0002:0002[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4649 :6:0003:0003[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4650 :6:0004:0004[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4651 :6:0005:0005[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4652 :6:0006:0006[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4653 :6:0007:0007[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4654 :6:0008:0008[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4655 :6:0009:0009[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4656 :6:0010:0010[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4657 :6:0011:0011[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4658 :6:0012:0012[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4659 :6:0013:0013[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4660 :6:0014:0014[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4661 :6:0015:0015[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4662 :6:0016:0016[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4663 :6:0017:0017[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4664 :6:0018:0018[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4665 :6:0019:0019[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4666 :6:0020:0020[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4667 :6:0021:0021[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4668 :6:0022:0022[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4669 :6:0023:0023[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4670 :6:0024:0024[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4671 :6:0025:0025[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4672 :6:0026:0026[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4673 :6:0027:0027[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4674 :6:0028:0028[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4675 :6:0029:0029[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4676 :6:0030:0030[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4677 :6:0031:0031[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4678 :6:0032:0032[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4679 :6:0033:0033[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4680 :6:0034:0034[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4681 :6:0035:0035[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4682 :6:0036:0036[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4683 :6:0037:0037[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4684 :6:0038:0038[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4685 :6:0039:0039[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4686 :6:0040:0040[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4687 :6:0041:0041[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4688 :6:0042:0042[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4689 :6:0043:0043[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4690 :6:0044:0044[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4691 :6:0045:0045[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4692 :6:0046:0046[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4693 :6:0047:0047[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4694 :6:0048:0048[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4695 :6:0049:0049[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4696 :6:0050:0050[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4697 :6:0051:0051[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4698 :6:0052:0052[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4699 :6:0053:0053[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4700 :6:0054:0054[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4701 :6:0055:0055[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4702 :6:0056:0056[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4703 :6:0057:0057[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4704 :6:0058:0058[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4705 :6:0059:0059[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4706 :6:0060:0060[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4707 :6:0061:0061[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4708 :6:0062:0062[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4709 :6:0063:0063[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4710 :6:0064:0064[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4711 :6:0065:0065[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4712 :6:0066:0066[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4713 :6:0067:0067[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4714 :6:0068:0068[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4715 :6:0069:0069[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4716 :6:0070:0070[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4717 :6:0071:0071[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4718 :6:0072:0072[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4719 :6:0073:0073[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4720 :6:0074:0074[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4721 :6:0075:0075[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4722 :6:0076:0076[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4723 :6:0077:0077[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4724 :6:0078:0078[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4725 :6:0079:0079[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4726 :6:0080:0080[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4727 :6:0081:0081[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4728 :6:0082:0082[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4729 :6:0083:0083[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4730 :6:0084:0084[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4731 :6:0085:0085[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4732 :6:0086:0086[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4733 :6:0087:0087[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4734 :6:0088:0088[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4735 :6:0089:0089[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4736 :6:0090:0090[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4737 :6:0091:0091[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4738 :6:0092:0092[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4739 :6:0093:0093[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4740 :6:0094:0094[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4741 :6:0095:0095[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4742 :6:0096:0096[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4743 :6:0097:0097[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4744 :6:0098:0098[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4745 :6:0099:0099[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4746 :6:0100:0100[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4747 :6:0101:0101[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4748 :6:0102:0102[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4749 :6:0103:0103[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4750 :6:0104:0104[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4751 :6:0105:0105[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4752 :6:0106:0106[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4753 :6:0107:0107[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4754 :6:0108:0108[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4755 :6:0109:0109[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4756 :6:0110:0110[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4757 :6:0111:0111[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4758 :6:0112:0112[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4759 :6:0113:0113[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4760 :6:0114:0114[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4761 :6:0115:0115[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4762 :6:0116:0116[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4763 :6:0117:0117[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4764 :6:0118:0118[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4765 :6:0119:0119[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4766 :6:0120:0120[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4767 :6:0121:0121[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4768 :6:0122:0122[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4769 :6:0123:0123[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4770 :6:0124:0124[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4771 :6:0125:0125[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4772 :6:0126:0126[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4773 :6:0127:0127[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4774 :6:0128:0128[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4775 :6:0129:0129[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4776 :6:0130:0130[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4777 :6:0131:0131[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4778 :6:0132:0132[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4779 :6:0133:0133[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4780 :6:0134:0134[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4781 :6:0135:0135[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4782 :6:0136:0136[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4783 :6:0137:0137[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4784 :6:0138:0138[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4785 :6:0139:0139[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4786 :6:0140:0140[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4787 :6:0141:0141[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4788 :6:0142:0142[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4789 :6:0143:0143[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4790 :6:0144:0144[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4791 :6:0145:0145[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4792 :6:0146:0146[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4793 :6:0147:0147[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4794 :6:0148:0148[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4795 :6:0149:0149[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4796 :6:0150:0150[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4797 :6:0151:0151[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4798 :6:0152:0152[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4799 :6:0153:0153[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4800 :6:0154:0154[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4801 :6:0155:0155[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4802 :6:0156:0156[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4803 :6:0157:0157[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4804 :6:0158:0158[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4805 :6:0159:0159[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4806 :6:0160:0160[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4807 :6:0161:0161[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4808 :6:0162:0162[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4809 :6:0163:0163[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4810 :6:0164:0164[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4811 :6:0165:0165[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4812 :6:0166:0166[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4813 :6:0167:0167[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4814 :6:0168:0168[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4815 :6:0169:0169[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4816 :6:0170:0170[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4817 :6:0171:0171[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4818 :6:0172:0172[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4819 :6:0173:0173[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4820 :6:0174:0174[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4821 :6:0175:0175[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4822 :6:0176:0176[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4823 :6:0177:0177[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4824 :6:0178:0178[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4825 :6:0179:0179[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4826 :6:0180:0180[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4827 :6:0181:0181[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4828 :6:0182:0182[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4829 :6:0183:0183[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4830 :6:0184:0184[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4831 :6:0185:0185[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4832 :6:0186:0186[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4833 :6:0187:0187[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4834 :6:0188:0188[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4835 :6:0189:0189[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4836 :6:0190:0190[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4837 :6:0191:0191[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4838 :6:0192:0192[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4839 :6:0193:0193[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4840 :6:0194:0194[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4841 :6:0195:0195[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4842 :6:0196:0196[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4843 :6:0197:0197[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4844 :6:0198:0198[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4845 :6:0199:0199[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4846 :6:0200:0200[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4847 :6:0201:0201[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4848 :6:0202:0202[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4849 :6:0203:0203[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4850 :6:0204:0204[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4851 :6:0205:0205[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4852 :6:0206:0206[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4853 :6:0207:0207[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4854 :6:0208:0208[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4855 :6:0209:0209[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4856 :6:0210:0210[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4857 :6:0211:0211[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4858 :6:0212:0212[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4859 :6:0213:0213[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4860 :6:0214:0214[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4861 :6:0215:0215[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4862 :6:0216:0216[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4863 :6:0217:0217[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4864 :6:0218:0218[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4865 :6:0219:0219[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4866 :6:0220:0220[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4867 :6:0221:0221[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4868 :6:0222:0222[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4869 :6:0223:0223[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4870 :6:0224:0224[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4871 :6:0225:0225[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4872 :6:0226:0226[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4873 :6:0227:0227[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4874 :6:0228:0228[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4875 :6:0229:0229[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4876 :6:0230:0230[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4877 :6:0231:0231[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4878 :6:0232:0232[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4879 :6:0233:0233[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4880 :6:0234:0234[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4881 :6:0235:0235[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4882 :6:0236:0236[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4883 :6:0237:0237[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4884 :6:0238:0238[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4885 :6:0239:0239[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4886 :6:0240:0240[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4887 :6:0241:0241[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4888 :6:0242:0242[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4889 :6:0243:0243[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4890 :6:0244:0244[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4891 :6:0245:0245[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4892 :6:0246:0246[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4893 :6:0247:0247[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4894 :6:0248:0248[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4895 :6:0249:0249[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4896 :6:0250:0250[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4897 :6:0251:0251[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4898 :6:0252:0252[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4899 :6:0253:0253[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4900 :6:0254:0254[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4901 :6:0255:0255[deadbeefx_deadbeefx] (sy)(jp)atomic.xor.typed.4d.u8.4.l hr59.w, l[r45.z], 222
4902 :6:0256:0256[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4903 :6:0257:0257[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4904 :6:0258:0258[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4905 :6:0259:0259[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4906 :6:0260:0260[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4907 :6:0261:0261[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4908 :6:0262:0262[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4909 :6:0263:0263[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4910 :6:0264:0264[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4911 :6:0265:0265[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4912 :6:0266:0266[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4913 :6:0267:0267[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4914 :6:0268:0268[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4915 :6:0269:0269[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4916 :6:0270:0270[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4917 :6:0271:0271[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4918 :6:0272:0272[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4919 :6:0273:0273[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4920 :6:0274:0274[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4921 :6:0275:0275[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4922 :6:0276:0276[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4923 :6:0277:0277[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4924 :6:0278:0278[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4925 :6:0279:0279[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4926 :6:0280:0280[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4927 :6:0281:0281[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4928 :6:0282:0282[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4929 :6:0283:0283[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4930 :6:0284:0284[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4931 :6:0285:0285[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4932 :6:0286:0286[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4933 :6:0287:0287[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4934 :6:0288:0288[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4935 :6:0289:0289[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4936 :6:0290:0290[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4937 :6:0291:0291[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4938 :6:0292:0292[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4939 :6:0293:0293[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4940 :6:0294:0294[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4941 :6:0295:0295[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4942 :6:0296:0296[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4943 :6:0297:0297[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4944 :6:0298:0298[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4945 :6:0299:0299[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4946 :6:0300:0300[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4947 :6:0301:0301[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4948 :6:0302:0302[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4949 :6:0303:0303[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4950 :6:0304:0304[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4951 :6:0305:0305[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4952 :6:0306:0306[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4953 :6:0307:0307[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4954 :6:0308:0308[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4955 :6:0309:0309[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4956 :6:0310:0310[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4957 :6:0311:0311[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4958 :6:0312:0312[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4959 :6:0313:0313[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4960 :6:0314:0314[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4961 :6:0315:0315[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4962 :6:0316:0316[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4963 :6:0317:0317[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4964 :6:0318:0318[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4965 :6:0319:0319[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4966 :6:0320:0320[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4967 :6:0321:0321[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4968 :6:0322:0322[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4969 :6:0323:0323[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4970 :6:0324:0324[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4971 :6:0325:0325[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4972 :6:0326:0326[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4973 :6:0327:0327[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4974 :6:0328:0328[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4975 :6:0329:0329[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4976 :6:0330:0330[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4977 :6:0331:0331[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4978 :6:0332:0332[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4979 :6:0333:0333[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4980 :6:0334:0334[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4981 :6:0335:0335[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4982 :6:0336:0336[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4983 :6:0337:0337[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4984 :6:0338:0338[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4985 :6:0339:0339[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4986 :6:0340:0340[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4987 :6:0341:0341[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4988 :6:0342:0342[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4989 :6:0343:0343[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4990 :6:0344:0344[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4991 :6:0345:0345[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4992 :6:0346:0346[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4993 :6:0347:0347[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4994 :6:0348:0348[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4995 :6:0349:0349[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4996 :6:0350:0350[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4997 :6:0351:0351[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4998 :6:0352:0352[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
4999 :6:0353:0353[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5000 :6:0354:0354[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5001 :6:0355:0355[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5002 :6:0356:0356[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5003 :6:0357:0357[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5004 :6:0358:0358[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5005 :6:0359:0359[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5006 :6:0360:0360[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5007 :6:0361:0361[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5008 :6:0362:0362[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5009 :6:0363:0363[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5010 :6:0364:0364[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5011 :6:0365:0365[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5012 :6:0366:0366[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5013 :6:0367:0367[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5014 :6:0368:0368[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5015 :6:0369:0369[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5016 :6:0370:0370[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5017 :6:0371:0371[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5018 :6:0372:0372[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5019 :6:0373:0373[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5020 :6:0374:0374[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5021 :6:0375:0375[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5022 :6:0376:0376[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5023 :6:0377:0377[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5024 :6:0378:0378[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5025 :6:0379:0379[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5026 :6:0380:0380[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5027 :6:0381:0381[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5028 :6:0382:0382[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5029 :6:0383:0383[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5030 :6:0384:0384[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5031 :6:0385:0385[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5032 :6:0386:0386[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5033 :6:0387:0387[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5034 :6:0388:0388[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5035 :6:0389:0389[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5036 :6:0390:0390[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5037 :6:0391:0391[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5038 :6:0392:0392[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5039 :6:0393:0393[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5040 :6:0394:0394[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5041 :6:0395:0395[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5042 :6:0396:0396[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5043 :6:0397:0397[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5044 :6:0398:0398[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5045 :6:0399:0399[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5046 :6:0400:0400[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5047 :6:0401:0401[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5048 :6:0402:0402[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5049 :6:0403:0403[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5050 :6:0404:0404[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5051 :6:0405:0405[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5052 :6:0406:0406[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5053 :6:0407:0407[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5054 :6:0408:0408[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5055 :6:0409:0409[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5056 :6:0410:0410[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5057 :6:0411:0411[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5058 :6:0412:0412[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5059 :6:0413:0413[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5060 :6:0414:0414[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5061 :6:0415:0415[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5062 :6:0416:0416[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5063 :6:0417:0417[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5064 :6:0418:0418[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5065 :6:0419:0419[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5066 :6:0420:0420[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5067 :6:0421:0421[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5068 :6:0422:0422[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5069 :6:0423:0423[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5070 :6:0424:0424[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5071 :6:0425:0425[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5072 :6:0426:0426[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5073 :6:0427:0427[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5074 :6:0428:0428[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5075 :6:0429:0429[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5076 :6:0430:0430[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5077 :6:0431:0431[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5078 :6:0432:0432[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5079 :6:0433:0433[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5080 :6:0434:0434[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5081 :6:0435:0435[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5082 :6:0436:0436[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5083 :6:0437:0437[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5084 :6:0438:0438[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5085 :6:0439:0439[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5086 :6:0440:0440[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5087 :6:0441:0441[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5088 :6:0442:0442[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5089 :6:0443:0443[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5090 :6:0444:0444[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5091 :6:0445:0445[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5092 :6:0446:0446[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5093 :6:0447:0447[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5094 :6:0448:0448[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5095 :6:0449:0449[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5096 :6:0450:0450[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5097 :6:0451:0451[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5098 :6:0452:0452[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5099 :6:0453:0453[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5100 :6:0454:0454[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5101 :6:0455:0455[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5102 :6:0456:0456[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5103 :6:0457:0457[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5104 :6:0458:0458[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5105 :6:0459:0459[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5106 :6:0460:0460[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5107 :6:0461:0461[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5108 :6:0462:0462[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5109 :6:0463:0463[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5110 :6:0464:0464[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5111 :6:0465:0465[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5112 :6:0466:0466[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5113 :6:0467:0467[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5114 :6:0468:0468[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5115 :6:0469:0469[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5116 :6:0470:0470[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5117 :6:0471:0471[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5118 :6:0472:0472[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5119 :6:0473:0473[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5120 :6:0474:0474[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5121 :6:0475:0475[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5122 :6:0476:0476[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5123 :6:0477:0477[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5124 :6:0478:0478[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5125 :6:0479:0479[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5126 :6:0480:0480[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5127 :6:0481:0481[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5128 :6:0482:0482[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5129 :6:0483:0483[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5130 :6:0484:0484[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5131 :6:0485:0485[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5132 :6:0486:0486[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5133 :6:0487:0487[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5134 :6:0488:0488[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5135 :6:0489:0489[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5136 :6:0490:0490[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5137 :6:0491:0491[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5138 :6:0492:0492[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5139 :6:0493:0493[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5140 :6:0494:0494[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5141 :6:0495:0495[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5142 :6:0496:0496[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5143 :6:0497:0497[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5144 :6:0498:0498[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5145 :6:0499:0499[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5146 :6:0500:0500[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5147 :6:0501:0501[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5148 :6:0502:0502[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5149 :6:0503:0503[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5150 :6:0504:0504[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5151 :6:0505:0505[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5152 :6:0506:0506[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5153 :6:0507:0507[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5154 :6:0508:0508[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5155 :6:0509:0509[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5156 :6:0510:0510[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5157 :6:0511:0511[deafbeadx_deafbeadx] (sy)(jp)atomic.xor.typed.4d.s8.4.l hr43.y, l[r47.z], 222
5158 :0:0512:0512[00500240x_00024000x] (rpt2)nop
5159 :0:0513:0515[00402020x_00000000x] nop
5160 :0:0514:0516[00000040x_00001000x] nop
5161 :0:0515:0517[00510401x_00024020x] (eq)(rpt4)nop
5162 :0:0516:0522[00100080x_00000008x] nop
5163 :0:0517:0523[00000044x_00002080x] nop
5164 :0:0518:0524[00001000x_00000000x] (ss)nop
5165 :0:0519:0525[00200000x_00000008x] nop
5166 :0:0520:0526[00000044x_00048110x] nop
5167 :0:0521:0527[00000040x_00508000x] nop
5168 :0:0522:0528[00010200x_00020044x] (eq)(rpt2)nop
5169 :0:0523:0531[00000000x_00201014x] nop
5170 :0:0524:0532[00012100x_00101100x] (eq)(rpt1)nop
5171 :0:0525:0534[00000012x_00005000x] nop
5172 :0:0526:0535[00000010x_00005000x] nop
5173 :0:0527:0536[00040000x_00000020x] nop
5174 :0:0528:0537[00002101x_00082514x] (rpt1)nop
5175 :0:0529:0539[00000000x_00210020x] nop
5176 :0:0530:0540[00440004x_00010002x] nop
5177 :0:0531:0541[00000002x_00000250x] nop
5178 :0:0532:0542[00000040x_00100000x] nop
5179 :0:0533:0543[00000000x_00020014x] nop
5180 :0:0534:0544[000400a0x_00050020x] nop
5181 :0:0535:0545[00100000x_00000000x] nop
5182 :0:0536:0546[00000000x_00044081x] nop
5183 :0:0537:0547[00000000x_00000000x] nop
5184 :0:0538:0548[00200048x_00000100x] nop
5185 :0:0539:0549[00080020x_00000000x] nop
5186 :0:0540:0550[00200002x_00200001x] nop
5187 :0:0541:0551[002000a4x_00000404x] nop
5188 :0:0542:0552[00440246x_00000004x] (rpt2)nop
5189 :0:0543:0555[0008c040x_00442000x] nop
5190 :0:0544:0556[002112a0x_00200000x] (ss)(eq)(rpt2)nop
5191 :0:0545:0559[00000000x_00000000x] nop
5192 :0:0546:0560[00000240x_00400001x] (rpt2)nop
5193 :0:0547:0563[00000000x_00040400x] nop
5194 :0:0548:0564[0000a100x_00104010x] (rpt1)nop
5195 :0:0549:0566[00008480x_00002001x] (rpt4)nop
5196 :0:0550:0571[00000001x_00000040x] nop
5197 :0:0551:0572[00040001x_00040400x] nop
5198 :0:0552:0573[00200000x_00040600x] nop
5199 :0:0553:0574[00000100x_00100000x] (rpt1)nop
5200 :0:0554:0576[00504180x_0020a200x] (rpt1)nop
5201 :0:0555:0578[00000000x_00000000x] nop
5202 :0:0556:0579[00000024x_00004000x] nop
5203 :0:0557:0580[00200000x_00100008x] nop
5204 :0:0558:0581[00010080x_00000000x] (eq)nop
5205 :0:0559:0582[00080000x_00000000x] nop
5206 :0:0560:0583[00084000x_00500400x] nop
5207 :0:0561:0584[00004000x_00008000x] nop
5208 :0:0562:0585[00200000x_00000300x] nop
5209 :0:0563:0586[00000042x_00020001x] nop
5210 :0:0564:0587[00005600x_00400088x] (ss)(rpt6)nop
5211 :0:0565:0594[00000002x_00000000x] nop
5212 :0:0566:0595[0002005ex_00400008x] bkt #8
5213 :0:0567:0596[00020020x_00200000x] bkt #0
5214 :0:0568:0597[001e0414x_00055480x] (rpt4)bkt #21632
5215 :0:0569:0602[00000000x_00000000x] nop
5216 :0:0570:0603[00000442x_00000480x] (rpt4)nop
5217 :0:0571:0608[00000200x_00080000x] (rpt2)nop
5218 :0:0572:0611[00520000x_00600400x] bkt #1024
5219 :0:0573:0612[00001200x_00000008x] (ss)(rpt2)nop
5220 :0:0574:0615[00400114x_00201000x] (rpt1)nop
5221 :0:0575:0617[00110100x_00100002x] (eq)(rpt1)nop
5222 :0:0576:0619[00404200x_00200683x] (rpt2)nop
5223 :0:0577:0622[00000090x_00000004x] nop
5224 :0:0578:0623[00502000x_00002000x] nop
5225 :0:0579:0624[00000004x_00000020x] nop
5226 :0:0580:0625[00103100x_00600010x] (ss)(rpt1)nop
5227 :0:0581:0627[00000002x_00000010x] nop
5228 :0:0582:0628[00004000x_00021200x] nop
5229 :0:0583:0629[00000000x_00000000x] nop
5230 :0:0584:0630[00201400x_0010220ax] (ss)(rpt4)nop
5231 :0:0585:0635[00000000x_00030000x] nop
5232 :0:0586:0636[00080040x_00400000x] nop
5233 :0:0587:0637[00000080x_00000002x] nop
5234 :0:0588:0638[00000580x_00000400x] (rpt5)nop
5235 :0:0589:0644[00000200x_00000022x] (rpt2)nop
5236 :0:0590:0647[00080000x_00300042x] nop
5237 :0:0591:0648[00008000x_00040200x] nop
5238 :0:0592:0649[00000000x_00040000x] nop
5239 :0:0593:0650[0012008ax_00000010x] bkt #16
5240 :0:0594:0651[00000100x_00000000x] (rpt1)nop
5241 :0:0595:0653[00010000x_00010018x] (eq)nop
5242 :0:0596:0654[00500011x_00440020x] nop
5243 :0:0597:0655[00100000x_00000000x] nop
5244 :0:0598:0656[00008200x_0004020cx] (rpt2)nop
5245 :0:0599:0659[00000400x_00100010x] (rpt4)nop
5246 :0:0600:0664[00000004x_00118000x] nop
5247 :0:0601:0665[00000002x_00004200x] nop
5248 :0:0602:0666[00026300x_00000210x] (rpt3)bkt #528
5249 :0:0603:0670[0000a002x_00000040x] nop
5250 :0:0604:0671[00081100x_00004082x] (ss)(rpt1)nop
5251 :0:0605:0673[00000008x_00210000x] nop
5252 :0:0606:0674[00020004x_00020000x] bkt #0
5253 :0:0607:0675[00020000x_00064108x] bkt #16648
5254 :0:0608:0676[00000084x_00020000x] nop
5255 :0:0609:0677[00000181x_00000430x] (rpt1)nop
5256 :0:0610:0679[001c8100x_00100002x] (rpt1)nop
5257 :0:0611:0681[00000000x_00200020x] nop
5258 :0:0612:0682[00100081x_00002000x] nop
5259 :0:0613:0683[00000000x_00000008x] nop
5260 :0:0614:0684[00009420x_00000024x] (ss)(rpt4)nop
5261 :0:0615:0689[00000100x_00002010x] (rpt1)nop
5262 :0:0616:0691[00004188x_00000000x] (rpt1)nop
5263 :0:0617:0693[00100000x_00002000x] nop
5264 :0:0618:0694[00120102x_00040000x] (rpt1)bkt #0
5265 :0:0619:0696[00040002x_00000000x] nop
5266 :0:0620:0697[00224200x_00210201x] (rpt2)bkt #513
5267 :0:0621:0700[00000200x_00040000x] (rpt2)nop
5268 :0:0622:0703[0000000cx_00000000x] nop
5269 :0:0623:0704[00000000x_00005000x] nop
5270 :0:0624:0705[00082208x_00010200x] (rpt2)nop
5271 :0:0625:0708[00194011x_00000000x] (eq)nop
5272 :0:0626:0709[00012100x_00000502x] (eq)(rpt1)nop
5273 :0:0627:0711[00000240x_00040050x] (rpt2)nop
5274 :0:0628:0714[00080211x_00004180x] (rpt2)nop
5275 :0:0629:0717[00000000x_00001008x] nop
5276 :0:0630:0718[00020490x_002004a0x] (rpt4)bkt #1184
5277 :0:0631:0723[00210004x_00001080x] (eq)nop
5278 :0:0632:0724[00000000x_00300040x] nop
5279 :0:0633:0725[00008002x_00000020x] nop
5280 :0:0634:0726[00000000x_00041098x] nop
5281 :0:0635:0727[002000a0x_00000000x] nop
5282 :0:0636:0728[00000000x_000c0400x] nop
5283 :0:0637:0729[00000401x_00000402x] (rpt4)nop
5284 :0:0638:0734[00002000x_00200400x] nop
5285 :0:0639:0735[00000101x_00001000x] (rpt1)nop
5286 :0:0640:0737[00500240x_00024000x] (rpt2)nop
5287 :0:0641:0740[00402020x_00000000x] nop
5288 :0:0642:0741[00000040x_00001000x] nop
5289 :0:0643:0742[00510401x_00024020x] (eq)(rpt4)nop
5290 :0:0644:0747[00100080x_00000008x] nop
5291 :0:0645:0748[00000044x_00002080x] nop
5292 :0:0646:0749[00001000x_00000000x] (ss)nop
5293 :0:0647:0750[00200000x_00000008x] nop
5294 :0:0648:0751[00000044x_00048110x] nop
5295 :0:0649:0752[00000040x_00508000x] nop
5296 :0:0650:0753[00010200x_00020044x] (eq)(rpt2)nop
5297 :0:0651:0756[00000000x_00201014x] nop
5298 :0:0652:0757[00012100x_00101100x] (eq)(rpt1)nop
5299 :0:0653:0759[00000012x_00005000x] nop
5300 :0:0654:0760[00000010x_00005000x] nop
5301 :0:0655:0761[00040000x_00000020x] nop
5302 :0:0656:0762[00002101x_00082514x] (rpt1)nop
5303 :0:0657:0764[00000000x_00210020x] nop
5304 :0:0658:0765[00440004x_00010002x] nop
5305 :0:0659:0766[00000002x_00000250x] nop
5306 :0:0660:0767[00000040x_00100000x] nop
5307 :0:0661:0768[00000000x_00020014x] nop
5308 :0:0662:0769[000400a0x_00050020x] nop
5309 :0:0663:0770[00100000x_00000000x] nop
5310 :0:0664:0771[00000000x_00044081x] nop
5311 :0:0665:0772[00000000x_00000000x] nop
5312 :0:0666:0773[00200048x_00000100x] nop
5313 :0:0667:0774[00080020x_00000000x] nop
5314 :0:0668:0775[00200002x_00200001x] nop
5315 :0:0669:0776[002000a4x_00000404x] nop
5316 :0:0670:0777[00440246x_00000004x] (rpt2)nop
5317 :0:0671:0780[0008c040x_00442000x] nop
5318 :0:0672:0781[002112a0x_00200000x] (ss)(eq)(rpt2)nop
5319 :0:0673:0784[00000000x_00000000x] nop
5320 :0:0674:0785[00000240x_00400001x] (rpt2)nop
5321 :0:0675:0788[00000000x_00040400x] nop
5322 :0:0676:0789[0000a100x_00104010x] (rpt1)nop
5323 :0:0677:0791[00008480x_00002001x] (rpt4)nop
5324 :0:0678:0796[00000001x_00000040x] nop
5325 :0:0679:0797[00040001x_00040400x] nop
5326 :0:0680:0798[00200000x_00040600x] nop
5327 :0:0681:0799[00000100x_00100000x] (rpt1)nop
5328 :0:0682:0801[00504180x_0020a200x] (rpt1)nop
5329 :0:0683:0803[00000000x_00000000x] nop
5330 :0:0684:0804[00000024x_00004000x] nop
5331 :0:0685:0805[00200000x_00100008x] nop
5332 :0:0686:0806[00010080x_00000000x] (eq)nop
5333 :0:0687:0807[00080000x_00000000x] nop
5334 :0:0688:0808[00084000x_00500400x] nop
5335 :0:0689:0809[00004000x_00008000x] nop
5336 :0:0690:0810[00200000x_00000300x] nop
5337 :0:0691:0811[00000042x_00020001x] nop
5338 :0:0692:0812[00005600x_00400088x] (ss)(rpt6)nop
5339 :0:0693:0819[00000002x_00000000x] nop
5340 :0:0694:0820[0002005ex_00400008x] bkt #8
5341 :0:0695:0821[00020020x_00200000x] bkt #0
5342 :0:0696:0822[001e0414x_00055480x] (rpt4)bkt #21632
5343 :0:0697:0827[00000000x_00000000x] nop
5344 :0:0698:0828[00000442x_00000480x] (rpt4)nop
5345 :0:0699:0833[00000200x_00080000x] (rpt2)nop
5346 :0:0700:0836[00520000x_00600400x] bkt #1024
5347 :0:0701:0837[00001200x_00000008x] (ss)(rpt2)nop
5348 :0:0702:0840[00400114x_00201000x] (rpt1)nop
5349 :0:0703:0842[00110100x_00100002x] (eq)(rpt1)nop
5350 :0:0704:0844[00404200x_00200683x] (rpt2)nop
5351 :0:0705:0847[00000090x_00000004x] nop
5352 :0:0706:0848[00502000x_00002000x] nop
5353 :0:0707:0849[00000004x_00000020x] nop
5354 :0:0708:0850[00103100x_00600010x] (ss)(rpt1)nop
5355 :0:0709:0852[00000002x_00000010x] nop
5356 :0:0710:0853[00004000x_00021200x] nop
5357 :0:0711:0854[00000000x_00000000x] nop
5358 :0:0712:0855[00201400x_0010220ax] (ss)(rpt4)nop
5359 :0:0713:0860[00000000x_00030000x] nop
5360 :0:0714:0861[00080040x_00400000x] nop
5361 :0:0715:0862[00000080x_00000002x] nop
5362 :0:0716:0863[00000580x_00000400x] (rpt5)nop
5363 :0:0717:0869[00000200x_00000022x] (rpt2)nop
5364 :0:0718:0872[00080000x_00300042x] nop
5365 :0:0719:0873[00008000x_00040200x] nop
5366 :0:0720:0874[00000000x_00040000x] nop
5367 :0:0721:0875[0012008ax_00000010x] bkt #16
5368 :0:0722:0876[00000100x_00000000x] (rpt1)nop
5369 :0:0723:0878[00010000x_00010018x] (eq)nop
5370 :0:0724:0879[00500011x_00440020x] nop
5371 :0:0725:0880[00100000x_00000000x] nop
5372 :0:0726:0881[00008200x_0004020cx] (rpt2)nop
5373 :0:0727:0884[00000400x_00100010x] (rpt4)nop
5374 :0:0728:0889[00000004x_00118000x] nop
5375 :0:0729:0890[00000002x_00004200x] nop
5376 :0:0730:0891[00026300x_00000210x] (rpt3)bkt #528
5377 :0:0731:0895[0000a002x_00000040x] nop
5378 :0:0732:0896[00081100x_00004082x] (ss)(rpt1)nop
5379 :0:0733:0898[00000008x_00210000x] nop
5380 :0:0734:0899[00020004x_00020000x] bkt #0
5381 :0:0735:0900[00020000x_00064108x] bkt #16648
5382 :0:0736:0901[00000084x_00020000x] nop
5383 :0:0737:0902[00000181x_00000430x] (rpt1)nop
5384 :0:0738:0904[001c8100x_00100002x] (rpt1)nop
5385 :0:0739:0906[00000000x_00200020x] nop
5386 :0:0740:0907[00100081x_00002000x] nop
5387 :0:0741:0908[00000000x_00000008x] nop
5388 :0:0742:0909[00009420x_00000024x] (ss)(rpt4)nop
5389 :0:0743:0914[00000100x_00002010x] (rpt1)nop
5390 :0:0744:0916[00004188x_00000000x] (rpt1)nop
5391 :0:0745:0918[00100000x_00002000x] nop
5392 :0:0746:0919[00120102x_00040000x] (rpt1)bkt #0
5393 :0:0747:0921[00040002x_00000000x] nop
5394 :0:0748:0922[00224200x_00210201x] (rpt2)bkt #513
5395 :0:0749:0925[00000200x_00040000x] (rpt2)nop
5396 :0:0750:0928[0000000cx_00000000x] nop
5397 :0:0751:0929[00000000x_00005000x] nop
5398 :0:0752:0930[00082208x_00010200x] (rpt2)nop
5399 :0:0753:0933[00194011x_00000000x] (eq)nop
5400 :0:0754:0934[00012100x_00000502x] (eq)(rpt1)nop
5401 :0:0755:0936[00000240x_00040050x] (rpt2)nop
5402 :0:0756:0939[00080211x_00004180x] (rpt2)nop
5403 :0:0757:0942[00000000x_00001008x] nop
5404 :0:0758:0943[00020490x_002004a0x] (rpt4)bkt #1184
5405 :0:0759:0948[00210004x_00001080x] (eq)nop
5406 :0:0760:0949[00000000x_00300040x] nop
5407 :0:0761:0950[00008002x_00000020x] nop
5408 :0:0762:0951[00000000x_00041098x] nop
5409 :0:0763:0952[002000a0x_00000000x] nop
5410 :0:0764:0953[00000000x_000c0400x] nop
5411 :0:0765:0954[00000401x_00000402x] (rpt4)nop
5412 :0:0766:0959[00002000x_00200400x] nop
5413 :0:0767:0960[00000101x_00001000x] (rpt1)nop
5414 :0:0768:0962[00000000x_00000000x] nop
5415 :0:0769:0963[00000000x_00000000x] nop
5416 :0:0770:0964[00000000x_00000000x] nop
5417 :0:0771:0965[00000000x_00000000x] nop
5418 :0:0772:0966[00000000x_00000000x] nop
5419 :0:0773:0967[00000000x_00000000x] nop
5420 :0:0774:0968[00000000x_00000000x] nop
5421 :0:0775:0969[00000000x_00000000x] nop
5422 :0:0776:0970[00000000x_00000000x] nop
5423 :0:0777:0971[00000000x_00000000x] nop
5424 :0:0778:0972[00000000x_00000000x] nop
5425 :0:0779:0973[00000000x_00000000x] nop
5426 :0:0780:0974[00000000x_00000000x] nop
5427 :0:0781:0975[00000000x_00000000x] nop
5428 :0:0782:0976[00000000x_00000000x] nop
5429 :0:0783:0977[00000000x_00000000x] nop
5430 :0:0784:0978[00000000x_00000000x] nop
5431 :0:0785:0979[00000000x_00000000x] nop
5432 :0:0786:0980[00000000x_00000000x] nop
5433 :0:0787:0981[00000000x_00000000x] nop
5434 :0:0788:0982[00000000x_00000000x] nop
5435 :0:0789:0983[00000000x_00000000x] nop
5436 :0:0790:0984[00000000x_00000000x] nop
5437 :0:0791:0985[00000000x_00000000x] nop
5438 :0:0792:0986[00000000x_00000000x] nop
5439 :0:0793:0987[00000000x_00000000x] nop
5440 :0:0794:0988[00000000x_00000000x] nop
5441 :0:0795:0989[00000000x_00000000x] nop
5442 :0:0796:0990[00000000x_00000000x] nop
5443 :0:0797:0991[00000000x_00000000x] nop
5444 :0:0798:0992[00000000x_00000000x] nop
5445 :0:0799:0993[00000000x_00000000x] nop
5446 :0:0800:0994[00000000x_00000000x] nop
5447 :0:0801:0995[00000000x_00000000x] nop
5448 :0:0802:0996[00000000x_00000000x] nop
5449 :0:0803:0997[00000000x_00000000x] nop
5450 :0:0804:0998[00000000x_00000000x] nop
5451 :0:0805:0999[00000000x_00000000x] nop
5452 :0:0806:1000[00000000x_00000000x] nop
5453 :0:0807:1001[00000000x_00000000x] nop
5454 :0:0808:1002[00000000x_00000000x] nop
5455 :0:0809:1003[00000000x_00000000x] nop
5456 :0:0810:1004[00000000x_00000000x] nop
5457 :0:0811:1005[00000000x_00000000x] nop
5458 :0:0812:1006[00000000x_00000000x] nop
5459 :0:0813:1007[00000000x_00000000x] nop
5460 :0:0814:1008[00000000x_00000000x] nop
5461 :0:0815:1009[00000000x_00000000x] nop
5462 :0:0816:1010[00000000x_00000000x] nop
5463 :0:0817:1011[00000000x_00000000x] nop
5464 :0:0818:1012[00000000x_00000000x] nop
5465 :0:0819:1013[00000000x_00000000x] nop
5466 :0:0820:1014[00000000x_00000000x] nop
5467 :0:0821:1015[00000000x_00000000x] nop
5468 :0:0822:1016[00000000x_00000000x] nop
5469 :0:0823:1017[00000000x_00000000x] nop
5470 :0:0824:1018[00000000x_00000000x] nop
5471 :0:0825:1019[00000000x_00000000x] nop
5472 :0:0826:1020[00000000x_00000000x] nop
5473 :0:0827:1021[00000000x_00000000x] nop
5474 :0:0828:1022[00000000x_00000000x] nop
5475 :0:0829:1023[00000000x_00000000x] nop
5476 :0:0830:1024[00000000x_00000000x] nop
5477 :0:0831:1025[00000000x_00000000x] nop
5478 :0:0832:1026[00000000x_00000000x] nop
5479 :0:0833:1027[00000000x_00000000x] nop
5480 :0:0834:1028[00000000x_00000000x] nop
5481 :0:0835:1029[00000000x_00000000x] nop
5482 :0:0836:1030[00000000x_00000000x] nop
5483 :0:0837:1031[00000000x_00000000x] nop
5484 :0:0838:1032[00000000x_00000000x] nop
5485 :0:0839:1033[00000000x_00000000x] nop
5486 :0:0840:1034[00000000x_00000000x] nop
5487 :0:0841:1035[00000000x_00000000x] nop
5488 :0:0842:1036[00000000x_00000000x] nop
5489 :0:0843:1037[00000000x_00000000x] nop
5490 :0:0844:1038[00000000x_00000000x] nop
5491 :0:0845:1039[00000000x_00000000x] nop
5492 :0:0846:1040[00000000x_00000000x] nop
5493 :0:0847:1041[00000000x_00000000x] nop
5494 :0:0848:1042[00000000x_00000000x] nop
5495 :0:0849:1043[00000000x_00000000x] nop
5496 :0:0850:1044[00000000x_00000000x] nop
5497 :0:0851:1045[00000000x_00000000x] nop
5498 :0:0852:1046[00000000x_00000000x] nop
5499 :0:0853:1047[00000000x_00000000x] nop
5500 :0:0854:1048[00000000x_00000000x] nop
5501 :0:0855:1049[00000000x_00000000x] nop
5502 :0:0856:1050[00000000x_00000000x] nop
5503 :0:0857:1051[00000000x_00000000x] nop
5504 :0:0858:1052[00000000x_00000000x] nop
5505 :0:0859:1053[00000000x_00000000x] nop
5506 :0:0860:1054[00000000x_00000000x] nop
5507 :0:0861:1055[00000000x_00000000x] nop
5508 :0:0862:1056[00000000x_00000000x] nop
5509 :0:0863:1057[00000000x_00000000x] nop
5510 :0:0864:1058[00000000x_00000000x] nop
5511 :0:0865:1059[00000000x_00000000x] nop
5512 :0:0866:1060[00000000x_00000000x] nop
5513 :0:0867:1061[00000000x_00000000x] nop
5514 :0:0868:1062[00000000x_00000000x] nop
5515 :0:0869:1063[00000000x_00000000x] nop
5516 :0:0870:1064[00000000x_00000000x] nop
5517 :0:0871:1065[00000000x_00000000x] nop
5518 :0:0872:1066[00000000x_00000000x] nop
5519 :0:0873:1067[00000000x_00000000x] nop
5520 :0:0874:1068[00000000x_00000000x] nop
5521 :0:0875:1069[00000000x_00000000x] nop
5522 :0:0876:1070[00000000x_00000000x] nop
5523 :0:0877:1071[00000000x_00000000x] nop
5524 :0:0878:1072[00000000x_00000000x] nop
5525 :0:0879:1073[00000000x_00000000x] nop
5526 :0:0880:1074[00000000x_00000000x] nop
5527 :0:0881:1075[00000000x_00000000x] nop
5528 :0:0882:1076[00000000x_00000000x] nop
5529 :0:0883:1077[00000000x_00000000x] nop
5530 :0:0884:1078[00000000x_00000000x] nop
5531 :0:0885:1079[00000000x_00000000x] nop
5532 :0:0886:1080[00000000x_00000000x] nop
5533 :0:0887:1081[00000000x_00000000x] nop
5534 :0:0888:1082[00000000x_00000000x] nop
5535 :0:0889:1083[00000000x_00000000x] nop
5536 :0:0890:1084[00000000x_00000000x] nop
5537 :0:0891:1085[00000000x_00000000x] nop
5538 :0:0892:1086[00000000x_00000000x] nop
5539 :0:0893:1087[00000000x_00000000x] nop
5540 :0:0894:1088[00000000x_00000000x] nop
5541 :0:0895:1089[00000000x_00000000x] nop
5542 :0:0896:1090[00000000x_00000000x] nop
5543 :0:0897:1091[00000000x_00000000x] nop
5544 :0:0898:1092[00000000x_00000000x] nop
5545 :0:0899:1093[00000000x_00000000x] nop
5546 :0:0900:1094[00000000x_00000000x] nop
5547 :0:0901:1095[00000000x_00000000x] nop
5548 :0:0902:1096[00000000x_00000000x] nop
5549 :0:0903:1097[00000000x_00000000x] nop
5550 :0:0904:1098[00000000x_00000000x] nop
5551 :0:0905:1099[00000000x_00000000x] nop
5552 :0:0906:1100[00000000x_00000000x] nop
5553 :0:0907:1101[00000000x_00000000x] nop
5554 :0:0908:1102[00000000x_00000000x] nop
5555 :0:0909:1103[00000000x_00000000x] nop
5556 :0:0910:1104[00000000x_00000000x] nop
5557 :0:0911:1105[00000000x_00000000x] nop
5558 :0:0912:1106[00000000x_00000000x] nop
5559 :0:0913:1107[00000000x_00000000x] nop
5560 :0:0914:1108[00000000x_00000000x] nop
5561 :0:0915:1109[00000000x_00000000x] nop
5562 :0:0916:1110[00000000x_00000000x] nop
5563 :0:0917:1111[00000000x_00000000x] nop
5564 :0:0918:1112[00000000x_00000000x] nop
5565 :0:0919:1113[00000000x_00000000x] nop
5566 :0:0920:1114[00000000x_00000000x] nop
5567 :0:0921:1115[00000000x_00000000x] nop
5568 :0:0922:1116[00000000x_00000000x] nop
5569 :0:0923:1117[00000000x_00000000x] nop
5570 :0:0924:1118[00000000x_00000000x] nop
5571 :0:0925:1119[00000000x_00000000x] nop
5572 :0:0926:1120[00000000x_00000000x] nop
5573 :0:0927:1121[00000000x_00000000x] nop
5574 :0:0928:1122[00000000x_00000000x] nop
5575 :0:0929:1123[00000000x_00000000x] nop
5576 :0:0930:1124[00000000x_00000000x] nop
5577 :0:0931:1125[00000000x_00000000x] nop
5578 :0:0932:1126[00000000x_00000000x] nop
5579 :0:0933:1127[00000000x_00000000x] nop
5580 :0:0934:1128[00000000x_00000000x] nop
5581 :0:0935:1129[00000000x_00000000x] nop
5582 :0:0936:1130[00000000x_00000000x] nop
5583 :0:0937:1131[00000000x_00000000x] nop
5584 :0:0938:1132[00000000x_00000000x] nop
5585 :0:0939:1133[00000000x_00000000x] nop
5586 :0:0940:1134[00000000x_00000000x] nop
5587 :0:0941:1135[00000000x_00000000x] nop
5588 :0:0942:1136[00000000x_00000000x] nop
5589 :0:0943:1137[00000000x_00000000x] nop
5590 :0:0944:1138[00000000x_00000000x] nop
5591 :0:0945:1139[00000000x_00000000x] nop
5592 :0:0946:1140[00000000x_00000000x] nop
5593 :0:0947:1141[00000000x_00000000x] nop
5594 :0:0948:1142[00000000x_00000000x] nop
5595 :0:0949:1143[00000000x_00000000x] nop
5596 :0:0950:1144[00000000x_00000000x] nop
5597 :0:0951:1145[00000000x_00000000x] nop
5598 :0:0952:1146[00000000x_00000000x] nop
5599 :0:0953:1147[00000000x_00000000x] nop
5600 :0:0954:1148[00000000x_00000000x] nop
5601 :0:0955:1149[00000000x_00000000x] nop
5602 :0:0956:1150[00000000x_00000000x] nop
5603 :0:0957:1151[00000000x_00000000x] nop
5604 :0:0958:1152[00000000x_00000000x] nop
5605 :0:0959:1153[00000000x_00000000x] nop
5606 :0:0960:1154[00000000x_00000000x] nop
5607 :0:0961:1155[00000000x_00000000x] nop
5608 :0:0962:1156[00000000x_00000000x] nop
5609 :0:0963:1157[00000000x_00000000x] nop
5610 :0:0964:1158[00000000x_00000000x] nop
5611 :0:0965:1159[00000000x_00000000x] nop
5612 :0:0966:1160[00000000x_00000000x] nop
5613 :0:0967:1161[00000000x_00000000x] nop
5614 :0:0968:1162[00000000x_00000000x] nop
5615 :0:0969:1163[00000000x_00000000x] nop
5616 :0:0970:1164[00000000x_00000000x] nop
5617 :0:0971:1165[00000000x_00000000x] nop
5618 :0:0972:1166[00000000x_00000000x] nop
5619 :0:0973:1167[00000000x_00000000x] nop
5620 :0:0974:1168[00000000x_00000000x] nop
5621 :0:0975:1169[00000000x_00000000x] nop
5622 :0:0976:1170[00000000x_00000000x] nop
5623 :0:0977:1171[00000000x_00000000x] nop
5624 :0:0978:1172[00000000x_00000000x] nop
5625 :0:0979:1173[00000000x_00000000x] nop
5626 :0:0980:1174[00000000x_00000000x] nop
5627 :0:0981:1175[00000000x_00000000x] nop
5628 :0:0982:1176[00000000x_00000000x] nop
5629 :0:0983:1177[00000000x_00000000x] nop
5630 :0:0984:1178[00000000x_00000000x] nop
5631 :0:0985:1179[00000000x_00000000x] nop
5632 :0:0986:1180[00000000x_00000000x] nop
5633 :0:0987:1181[00000000x_00000000x] nop
5634 :0:0988:1182[00000000x_00000000x] nop
5635 :0:0989:1183[00000000x_00000000x] nop
5636 :0:0990:1184[00000000x_00000000x] nop
5637 :0:0991:1185[00000000x_00000000x] nop
5638 :0:0992:1186[00000000x_00000000x] nop
5639 :0:0993:1187[00000000x_00000000x] nop
5640 :0:0994:1188[00000000x_00000000x] nop
5641 :0:0995:1189[00000000x_00000000x] nop
5642 :0:0996:1190[00000000x_00000000x] nop
5643 :0:0997:1191[00000000x_00000000x] nop
5644 :0:0998:1192[00000000x_00000000x] nop
5645 :0:0999:1193[00000000x_00000000x] nop
5646 :0:1000:1194[00000000x_00000000x] nop
5647 :0:1001:1195[00000000x_00000000x] nop
5648 :0:1002:1196[00000000x_00000000x] nop
5649 :0:1003:1197[00000000x_00000000x] nop
5650 :0:1004:1198[00000000x_00000000x] nop
5651 :0:1005:1199[00000000x_00000000x] nop
5652 :0:1006:1200[00000000x_00000000x] nop
5653 :0:1007:1201[00000000x_00000000x] nop
5654 :0:1008:1202[00000000x_00000000x] nop
5655 :0:1009:1203[00000000x_00000000x] nop
5656 :0:1010:1204[00000000x_00000000x] nop
5657 :0:1011:1205[00000000x_00000000x] nop
5658 :0:1012:1206[00000000x_00000000x] nop
5659 :0:1013:1207[00000000x_00000000x] nop
5660 :0:1014:1208[00000000x_00000000x] nop
5661 :0:1015:1209[00000000x_00000000x] nop
5662 :0:1016:1210[00000000x_00000000x] nop
5663 :0:1017:1211[00000000x_00000000x] nop
5664 :0:1018:1212[00000000x_00000000x] nop
5665 :0:1019:1213[00000000x_00000000x] nop
5666 :0:1020:1214[00000000x_00000000x] nop
5667 :0:1021:1215[00000000x_00000000x] nop
5668 :0:1022:1216[00000000x_00000000x] nop
5669 :0:1023:1217[00000000x_00000000x] nop
5670 -----------------------------------------------
5671 8192 (0x2000) bytes
5672 000000: 00003002 00000000 00000000 00000000 |.0..............|
5673 000010: deadbeef deadbeef deadbeef deadbeef |................|
5674 000020: deadbeef deadbeef deadbeef deadbeef |................|
5675 000030: deadbeef deadbeef deadbeef deadbeef |................|
5676 000040: deadbeef deadbeef deadbeef deadbeef |................|
5677 000050: deadbeef deadbeef deadbeef deadbeef |................|
5678 000060: deadbeef deadbeef deadbeef deadbeef |................|
5679 000070: deadbeef deadbeef deadbeef deadbeef |................|
5680 000080: deadbeef deadbeef deadbeef deadbeef |................|
5681 000090: deadbeef deadbeef deadbeef deadbeef |................|
5682 0000a0: deadbeef deadbeef deadbeef deadbeef |................|
5683 0000b0: deadbeef deadbeef deadbeef deadbeef |................|
5684 0000c0: deadbeef deadbeef deadbeef deadbeef |................|
5685 0000d0: deadbeef deadbeef deadbeef deadbeef |................|
5686 0000e0: deadbeef deadbeef deadbeef deadbeef |................|
5687 0000f0: deadbeef deadbeef deadbeef deadbeef |................|
5688 000100: deadbeef deadbeef deadbeef deadbeef |................|
5689 000110: deadbeef deadbeef deadbeef deadbeef |................|
5690 000120: deadbeef deadbeef deadbeef deadbeef |................|
5691 000130: deadbeef deadbeef deadbeef deadbeef |................|
5692 000140: deadbeef deadbeef deadbeef deadbeef |................|
5693 000150: deadbeef deadbeef deadbeef deadbeef |................|
5694 000160: deadbeef deadbeef deadbeef deadbeef |................|
5695 000170: deadbeef deadbeef deadbeef deadbeef |................|
5696 000180: deadbeef deadbeef deadbeef deadbeef |................|
5697 000190: deadbeef deadbeef deadbeef deadbeef |................|
5698 0001a0: deadbeef deadbeef deadbeef deadbeef |................|
5699 0001b0: deadbeef deadbeef deadbeef deadbeef |................|
5700 0001c0: deadbeef deadbeef deadbeef deadbeef |................|
5701 0001d0: deadbeef deadbeef deadbeef deadbeef |................|
5702 0001e0: deadbeef deadbeef deadbeef deadbeef |................|
5703 0001f0: deadbeef deadbeef deadbeef deadbeef |................|
5704 000200: deadbeef deadbeef deadbeef deadbeef |................|
5705 000210: deadbeef deadbeef deadbeef deadbeef |................|
5706 000220: deadbeef deadbeef deadbeef deadbeef |................|
5707 000230: deadbeef deadbeef deadbeef deadbeef |................|
5708 000240: deadbeef deadbeef deadbeef deadbeef |................|
5709 000250: deadbeef deadbeef deadbeef deadbeef |................|
5710 000260: deadbeef deadbeef deadbeef deadbeef |................|
5711 000270: deadbeef deadbeef deadbeef deadbeef |................|
5712 000280: deadbeef deadbeef deadbeef deadbeef |................|
5713 000290: deadbeef deadbeef deadbeef deadbeef |................|
5714 0002a0: deadbeef deadbeef deadbeef deadbeef |................|
5715 0002b0: deadbeef deadbeef deadbeef deadbeef |................|
5716 0002c0: deadbeef deadbeef deadbeef deadbeef |................|
5717 0002d0: deadbeef deadbeef deadbeef deadbeef |................|
5718 0002e0: deadbeef deadbeef deadbeef deadbeef |................|
5719 0002f0: deadbeef deadbeef deadbeef deadbeef |................|
5720 000300: deadbeef deadbeef deadbeef deadbeef |................|
5721 000310: deadbeef deadbeef deadbeef deadbeef |................|
5722 000320: deadbeef deadbeef deadbeef deadbeef |................|
5723 000330: deadbeef deadbeef deadbeef deadbeef |................|
5724 000340: deadbeef deadbeef deadbeef deadbeef |................|
5725 000350: deadbeef deadbeef deadbeef deadbeef |................|
5726 000360: deadbeef deadbeef deadbeef deadbeef |................|
5727 000370: deadbeef deadbeef deadbeef deadbeef |................|
5728 000380: deadbeef deadbeef deadbeef deadbeef |................|
5729 000390: deadbeef deadbeef deadbeef deadbeef |................|
5730 0003a0: deadbeef deadbeef deadbeef deadbeef |................|
5731 0003b0: deadbeef deadbeef deadbeef deadbeef |................|
5732 0003c0: deadbeef deadbeef deadbeef deadbeef |................|
5733 0003d0: deadbeef deadbeef deadbeef deadbeef |................|
5734 0003e0: deadbeef deadbeef deadbeef deadbeef |................|
5735 0003f0: deadbeef deadbeef deadbeef deadbeef |................|
5736 000400: deadbeef deadbeef deadbeef deadbeef |................|
5737 000410: deadbeef deadbeef deadbeef deadbeef |................|
5738 000420: deadbeef deadbeef deadbeef deadbeef |................|
5739 000430: deadbeef deadbeef deadbeef deadbeef |................|
5740 000440: deadbeef deadbeef deadbeef deadbeef |................|
5741 000450: deadbeef deadbeef deadbeef deadbeef |................|
5742 000460: deadbeef deadbeef deadbeef deadbeef |................|
5743 000470: deadbeef deadbeef deadbeef deadbeef |................|
5744 000480: deadbeef deadbeef deadbeef deadbeef |................|
5745 000490: deadbeef deadbeef deadbeef deadbeef |................|
5746 0004a0: deadbeef deadbeef deadbeef deadbeef |................|
5747 0004b0: deadbeef deadbeef deadbeef deadbeef |................|
5748 0004c0: deadbeef deadbeef deadbeef deadbeef |................|
5749 0004d0: deadbeef deadbeef deadbeef deadbeef |................|
5750 0004e0: deadbeef deadbeef deadbeef deadbeef |................|
5751 0004f0: deadbeef deadbeef deadbeef deadbeef |................|
5752 000500: deadbeef deadbeef deadbeef deadbeef |................|
5753 000510: deadbeef deadbeef deadbeef deadbeef |................|
5754 000520: deadbeef deadbeef deadbeef deadbeef |................|
5755 000530: deadbeef deadbeef deadbeef deadbeef |................|
5756 000540: deadbeef deadbeef deadbeef deadbeef |................|
5757 000550: deadbeef deadbeef deadbeef deadbeef |................|
5758 000560: deadbeef deadbeef deadbeef deadbeef |................|
5759 000570: deadbeef deadbeef deadbeef deadbeef |................|
5760 000580: deadbeef deadbeef deadbeef deadbeef |................|
5761 000590: deadbeef deadbeef deadbeef deadbeef |................|
5762 0005a0: deadbeef deadbeef deadbeef deadbeef |................|
5763 0005b0: deadbeef deadbeef deadbeef deadbeef |................|
5764 0005c0: deadbeef deadbeef deadbeef deadbeef |................|
5765 0005d0: deadbeef deadbeef deadbeef deadbeef |................|
5766 0005e0: deadbeef deadbeef deadbeef deadbeef |................|
5767 0005f0: deadbeef deadbeef deadbeef deadbeef |................|
5768 000600: deadbeef deadbeef deadbeef deadbeef |................|
5769 000610: deadbeef deadbeef deadbeef deadbeef |................|
5770 000620: deadbeef deadbeef deadbeef deadbeef |................|
5771 000630: deadbeef deadbeef deadbeef deadbeef |................|
5772 000640: deadbeef deadbeef deadbeef deadbeef |................|
5773 000650: deadbeef deadbeef deadbeef deadbeef |................|
5774 000660: deadbeef deadbeef deadbeef deadbeef |................|
5775 000670: deadbeef deadbeef deadbeef deadbeef |................|
5776 000680: deadbeef deadbeef deadbeef deadbeef |................|
5777 000690: deadbeef deadbeef deadbeef deadbeef |................|
5778 0006a0: deadbeef deadbeef deadbeef deadbeef |................|
5779 0006b0: deadbeef deadbeef deadbeef deadbeef |................|
5780 0006c0: deadbeef deadbeef deadbeef deadbeef |................|
5781 0006d0: deadbeef deadbeef deadbeef deadbeef |................|
5782 0006e0: deadbeef deadbeef deadbeef deadbeef |................|
5783 0006f0: deadbeef deadbeef deadbeef deadbeef |................|
5784 000700: deadbeef deadbeef deadbeef deadbeef |................|
5785 000710: deadbeef deadbeef deadbeef deadbeef |................|
5786 000720: deadbeef deadbeef deadbeef deadbeef |................|
5787 000730: deadbeef deadbeef deadbeef deadbeef |................|
5788 000740: deadbeef deadbeef deadbeef deadbeef |................|
5789 000750: deadbeef deadbeef deadbeef deadbeef |................|
5790 000760: deadbeef deadbeef deadbeef deadbeef |................|
5791 000770: deadbeef deadbeef deadbeef deadbeef |................|
5792 000780: deadbeef deadbeef deadbeef deadbeef |................|
5793 000790: deadbeef deadbeef deadbeef deadbeef |................|
5794 0007a0: deadbeef deadbeef deadbeef deadbeef |................|
5795 0007b0: deadbeef deadbeef deadbeef deadbeef |................|
5796 0007c0: deadbeef deadbeef deadbeef deadbeef |................|
5797 0007d0: deadbeef deadbeef deadbeef deadbeef |................|
5798 0007e0: deadbeef deadbeef deadbeef deadbeef |................|
5799 0007f0: deadbeef deadbeef deadbeef deadbeef |................|
5800 000800: deafbead deafbead deafbead deafbead |................|
5801 000810: deafbead deafbead deafbead deafbead |................|
5802 000820: deafbead deafbead deafbead deafbead |................|
5803 000830: deafbead deafbead deafbead deafbead |................|
5804 000840: deafbead deafbead deafbead deafbead |................|
5805 000850: deafbead deafbead deafbead deafbead |................|
5806 000860: deafbead deafbead deafbead deafbead |................|
5807 000870: deafbead deafbead deafbead deafbead |................|
5808 000880: deafbead deafbead deafbead deafbead |................|
5809 000890: deafbead deafbead deafbead deafbead |................|
5810 0008a0: deafbead deafbead deafbead deafbead |................|
5811 0008b0: deafbead deafbead deafbead deafbead |................|
5812 0008c0: deafbead deafbead deafbead deafbead |................|
5813 0008d0: deafbead deafbead deafbead deafbead |................|
5814 0008e0: deafbead deafbead deafbead deafbead |................|
5815 0008f0: deafbead deafbead deafbead deafbead |................|
5816 000900: deafbead deafbead deafbead deafbead |................|
5817 000910: deafbead deafbead deafbead deafbead |................|
5818 000920: deafbead deafbead deafbead deafbead |................|
5819 000930: deafbead deafbead deafbead deafbead |................|
5820 000940: deafbead deafbead deafbead deafbead |................|
5821 000950: deafbead deafbead deafbead deafbead |................|
5822 000960: deafbead deafbead deafbead deafbead |................|
5823 000970: deafbead deafbead deafbead deafbead |................|
5824 000980: deafbead deafbead deafbead deafbead |................|
5825 000990: deafbead deafbead deafbead deafbead |................|
5826 0009a0: deafbead deafbead deafbead deafbead |................|
5827 0009b0: deafbead deafbead deafbead deafbead |................|
5828 0009c0: deafbead deafbead deafbead deafbead |................|
5829 0009d0: deafbead deafbead deafbead deafbead |................|
5830 0009e0: deafbead deafbead deafbead deafbead |................|
5831 0009f0: deafbead deafbead deafbead deafbead |................|
5832 000a00: deafbead deafbead deafbead deafbead |................|
5833 000a10: deafbead deafbead deafbead deafbead |................|
5834 000a20: deafbead deafbead deafbead deafbead |................|
5835 000a30: deafbead deafbead deafbead deafbead |................|
5836 000a40: deafbead deafbead deafbead deafbead |................|
5837 000a50: deafbead deafbead deafbead deafbead |................|
5838 000a60: deafbead deafbead deafbead deafbead |................|
5839 000a70: deafbead deafbead deafbead deafbead |................|
5840 000a80: deafbead deafbead deafbead deafbead |................|
5841 000a90: deafbead deafbead deafbead deafbead |................|
5842 000aa0: deafbead deafbead deafbead deafbead |................|
5843 000ab0: deafbead deafbead deafbead deafbead |................|
5844 000ac0: deafbead deafbead deafbead deafbead |................|
5845 000ad0: deafbead deafbead deafbead deafbead |................|
5846 000ae0: deafbead deafbead deafbead deafbead |................|
5847 000af0: deafbead deafbead deafbead deafbead |................|
5848 000b00: deafbead deafbead deafbead deafbead |................|
5849 000b10: deafbead deafbead deafbead deafbead |................|
5850 000b20: deafbead deafbead deafbead deafbead |................|
5851 000b30: deafbead deafbead deafbead deafbead |................|
5852 000b40: deafbead deafbead deafbead deafbead |................|
5853 000b50: deafbead deafbead deafbead deafbead |................|
5854 000b60: deafbead deafbead deafbead deafbead |................|
5855 000b70: deafbead deafbead deafbead deafbead |................|
5856 000b80: deafbead deafbead deafbead deafbead |................|
5857 000b90: deafbead deafbead deafbead deafbead |................|
5858 000ba0: deafbead deafbead deafbead deafbead |................|
5859 000bb0: deafbead deafbead deafbead deafbead |................|
5860 000bc0: deafbead deafbead deafbead deafbead |................|
5861 000bd0: deafbead deafbead deafbead deafbead |................|
5862 000be0: deafbead deafbead deafbead deafbead |................|
5863 000bf0: deafbead deafbead deafbead deafbead |................|
5864 000c00: deafbead deafbead deafbead deafbead |................|
5865 000c10: deafbead deafbead deafbead deafbead |................|
5866 000c20: deafbead deafbead deafbead deafbead |................|
5867 000c30: deafbead deafbead deafbead deafbead |................|
5868 000c40: deafbead deafbead deafbead deafbead |................|
5869 000c50: deafbead deafbead deafbead deafbead |................|
5870 000c60: deafbead deafbead deafbead deafbead |................|
5871 000c70: deafbead deafbead deafbead deafbead |................|
5872 000c80: deafbead deafbead deafbead deafbead |................|
5873 000c90: deafbead deafbead deafbead deafbead |................|
5874 000ca0: deafbead deafbead deafbead deafbead |................|
5875 000cb0: deafbead deafbead deafbead deafbead |................|
5876 000cc0: deafbead deafbead deafbead deafbead |................|
5877 000cd0: deafbead deafbead deafbead deafbead |................|
5878 000ce0: deafbead deafbead deafbead deafbead |................|
5879 000cf0: deafbead deafbead deafbead deafbead |................|
5880 000d00: deafbead deafbead deafbead deafbead |................|
5881 000d10: deafbead deafbead deafbead deafbead |................|
5882 000d20: deafbead deafbead deafbead deafbead |................|
5883 000d30: deafbead deafbead deafbead deafbead |................|
5884 000d40: deafbead deafbead deafbead deafbead |................|
5885 000d50: deafbead deafbead deafbead deafbead |................|
5886 000d60: deafbead deafbead deafbead deafbead |................|
5887 000d70: deafbead deafbead deafbead deafbead |................|
5888 000d80: deafbead deafbead deafbead deafbead |................|
5889 000d90: deafbead deafbead deafbead deafbead |................|
5890 000da0: deafbead deafbead deafbead deafbead |................|
5891 000db0: deafbead deafbead deafbead deafbead |................|
5892 000dc0: deafbead deafbead deafbead deafbead |................|
5893 000dd0: deafbead deafbead deafbead deafbead |................|
5894 000de0: deafbead deafbead deafbead deafbead |................|
5895 000df0: deafbead deafbead deafbead deafbead |................|
5896 000e00: deafbead deafbead deafbead deafbead |................|
5897 000e10: deafbead deafbead deafbead deafbead |................|
5898 000e20: deafbead deafbead deafbead deafbead |................|
5899 000e30: deafbead deafbead deafbead deafbead |................|
5900 000e40: deafbead deafbead deafbead deafbead |................|
5901 000e50: deafbead deafbead deafbead deafbead |................|
5902 000e60: deafbead deafbead deafbead deafbead |................|
5903 000e70: deafbead deafbead deafbead deafbead |................|
5904 000e80: deafbead deafbead deafbead deafbead |................|
5905 000e90: deafbead deafbead deafbead deafbead |................|
5906 000ea0: deafbead deafbead deafbead deafbead |................|
5907 000eb0: deafbead deafbead deafbead deafbead |................|
5908 000ec0: deafbead deafbead deafbead deafbead |................|
5909 000ed0: deafbead deafbead deafbead deafbead |................|
5910 000ee0: deafbead deafbead deafbead deafbead |................|
5911 000ef0: deafbead deafbead deafbead deafbead |................|
5912 000f00: deafbead deafbead deafbead deafbead |................|
5913 000f10: deafbead deafbead deafbead deafbead |................|
5914 000f20: deafbead deafbead deafbead deafbead |................|
5915 000f30: deafbead deafbead deafbead deafbead |................|
5916 000f40: deafbead deafbead deafbead deafbead |................|
5917 000f50: deafbead deafbead deafbead deafbead |................|
5918 000f60: deafbead deafbead deafbead deafbead |................|
5919 000f70: deafbead deafbead deafbead deafbead |................|
5920 000f80: deafbead deafbead deafbead deafbead |................|
5921 000f90: deafbead deafbead deafbead deafbead |................|
5922 000fa0: deafbead deafbead deafbead deafbead |................|
5923 000fb0: deafbead deafbead deafbead deafbead |................|
5924 000fc0: deafbead deafbead deafbead deafbead |................|
5925 000fd0: deafbead deafbead deafbead deafbead |................|
5926 000fe0: deafbead deafbead deafbead deafbead |................|
5927 000ff0: deafbead deafbead deafbead deafbead |................|
5928 001000: 00024000 00500240 00000000 00402020 |.@..@.P..... @.|
5929 001010: 00001000 00000040 00024020 00510401 |....@... @....Q.|
5930 001020: 00000008 00100080 00002080 00000044 |......... ..D...|
5931 001030: 00000000 00001000 00000008 00200000 |.............. .|
5932 001040: 00048110 00000044 00508000 00000040 |....D.....P.@...|
5933 001050: 00020044 00010200 00201014 00000000 |D......... .....|
5934 001060: 00101100 00012100 00005000 00000012 |.....!...P......|
5935 001070: 00005000 00000010 00000020 00040000 |.P...... .......|
5936 001080: 00082514 00002101 00210020 00000000 |.%...!.. .!.....|
5937 001090: 00010002 00440004 00000250 00000002 |......D.P.......|
5938 0010a0: 00100000 00000040 00020014 00000000 |....@...........|
5939 0010b0: 00050020 000400a0 00000000 00100000 | ...............|
5940 0010c0: 00044081 00000000 00000000 00000000 |.@..............|
5941 0010d0: 00000100 00200048 00000000 00080020 |....H. ..... ...|
5942 0010e0: 00200001 00200002 00000404 002000a4 |.. ... ....... .|
5943 0010f0: 00000004 00440246 00442000 0008c040 |....F.D.. D.@...|
5944 001100: 00200000 002112a0 00000000 00000000 |.. ...!.........|
5945 001110: 00400001 00000240 00040400 00000000 |..@.@...........|
5946 001120: 00104010 0000a100 00002001 00008480 |.@....... ......|
5947 001130: 00000040 00000001 00040400 00040001 |@...............|
5948 001140: 00040600 00200000 00100000 00000100 |...... .........|
5949 001150: 0020a200 00504180 00000000 00000000 |.. ..AP.........|
5950 001160: 00004000 00000024 00100008 00200000 |.@..$......... .|
5951 001170: 00000000 00010080 00000000 00080000 |................|
5952 001180: 00500400 00084000 00008000 00004000 |..P..@.......@..|
5953 001190: 00000300 00200000 00020001 00000042 |...... .....B...|
5954 0011a0: 00400088 00005600 00000000 00000002 |..@..V..........|
5955 0011b0: 00400008 0002005e 00200000 00020020 |..@.^..... . ...|
5956 0011c0: 00055480 001e0414 00000000 00000000 |.T..............|
5957 0011d0: 00000480 00000442 00080000 00000200 |....B...........|
5958 0011e0: 00600400 00520000 00000008 00001200 |..`...R.........|
5959 0011f0: 00201000 00400114 00100002 00110100 |.. ...@.........|
5960 001200: 00200683 00404200 00000004 00000090 |.. ..B@.........|
5961 001210: 00002000 00502000 00000020 00000004 |. ... P. .......|
5962 001220: 00600010 00103100 00000010 00000002 |..`..1..........|
5963 001230: 00021200 00004000 00000000 00000000 |.....@..........|
5964 001240: 0010220a 00201400 00030000 00000000 |.".... .........|
5965 001250: 00400000 00080040 00000002 00000080 |..@.@...........|
5966 001260: 00000400 00000580 00000022 00000200 |........".......|
5967 001270: 00300042 00080000 00040200 00008000 |B.0.............|
5968 001280: 00040000 00000000 00000010 0012008a |................|
5969 001290: 00000000 00000100 00010018 00010000 |................|
5970 0012a0: 00440020 00500011 00000000 00100000 | .D...P.........|
5971 0012b0: 0004020c 00008200 00100010 00000400 |................|
5972 0012c0: 00118000 00000004 00004200 00000002 |.........B......|
5973 0012d0: 00000210 00026300 00000040 0000a002 |.....c..@.......|
5974 0012e0: 00004082 00081100 00210000 00000008 |.@........!.....|
5975 0012f0: 00020000 00020004 00064108 00020000 |.........A......|
5976 001300: 00020000 00000084 00000430 00000181 |........0.......|
5977 001310: 00100002 001c8100 00200020 00000000 |........ . .....|
5978 001320: 00002000 00100081 00000008 00000000 |. ..............|
5979 001330: 00000024 00009420 00002010 00000100 |$... .... ......|
5980 001340: 00000000 00004188 00002000 00100000 |.....A... ......|
5981 001350: 00040000 00120102 00000000 00040002 |................|
5982 001360: 00210201 00224200 00040000 00000200 |..!..B".........|
5983 001370: 00000000 0000000c 00005000 00000000 |.........P......|
5984 001380: 00010200 00082208 00000000 00194011 |.....".......@..|
5985 001390: 00000502 00012100 00040050 00000240 |.....!..P...@...|
5986 0013a0: 00004180 00080211 00001008 00000000 |.A..............|
5987 0013b0: 002004a0 00020490 00001080 00210004 |.. ...........!.|
5988 0013c0: 00300040 00000000 00000020 00008002 |@.0..... .......|
5989 0013d0: 00041098 00000000 00000000 002000a0 |.............. .|
5990 0013e0: 000c0400 00000000 00000402 00000401 |................|
5991 0013f0: 00200400 00002000 00001000 00000101 |.. .. ..........|
5992 001400: 00024000 00500240 00000000 00402020 |.@..@.P..... @.|
5993 001410: 00001000 00000040 00024020 00510401 |....@... @....Q.|
5994 001420: 00000008 00100080 00002080 00000044 |......... ..D...|
5995 001430: 00000000 00001000 00000008 00200000 |.............. .|
5996 001440: 00048110 00000044 00508000 00000040 |....D.....P.@...|
5997 001450: 00020044 00010200 00201014 00000000 |D......... .....|
5998 001460: 00101100 00012100 00005000 00000012 |.....!...P......|
5999 001470: 00005000 00000010 00000020 00040000 |.P...... .......|
6000 001480: 00082514 00002101 00210020 00000000 |.%...!.. .!.....|
6001 001490: 00010002 00440004 00000250 00000002 |......D.P.......|
6002 0014a0: 00100000 00000040 00020014 00000000 |....@...........|
6003 0014b0: 00050020 000400a0 00000000 00100000 | ...............|
6004 0014c0: 00044081 00000000 00000000 00000000 |.@..............|
6005 0014d0: 00000100 00200048 00000000 00080020 |....H. ..... ...|
6006 0014e0: 00200001 00200002 00000404 002000a4 |.. ... ....... .|
6007 0014f0: 00000004 00440246 00442000 0008c040 |....F.D.. D.@...|
6008 001500: 00200000 002112a0 00000000 00000000 |.. ...!.........|
6009 001510: 00400001 00000240 00040400 00000000 |..@.@...........|
6010 001520: 00104010 0000a100 00002001 00008480 |.@....... ......|
6011 001530: 00000040 00000001 00040400 00040001 |@...............|
6012 001540: 00040600 00200000 00100000 00000100 |...... .........|
6013 001550: 0020a200 00504180 00000000 00000000 |.. ..AP.........|
6014 001560: 00004000 00000024 00100008 00200000 |.@..$......... .|
6015 001570: 00000000 00010080 00000000 00080000 |................|
6016 001580: 00500400 00084000 00008000 00004000 |..P..@.......@..|
6017 001590: 00000300 00200000 00020001 00000042 |...... .....B...|
6018 0015a0: 00400088 00005600 00000000 00000002 |..@..V..........|
6019 0015b0: 00400008 0002005e 00200000 00020020 |..@.^..... . ...|
6020 0015c0: 00055480 001e0414 00000000 00000000 |.T..............|
6021 0015d0: 00000480 00000442 00080000 00000200 |....B...........|
6022 0015e0: 00600400 00520000 00000008 00001200 |..`...R.........|
6023 0015f0: 00201000 00400114 00100002 00110100 |.. ...@.........|
6024 001600: 00200683 00404200 00000004 00000090 |.. ..B@.........|
6025 001610: 00002000 00502000 00000020 00000004 |. ... P. .......|
6026 001620: 00600010 00103100 00000010 00000002 |..`..1..........|
6027 001630: 00021200 00004000 00000000 00000000 |.....@..........|
6028 001640: 0010220a 00201400 00030000 00000000 |.".... .........|
6029 001650: 00400000 00080040 00000002 00000080 |..@.@...........|
6030 001660: 00000400 00000580 00000022 00000200 |........".......|
6031 001670: 00300042 00080000 00040200 00008000 |B.0.............|
6032 001680: 00040000 00000000 00000010 0012008a |................|
6033 001690: 00000000 00000100 00010018 00010000 |................|
6034 0016a0: 00440020 00500011 00000000 00100000 | .D...P.........|
6035 0016b0: 0004020c 00008200 00100010 00000400 |................|
6036 0016c0: 00118000 00000004 00004200 00000002 |.........B......|
6037 0016d0: 00000210 00026300 00000040 0000a002 |.....c..@.......|
6038 0016e0: 00004082 00081100 00210000 00000008 |.@........!.....|
6039 0016f0: 00020000 00020004 00064108 00020000 |.........A......|
6040 001700: 00020000 00000084 00000430 00000181 |........0.......|
6041 001710: 00100002 001c8100 00200020 00000000 |........ . .....|
6042 001720: 00002000 00100081 00000008 00000000 |. ..............|
6043 001730: 00000024 00009420 00002010 00000100 |$... .... ......|
6044 001740: 00000000 00004188 00002000 00100000 |.....A... ......|
6045 001750: 00040000 00120102 00000000 00040002 |................|
6046 001760: 00210201 00224200 00040000 00000200 |..!..B".........|
6047 001770: 00000000 0000000c 00005000 00000000 |.........P......|
6048 001780: 00010200 00082208 00000000 00194011 |.....".......@..|
6049 001790: 00000502 00012100 00040050 00000240 |.....!..P...@...|
6050 0017a0: 00004180 00080211 00001008 00000000 |.A..............|
6051 0017b0: 002004a0 00020490 00001080 00210004 |.. ...........!.|
6052 0017c0: 00300040 00000000 00000020 00008002 |@.0..... .......|
6053 0017d0: 00041098 00000000 00000000 002000a0 |.............. .|
6054 0017e0: 000c0400 00000000 00000402 00000401 |................|
6055 0017f0: 00200400 00002000 00001000 00000101 |.. .. ..........|
6056 001800: 00000000 00000000 00000000 00000000 |................|
6057 001810: 00000000 00000000 00000000 00000000 |................|
6058 001820: 00000000 00000000 00000000 00000000 |................|
6059 001830: 00000000 00000000 00000000 00000000 |................|
6060 001840: 00000000 00000000 00000000 00000000 |................|
6061 001850: 00000000 00000000 00000000 00000000 |................|
6062 001860: 00000000 00000000 00000000 00000000 |................|
6063 001870: 00000000 00000000 00000000 00000000 |................|
6064 001880: 00000000 00000000 00000000 00000000 |................|
6065 001890: 00000000 00000000 00000000 00000000 |................|
6066 0018a0: 00000000 00000000 00000000 00000000 |................|
6067 0018b0: 00000000 00000000 00000000 00000000 |................|
6068 0018c0: 00000000 00000000 00000000 00000000 |................|
6069 0018d0: 00000000 00000000 00000000 00000000 |................|
6070 0018e0: 00000000 00000000 00000000 00000000 |................|
6071 0018f0: 00000000 00000000 00000000 00000000 |................|
6072 001900: 00000000 00000000 00000000 00000000 |................|
6073 001910: 00000000 00000000 00000000 00000000 |................|
6074 001920: 00000000 00000000 00000000 00000000 |................|
6075 001930: 00000000 00000000 00000000 00000000 |................|
6076 001940: 00000000 00000000 00000000 00000000 |................|
6077 001950: 00000000 00000000 00000000 00000000 |................|
6078 001960: 00000000 00000000 00000000 00000000 |................|
6079 001970: 00000000 00000000 00000000 00000000 |................|
6080 001980: 00000000 00000000 00000000 00000000 |................|
6081 001990: 00000000 00000000 00000000 00000000 |................|
6082 0019a0: 00000000 00000000 00000000 00000000 |................|
6083 0019b0: 00000000 00000000 00000000 00000000 |................|
6084 0019c0: 00000000 00000000 00000000 00000000 |................|
6085 0019d0: 00000000 00000000 00000000 00000000 |................|
6086 0019e0: 00000000 00000000 00000000 00000000 |................|
6087 0019f0: 00000000 00000000 00000000 00000000 |................|
6088 001a00: 00000000 00000000 00000000 00000000 |................|
6089 001a10: 00000000 00000000 00000000 00000000 |................|
6090 001a20: 00000000 00000000 00000000 00000000 |................|
6091 001a30: 00000000 00000000 00000000 00000000 |................|
6092 001a40: 00000000 00000000 00000000 00000000 |................|
6093 001a50: 00000000 00000000 00000000 00000000 |................|
6094 001a60: 00000000 00000000 00000000 00000000 |................|
6095 001a70: 00000000 00000000 00000000 00000000 |................|
6096 001a80: 00000000 00000000 00000000 00000000 |................|
6097 001a90: 00000000 00000000 00000000 00000000 |................|
6098 001aa0: 00000000 00000000 00000000 00000000 |................|
6099 001ab0: 00000000 00000000 00000000 00000000 |................|
6100 001ac0: 00000000 00000000 00000000 00000000 |................|
6101 001ad0: 00000000 00000000 00000000 00000000 |................|
6102 001ae0: 00000000 00000000 00000000 00000000 |................|
6103 001af0: 00000000 00000000 00000000 00000000 |................|
6104 001b00: 00000000 00000000 00000000 00000000 |................|
6105 001b10: 00000000 00000000 00000000 00000000 |................|
6106 001b20: 00000000 00000000 00000000 00000000 |................|
6107 001b30: 00000000 00000000 00000000 00000000 |................|
6108 001b40: 00000000 00000000 00000000 00000000 |................|
6109 001b50: 00000000 00000000 00000000 00000000 |................|
6110 001b60: 00000000 00000000 00000000 00000000 |................|
6111 001b70: 00000000 00000000 00000000 00000000 |................|
6112 001b80: 00000000 00000000 00000000 00000000 |................|
6113 001b90: 00000000 00000000 00000000 00000000 |................|
6114 001ba0: 00000000 00000000 00000000 00000000 |................|
6115 001bb0: 00000000 00000000 00000000 00000000 |................|
6116 001bc0: 00000000 00000000 00000000 00000000 |................|
6117 001bd0: 00000000 00000000 00000000 00000000 |................|
6118 001be0: 00000000 00000000 00000000 00000000 |................|
6119 001bf0: 00000000 00000000 00000000 00000000 |................|
6120 001c00: 00000000 00000000 00000000 00000000 |................|
6121 001c10: 00000000 00000000 00000000 00000000 |................|
6122 001c20: 00000000 00000000 00000000 00000000 |................|
6123 001c30: 00000000 00000000 00000000 00000000 |................|
6124 001c40: 00000000 00000000 00000000 00000000 |................|
6125 001c50: 00000000 00000000 00000000 00000000 |................|
6126 001c60: 00000000 00000000 00000000 00000000 |................|
6127 001c70: 00000000 00000000 00000000 00000000 |................|
6128 001c80: 00000000 00000000 00000000 00000000 |................|
6129 001c90: 00000000 00000000 00000000 00000000 |................|
6130 001ca0: 00000000 00000000 00000000 00000000 |................|
6131 001cb0: 00000000 00000000 00000000 00000000 |................|
6132 001cc0: 00000000 00000000 00000000 00000000 |................|
6133 001cd0: 00000000 00000000 00000000 00000000 |................|
6134 001ce0: 00000000 00000000 00000000 00000000 |................|
6135 001cf0: 00000000 00000000 00000000 00000000 |................|
6136 001d00: 00000000 00000000 00000000 00000000 |................|
6137 001d10: 00000000 00000000 00000000 00000000 |................|
6138 001d20: 00000000 00000000 00000000 00000000 |................|
6139 001d30: 00000000 00000000 00000000 00000000 |................|
6140 001d40: 00000000 00000000 00000000 00000000 |................|
6141 001d50: 00000000 00000000 00000000 00000000 |................|
6142 001d60: 00000000 00000000 00000000 00000000 |................|
6143 001d70: 00000000 00000000 00000000 00000000 |................|
6144 001d80: 00000000 00000000 00000000 00000000 |................|
6145 001d90: 00000000 00000000 00000000 00000000 |................|
6146 001da0: 00000000 00000000 00000000 00000000 |................|
6147 001db0: 00000000 00000000 00000000 00000000 |................|
6148 001dc0: 00000000 00000000 00000000 00000000 |................|
6149 001dd0: 00000000 00000000 00000000 00000000 |................|
6150 001de0: 00000000 00000000 00000000 00000000 |................|
6151 001df0: 00000000 00000000 00000000 00000000 |................|
6152 001e00: 00000000 00000000 00000000 00000000 |................|
6153 001e10: 00000000 00000000 00000000 00000000 |................|
6154 001e20: 00000000 00000000 00000000 00000000 |................|
6155 001e30: 00000000 00000000 00000000 00000000 |................|
6156 001e40: 00000000 00000000 00000000 00000000 |................|
6157 001e50: 00000000 00000000 00000000 00000000 |................|
6158 001e60: 00000000 00000000 00000000 00000000 |................|
6159 001e70: 00000000 00000000 00000000 00000000 |................|
6160 001e80: 00000000 00000000 00000000 00000000 |................|
6161 001e90: 00000000 00000000 00000000 00000000 |................|
6162 001ea0: 00000000 00000000 00000000 00000000 |................|
6163 001eb0: 00000000 00000000 00000000 00000000 |................|
6164 001ec0: 00000000 00000000 00000000 00000000 |................|
6165 001ed0: 00000000 00000000 00000000 00000000 |................|
6166 001ee0: 00000000 00000000 00000000 00000000 |................|
6167 001ef0: 00000000 00000000 00000000 00000000 |................|
6168 001f00: 00000000 00000000 00000000 00000000 |................|
6169 001f10: 00000000 00000000 00000000 00000000 |................|
6170 001f20: 00000000 00000000 00000000 00000000 |................|
6171 001f30: 00000000 00000000 00000000 00000000 |................|
6172 001f40: 00000000 00000000 00000000 00000000 |................|
6173 001f50: 00000000 00000000 00000000 00000000 |................|
6174 001f60: 00000000 00000000 00000000 00000000 |................|
6175 001f70: 00000000 00000000 00000000 00000000 |................|
6176 001f80: 00000000 00000000 00000000 00000000 |................|
6177 001f90: 00000000 00000000 00000000 00000000 |................|
6178 001fa0: 00000000 00000000 00000000 00000000 |................|
6179 001fb0: 00000000 00000000 00000000 00000000 |................|
6180 001fc0: 00000000 00000000 00000000 00000000 |................|
6181 001fd0: 00000000 00000000 00000000 00000000 |................|
6182 001fe0: 00000000 00000000 00000000 00000000 |................|
6183 001ff0: 00000000 00000000 00000000 00000000 |................|
6184 - bank: 2
6185 size: 2048
6186 - type: A6XX_HLSQ_GFX_CVS_CONST_RAM
6187 - bank: 0
6188 size: 2048
6189 - bank: 1
6190 size: 2048
6191 - bank: 2
6192 size: 2048
6193 - type: A6XX_HLSQ_GFX_CPS_CONST_RAM
6194 - bank: 0
6195 size: 2048
6196 - bank: 1
6197 size: 2048
6198 - bank: 2
6199 size: 2048
6200 - type: A6XX_HLSQ_CVS_MISC_RAM_TAG
6201 - bank: 0
6202 size: 8
6203 - bank: 1
6204 size: 8
6205 - bank: 2
6206 size: 8
6207 - type: A6XX_HLSQ_CPS_MISC_RAM_TAG
6208 - bank: 0
6209 size: 4
6210 - bank: 1
6211 size: 4
6212 - bank: 2
6213 size: 4
6214 - type: A6XX_HLSQ_INST_RAM_TAG
6215 - bank: 0
6216 size: 128
6217 - bank: 1
6218 size: 128
6219 - bank: 2
6220 size: 128
6221 - type: A6XX_HLSQ_GFX_CVS_CONST_RAM_TAG
6222 - bank: 0
6223 size: 12
6224 - bank: 1
6225 size: 12
6226 - bank: 2
6227 size: 12
6228 - type: A6XX_HLSQ_GFX_CPS_CONST_RAM_TAG
6229 - bank: 0
6230 size: 16
6231 - bank: 1
6232 size: 16
6233 - bank: 2
6234 size: 16
6235 - type: A6XX_HLSQ_PWR_REST_RAM
6236 - bank: 0
6237 size: 40
6238 - bank: 1
6239 size: 40
6240 - bank: 2
6241 size: 40
6242 - type: A6XX_HLSQ_PWR_REST_TAG
6243 - bank: 0
6244 size: 20
6245 - bank: 1
6246 size: 20
6247 - bank: 2
6248 size: 20
6249 - type: A6XX_HLSQ_DATAPATH_META
6250 - bank: 0
6251 size: 64
6252 - bank: 1
6253 size: 64
6254 - bank: 2
6255 size: 64
6256 - type: A6XX_HLSQ_FRONTEND_META
6257 - bank: 0
6258 size: 64
6259 - bank: 1
6260 size: 64
6261 - bank: 2
6262 size: 64
6263 - type: A6XX_HLSQ_INDIRECT_META
6264 - bank: 0
6265 size: 64
6266 - bank: 1
6267 size: 64
6268 - bank: 2
6269 size: 64
6270 clusters:
6271 - cluster-name: CLUSTER_GRAS
6272 - context: 0
6273 00000000 GRAS_CL_CNTL: { 0 }
6274 00000000 GRAS_VS_CL_CNTL: { CLIP_MASK = 0 | CULL_MASK = 0 }
6275 00000000 GRAS_DS_CL_CNTL: { CLIP_MASK = 0 | CULL_MASK = 0 }
6276 00000000 GRAS_GS_CL_CNTL: { CLIP_MASK = 0 | CULL_MASK = 0 }
6277 00000000 GRAS_MAX_LAYER_INDEX: 0
6278 00000000 GRAS_CNTL: { COORD_MASK = 0 }
6279 00000000 GRAS_CL_GUARDBAND_CLIP_ADJ: { HORZ = 0 | VERT = 0 }
6280 17ed48d5 GRAS_CL_VPORT[0].XOFFSET: 0.000000
6281 1367ce4f GRAS_CL_VPORT[0].XSCALE: 0.000000
6282 41486258 GRAS_CL_VPORT[0].YOFFSET: 12.524010
6283 a77c9dfe GRAS_CL_VPORT[0].YSCALE: -0.000000
6284 4e1a2221 GRAS_CL_VPORT[0].ZOFFSET: 646481984.000000
6285 25ad942d GRAS_CL_VPORT[0].ZSCALE: 0.000000
6286 b98dd337 GRAS_CL_VPORT[0x1].XOFFSET: -0.000271
6287 f3b29a4b GRAS_CL_VPORT[0x1].XSCALE: -28300728576976020658845084811264.000000
6288 03c125ba GRAS_CL_VPORT[0x1].YOFFSET: 0.000000
6289 9fd9154a GRAS_CL_VPORT[0x1].YSCALE: -0.000000
6290 99e9ef18 GRAS_CL_VPORT[0x1].ZOFFSET: -0.000000
6291 cfa33a37 GRAS_CL_VPORT[0x1].ZSCALE: -5477002752.000000
6292 c08d010f GRAS_CL_VPORT[0x2].XOFFSET: -4.406379
6293 ec058c04 GRAS_CL_VPORT[0x2].XSCALE: -645793356413294901616181248.000000
6294 a095f8f2 GRAS_CL_VPORT[0x2].YOFFSET: -0.000000
6295 dd963bbd GRAS_CL_VPORT[0x2].YSCALE: -1353181742126596096.000000
6296 c8e17162 GRAS_CL_VPORT[0x2].ZOFFSET: -461707.062500
6297 c5f8656e GRAS_CL_VPORT[0x2].ZSCALE: -7948.678711
6298 193ca252 GRAS_CL_VPORT[0x3].XOFFSET: 0.000000
6299 c276e62a GRAS_CL_VPORT[0x3].XSCALE: -61.724770
6300 4aae8197 GRAS_CL_VPORT[0x3].YOFFSET: 5718219.500000
6301 a2a2312c GRAS_CL_VPORT[0x3].YSCALE: -0.000000
6302 86a6e32d GRAS_CL_VPORT[0x3].ZOFFSET: -0.000000
6303 2be1d796 GRAS_CL_VPORT[0x3].ZSCALE: 0.000000
6304 d1743060 GRAS_CL_VPORT[0x4].XOFFSET: -65548976128.000000
6305 7c3d4406 GRAS_CL_VPORT[0x4].XSCALE: 3930895141940423283868471122294996992.000000
6306 562e9a08 GRAS_CL_VPORT[0x4].YOFFSET: 47994145603584.000000
6307 fa7f4af3 GRAS_CL_VPORT[0x4].YSCALE: -331388962420135558780170972931555328.000000
6308 0b2e288f GRAS_CL_VPORT[0x4].ZOFFSET: 0.000000
6309 85c75124 GRAS_CL_VPORT[0x4].ZSCALE: -0.000000
6310 94887ab4 GRAS_CL_VPORT[0x5].XOFFSET: -0.000000
6311 1356de8c GRAS_CL_VPORT[0x5].XSCALE: 0.000000
6312 14ff583b GRAS_CL_VPORT[0x5].YOFFSET: 0.000000
6313 17ba9c47 GRAS_CL_VPORT[0x5].YSCALE: 0.000000
6314 c1dfc3da GRAS_CL_VPORT[0x5].ZOFFSET: -27.970631
6315 2dc39521 GRAS_CL_VPORT[0x5].ZSCALE: 0.000000
6316 f1f2da44 GRAS_CL_VPORT[0x6].XOFFSET: -2405095658443594589675439783936.000000
6317 d68f795b GRAS_CL_VPORT[0x6].XSCALE: -78875690270720.000000
6318 c929b829 GRAS_CL_VPORT[0x6].YOFFSET: -695170.562500
6319 b174d59e GRAS_CL_VPORT[0x6].YSCALE: -0.000000
6320 20b86447 GRAS_CL_VPORT[0x6].ZOFFSET: 0.000000
6321 7cf5f63e GRAS_CL_VPORT[0x6].ZSCALE: 10216856921996855714425547393601634304.000000
6322 25f487a0 GRAS_CL_VPORT[0x7].XOFFSET: 0.000000
6323 ff2b5fb1 GRAS_CL_VPORT[0x7].XSCALE: -227794845467281269236926443122692456448.000000
6324 664b93c1 GRAS_CL_VPORT[0x7].YOFFSET: 240341493629255650639872.000000
6325 078173fc GRAS_CL_VPORT[0x7].YSCALE: 0.000000
6326 ad12ea8c GRAS_CL_VPORT[0x7].ZOFFSET: -0.000000
6327 6556977c GRAS_CL_VPORT[0x7].ZSCALE: 63336301301930932568064.000000
6328 827e4c57 GRAS_CL_VPORT[0x8].XOFFSET: -0.000000
6329 d1fdee5f GRAS_CL_VPORT[0x8].XSCALE: -136328241152.000000
6330 0a990478 GRAS_CL_VPORT[0x8].YOFFSET: 0.000000
6331 e3a19cf1 GRAS_CL_VPORT[0x8].YSCALE: -5962469232013083672576.000000
6332 27db13b2 GRAS_CL_VPORT[0x8].ZOFFSET: 0.000000
6333 e719d958 GRAS_CL_VPORT[0x8].ZSCALE: -726531356411326028054528.000000
6334 69da20dc GRAS_CL_VPORT[0x9].XOFFSET: 32962625335892150604267520.000000
6335 890e59b0 GRAS_CL_VPORT[0x9].XSCALE: -0.000000
6336 4c0ab84c GRAS_CL_VPORT[0x9].YOFFSET: 36364592.000000
6337 587215e3 GRAS_CL_VPORT[0x9].YSCALE: 1064703266652160.000000
6338 11e06c70 GRAS_CL_VPORT[0x9].ZOFFSET: 0.000000
6339 e7b1dbe6 GRAS_CL_VPORT[0x9].ZSCALE: -1679830555333396636106752.000000
6340 b78bc0aa GRAS_CL_VPORT[0xa].XOFFSET: -0.000017
6341 ac39bee4 GRAS_CL_VPORT[0xa].XSCALE: -0.000000
6342 ea76ea04 GRAS_CL_VPORT[0xa].YOFFSET: -74625214792291642198982656.000000
6343 10ba9db3 GRAS_CL_VPORT[0xa].YSCALE: 0.000000
6344 140034d1 GRAS_CL_VPORT[0xa].ZOFFSET: 0.000000
6345 d07fe121 GRAS_CL_VPORT[0xa].ZSCALE: -17171776512.000000
6346 500ea138 GRAS_CL_VPORT[0xb].XOFFSET: 9571721216.000000
6347 9e4f11a4 GRAS_CL_VPORT[0xb].XSCALE: -0.000000
6348 8522222a GRAS_CL_VPORT[0xb].YOFFSET: -0.000000
6349 31c8f926 GRAS_CL_VPORT[0xb].YSCALE: 0.000000
6350 c1233a11 GRAS_CL_VPORT[0xb].ZOFFSET: -10.201676
6351 eff95dd8 GRAS_CL_VPORT[0xb].ZSCALE: -154350435155798764938168631296.000000
6352 2034c3cf GRAS_CL_VPORT[0xc].XOFFSET: 0.000000
6353 35507804 GRAS_CL_VPORT[0xc].XSCALE: 0.000001
6354 b840b0d8 GRAS_CL_VPORT[0xc].YOFFSET: -0.000046
6355 ebf33447 GRAS_CL_VPORT[0xc].YSCALE: -588031693884586688765755392.000000
6356 0bd54c04 GRAS_CL_VPORT[0xc].ZOFFSET: 0.000000
6357 119b3bf4 GRAS_CL_VPORT[0xc].ZSCALE: 0.000000
6358 cc01323b GRAS_CL_VPORT[0xd].XOFFSET: -33868012.000000
6359 cf9fc237 GRAS_CL_VPORT[0xd].XSCALE: -5360610816.000000
6360 a0a0c6dc GRAS_CL_VPORT[0xd].YOFFSET: -0.000000
6361 6578bdca GRAS_CL_VPORT[0xd].YSCALE: 73415492375974923730944.000000
6362 1c183c97 GRAS_CL_VPORT[0xd].ZOFFSET: 0.000000
6363 77907cd1 GRAS_CL_VPORT[0xd].ZSCALE: 5861111914889323960058734228537344.000000
6364 9b76c406 GRAS_CL_VPORT[0xe].XOFFSET: -0.000000
6365 f59eb4eb GRAS_CL_VPORT[0xe].XSCALE: -402369314450854940480206742224896.000000
6366 552bc020 GRAS_CL_VPORT[0xe].YOFFSET: 11802603683840.000000
6367 73ce4f77 GRAS_CL_VPORT[0xe].YSCALE: 32691189311773747891142203015168.000000
6368 11c1e3ea GRAS_CL_VPORT[0xe].ZOFFSET: 0.000000
6369 067875da GRAS_CL_VPORT[0xe].ZSCALE: 0.000000
6370 de4491a7 GRAS_CL_VPORT[0xf].XOFFSET: -3541071480374689792.000000
6371 7cb2fd6e GRAS_CL_VPORT[0xf].XSCALE: 7434952044374398076582578328088608768.000000
6372 889d9245 GRAS_CL_VPORT[0xf].YOFFSET: -0.000000
6373 fc17a576 GRAS_CL_VPORT[0xf].YSCALE: -3149571088586152722879569121442267136.000000
6374 c2622832 GRAS_CL_VPORT[0xf].ZOFFSET: -56.539253
6375 4f4fbf73 GRAS_CL_VPORT[0xf].ZSCALE: 3485430528.000000
6376 00000000 GRAS_CL_Z_CLAMP[0].MIN: 0.000000
6377 00000000 GRAS_CL_Z_CLAMP[0].MAX: 0.000000
6378 00000000 GRAS_CL_Z_CLAMP[0x1].MIN: 0.000000
6379 00000000 GRAS_CL_Z_CLAMP[0x1].MAX: 0.000000
6380 00000000 GRAS_CL_Z_CLAMP[0x2].MIN: 0.000000
6381 00000000 GRAS_CL_Z_CLAMP[0x2].MAX: 0.000000
6382 00000000 GRAS_CL_Z_CLAMP[0x3].MIN: 0.000000
6383 00000000 GRAS_CL_Z_CLAMP[0x3].MAX: 0.000000
6384 00000000 GRAS_CL_Z_CLAMP[0x4].MIN: 0.000000
6385 00000000 GRAS_CL_Z_CLAMP[0x4].MAX: 0.000000
6386 00000000 GRAS_CL_Z_CLAMP[0x5].MIN: 0.000000
6387 00000000 GRAS_CL_Z_CLAMP[0x5].MAX: 0.000000
6388 00000000 GRAS_CL_Z_CLAMP[0x6].MIN: 0.000000
6389 00000000 GRAS_CL_Z_CLAMP[0x6].MAX: 0.000000
6390 00000000 GRAS_CL_Z_CLAMP[0x7].MIN: 0.000000
6391 00000000 GRAS_CL_Z_CLAMP[0x7].MAX: 0.000000
6392 00000000 GRAS_CL_Z_CLAMP[0x8].MIN: 0.000000
6393 00000000 GRAS_CL_Z_CLAMP[0x8].MAX: 0.000000
6394 00000000 GRAS_CL_Z_CLAMP[0x9].MIN: 0.000000
6395 00000000 GRAS_CL_Z_CLAMP[0x9].MAX: 0.000000
6396 00000000 GRAS_CL_Z_CLAMP[0xa].MIN: 0.000000
6397 00000000 GRAS_CL_Z_CLAMP[0xa].MAX: 0.000000
6398 00000000 GRAS_CL_Z_CLAMP[0xb].MIN: 0.000000
6399 00000000 GRAS_CL_Z_CLAMP[0xb].MAX: 0.000000
6400 00000000 GRAS_CL_Z_CLAMP[0xc].MIN: 0.000000
6401 00000000 GRAS_CL_Z_CLAMP[0xc].MAX: 0.000000
6402 00000000 GRAS_CL_Z_CLAMP[0xd].MIN: 0.000000
6403 00000000 GRAS_CL_Z_CLAMP[0xd].MAX: 0.000000
6404 00000000 GRAS_CL_Z_CLAMP[0xe].MIN: 0.000000
6405 00000000 GRAS_CL_Z_CLAMP[0xe].MAX: 0.000000
6406 00000000 GRAS_CL_Z_CLAMP[0xf].MIN: 0.000000
6407 00000000 GRAS_CL_Z_CLAMP[0xf].MAX: 0.000000
6408 00000010 GRAS_SU_CNTL: { LINEHALFWIDTH = 0.500000 }
6409 00000000 GRAS_SU_POINT_MINMAX: { MIN = 0.000000 | MAX = 0.000000 }
6410 00000000 GRAS_SU_POINT_SIZE: 0.000000
6411 00000000 GRAS_SU_DEPTH_PLANE_CNTL: { Z_MODE = A6XX_EARLY_Z }
6412 00000000 GRAS_SU_POLY_OFFSET_SCALE: 0.000000
6413 00000000 GRAS_SU_POLY_OFFSET_OFFSET: 0.000000
6414 00000000 GRAS_SU_POLY_OFFSET_OFFSET_CLAMP: 0.000000
6415 00000000 GRAS_SU_DEPTH_BUFFER_INFO: { DEPTH_FORMAT = DEPTH6_NONE }
6416 00000000 GRAS_UNKNOWN_8099: 0
6417 00000000 GRAS_UNKNOWN_809A: 0
6418 00000000 GRAS_VS_LAYER_CNTL: { 0 }
6419 00000000 GRAS_GS_LAYER_CNTL: { 0 }
6420 00000000 GRAS_DS_LAYER_CNTL: { 0 }
6421 00000002 GRAS_UNKNOWN_80A0: 0x2
6422 00000101 GRAS_BIN_CONTROL: { BINW = 32 | BINH = 16 }
6423 00000000 GRAS_RAS_MSAA_CNTL: { SAMPLES = MSAA_ONE }
6424 00000000 GRAS_DEST_MSAA_CNTL: { SAMPLES = MSAA_ONE }
6425 00000000 GRAS_SAMPLE_CONFIG: { 0 }
6426 00000000 GRAS_SAMPLE_LOCATION_0: { SAMPLE_0_X = 0.000000 | SAMPLE_0_Y = 0.000000 | SAMPLE_1_X = 0.000000 | SAMPLE_1_Y = 0.000000 | SAMPLE_2_X = 0.000000 | SAMPLE_2_Y = 0.000000 | SAMPLE_3_X = 0.000000 | SAMPLE_3_Y = 0.000000 }
6427 00000000 GRAS_SAMPLE_LOCATION_1: { SAMPLE_0_X = 0.000000 | SAMPLE_0_Y = 0.000000 | SAMPLE_1_X = 0.000000 | SAMPLE_1_Y = 0.000000 | SAMPLE_2_X = 0.000000 | SAMPLE_2_Y = 0.000000 | SAMPLE_3_X = 0.000000 | SAMPLE_3_Y = 0.000000 }
6428 00000000 GRAS_UNKNOWN_80AF: FALSE
6429 8c629e81 GRAS_SC_SCREEN_SCISSOR[0].TL: { X = 40577 | Y = 35938 }
6430 fdee19a0 GRAS_SC_SCREEN_SCISSOR[0].BR: { X = 6560 | Y = 65006 }
6431 8867136c GRAS_SC_SCREEN_SCISSOR[0x1].TL: { X = 4972 | Y = 34919 }
6432 eeec2862 GRAS_SC_SCREEN_SCISSOR[0x1].BR: { X = 10338 | Y = 61164 }
6433 00988003 GRAS_SC_SCREEN_SCISSOR[0x2].TL: { X = 32771 | Y = 152 }
6434 d3afa781 GRAS_SC_SCREEN_SCISSOR[0x2].BR: { X = 42881 | Y = 54191 }
6435 d3f53b55 GRAS_SC_SCREEN_SCISSOR[0x3].TL: { X = 15189 | Y = 54261 }
6436 a33d5eb3 GRAS_SC_SCREEN_SCISSOR[0x3].BR: { X = 24243 | Y = 41789 }
6437 23d08b45 GRAS_SC_SCREEN_SCISSOR[0x4].TL: { X = 35653 | Y = 9168 }
6438 14f61e22 GRAS_SC_SCREEN_SCISSOR[0x4].BR: { X = 7714 | Y = 5366 }
6439 643c0204 GRAS_SC_SCREEN_SCISSOR[0x5].TL: { X = 516 | Y = 25660 }
6440 a9facb6e GRAS_SC_SCREEN_SCISSOR[0x5].BR: { X = 52078 | Y = 43514 }
6441 d2e1d872 GRAS_SC_SCREEN_SCISSOR[0x6].TL: { X = 55410 | Y = 53985 }
6442 94cdd4fd GRAS_SC_SCREEN_SCISSOR[0x6].BR: { X = 54525 | Y = 38093 }
6443 de0d1b35 GRAS_SC_SCREEN_SCISSOR[0x7].TL: { X = 6965 | Y = 56845 }
6444 237c51d7 GRAS_SC_SCREEN_SCISSOR[0x7].BR: { X = 20951 | Y = 9084 }
6445 cbf20742 GRAS_SC_SCREEN_SCISSOR[0x8].TL: { X = 1858 | Y = 52210 }
6446 352bb4fc GRAS_SC_SCREEN_SCISSOR[0x8].BR: { X = 46332 | Y = 13611 }
6447 a5a59c55 GRAS_SC_SCREEN_SCISSOR[0x9].TL: { X = 40021 | Y = 42405 }
6448 07da59a6 GRAS_SC_SCREEN_SCISSOR[0x9].BR: { X = 22950 | Y = 2010 }
6449 8d709172 GRAS_SC_SCREEN_SCISSOR[0xa].TL: { X = 37234 | Y = 36208 }
6450 848ffffe GRAS_SC_SCREEN_SCISSOR[0xa].BR: { X = 65534 | Y = 33935 }
6451 8c0b18da GRAS_SC_SCREEN_SCISSOR[0xb].TL: { X = 6362 | Y = 35851 }
6452 e75d13c3 GRAS_SC_SCREEN_SCISSOR[0xb].BR: { X = 5059 | Y = 59229 }
6453 114252db GRAS_SC_SCREEN_SCISSOR[0xc].TL: { X = 21211 | Y = 4418 }
6454 c427b17e GRAS_SC_SCREEN_SCISSOR[0xc].BR: { X = 45438 | Y = 50215 }
6455 31c1fc95 GRAS_SC_SCREEN_SCISSOR[0xd].TL: { X = 64661 | Y = 12737 }
6456 ae47e0b7 GRAS_SC_SCREEN_SCISSOR[0xd].BR: { X = 57527 | Y = 44615 }
6457 13283829 GRAS_SC_SCREEN_SCISSOR[0xe].TL: { X = 14377 | Y = 4904 }
6458 d5eafd7f GRAS_SC_SCREEN_SCISSOR[0xe].BR: { X = 64895 | Y = 54762 }
6459 ac0886f7 GRAS_SC_SCREEN_SCISSOR[0xf].TL: { X = 34551 | Y = 44040 }
6460 7bedbdb2 GRAS_SC_SCREEN_SCISSOR[0xf].BR: { X = 48562 | Y = 31725 }
6461 c487b444 GRAS_SC_VIEWPORT_SCISSOR[0].TL: { X = 46148 | Y = 50311 }
6462 df46330f GRAS_SC_VIEWPORT_SCISSOR[0].BR: { X = 13071 | Y = 57158 }
6463 1b1da288 GRAS_SC_VIEWPORT_SCISSOR[0x1].TL: { X = 41608 | Y = 6941 }
6464 375f7ebc GRAS_SC_VIEWPORT_SCISSOR[0x1].BR: { X = 32444 | Y = 14175 }
6465 73ba19e8 GRAS_SC_VIEWPORT_SCISSOR[0x2].TL: { X = 6632 | Y = 29626 }
6466 ef6c9650 GRAS_SC_VIEWPORT_SCISSOR[0x2].BR: { X = 38480 | Y = 61292 }
6467 22d60948 GRAS_SC_VIEWPORT_SCISSOR[0x3].TL: { X = 2376 | Y = 8918 }
6468 5c2f6e10 GRAS_SC_VIEWPORT_SCISSOR[0x3].BR: { X = 28176 | Y = 23599 }
6469 8e231280 GRAS_SC_VIEWPORT_SCISSOR[0x4].TL: { X = 4736 | Y = 36387 }
6470 fa6bbe2a GRAS_SC_VIEWPORT_SCISSOR[0x4].BR: { X = 48682 | Y = 64107 }
6471 015d816e GRAS_SC_VIEWPORT_SCISSOR[0x5].TL: { X = 33134 | Y = 349 }
6472 3dfdfa2d GRAS_SC_VIEWPORT_SCISSOR[0x5].BR: { X = 64045 | Y = 15869 }
6473 3610960b GRAS_SC_VIEWPORT_SCISSOR[0x6].TL: { X = 38411 | Y = 13840 }
6474 9b769a89 GRAS_SC_VIEWPORT_SCISSOR[0x6].BR: { X = 39561 | Y = 39798 }
6475 a85a55b3 GRAS_SC_VIEWPORT_SCISSOR[0x7].TL: { X = 21939 | Y = 43098 }
6476 ca868126 GRAS_SC_VIEWPORT_SCISSOR[0x7].BR: { X = 33062 | Y = 51846 }
6477 8d20cea5 GRAS_SC_VIEWPORT_SCISSOR[0x8].TL: { X = 52901 | Y = 36128 }
6478 be4af7fa GRAS_SC_VIEWPORT_SCISSOR[0x8].BR: { X = 63482 | Y = 48714 }
6479 41588113 GRAS_SC_VIEWPORT_SCISSOR[0x9].TL: { X = 33043 | Y = 16728 }
6480 eb5bb66a GRAS_SC_VIEWPORT_SCISSOR[0x9].BR: { X = 46698 | Y = 60251 }
6481 40667101 GRAS_SC_VIEWPORT_SCISSOR[0xa].TL: { X = 28929 | Y = 16486 }
6482 19e09698 GRAS_SC_VIEWPORT_SCISSOR[0xa].BR: { X = 38552 | Y = 6624 }
6483 88c11d03 GRAS_SC_VIEWPORT_SCISSOR[0xb].TL: { X = 7427 | Y = 35009 }
6484 fad7dbc7 GRAS_SC_VIEWPORT_SCISSOR[0xb].BR: { X = 56263 | Y = 64215 }
6485 10204eb9 GRAS_SC_VIEWPORT_SCISSOR[0xc].TL: { X = 20153 | Y = 4128 }
6486 53fcd9da GRAS_SC_VIEWPORT_SCISSOR[0xc].BR: { X = 55770 | Y = 21500 }
6487 4d592181 GRAS_SC_VIEWPORT_SCISSOR[0xd].TL: { X = 8577 | Y = 19801 }
6488 ed40b570 GRAS_SC_VIEWPORT_SCISSOR[0xd].BR: { X = 46448 | Y = 60736 }
6489 00745b64 GRAS_SC_VIEWPORT_SCISSOR[0xe].TL: { X = 23396 | Y = 116 }
6490 27fbffdc GRAS_SC_VIEWPORT_SCISSOR[0xe].BR: { X = 65500 | Y = 10235 }
6491 ac66bcc7 GRAS_SC_VIEWPORT_SCISSOR[0xf].TL: { X = 48327 | Y = 44134 }
6492 790cdf93 GRAS_SC_VIEWPORT_SCISSOR[0xf].BR: { X = 57235 | Y = 30988 }
6493 00000000 GRAS_SC_WINDOW_SCISSOR_TL: { X = 0 | Y = 0 }
6494 00000000 GRAS_SC_WINDOW_SCISSOR_BR: { X = 0 | Y = 0 }
6495 00000000 GRAS_LRZ_CNTL: { 0 }
6496 00000000 GRAS_UNKNOWN_8101: 0
6497 00000000 GRAS_2D_BLIT_INFO: { COLOR_FORMAT = 0 }
6498 00000000 GRAS_LRZ_BUFFER_BASE_LO: 0
6499 00000000 GRAS_LRZ_BUFFER_BASE_HI: 0
6500 00000000 GRAS_LRZ_BUFFER_PITCH: { PITCH = 0 | ARRAY_PITCH = 0 }
6501 00000000 GRAS_LRZ_FAST_CLEAR_BUFFER_BASE_LO: 0
6502 00000000 GRAS_LRZ_FAST_CLEAR_BUFFER_BASE_HI: 0
6503 00000000 GRAS_SAMPLE_CNTL: { 0 }
6504 00000000 GRAS_UNKNOWN_8110: 0
6505 00000000 GRAS_2D_BLIT_CNTL: { ROTATE = ROTATE_0 | COLOR_FORMAT = 0 | MASK = 0 | IFMT = R2D_RAW }
6506 00000000 GRAS_2D_SRC_TL_X: 0
6507 00000000 GRAS_2D_SRC_BR_X: 0
6508 00000000 GRAS_2D_SRC_TL_Y: 0
6509 00000000 GRAS_2D_SRC_BR_Y: 0
6510 00000000 GRAS_2D_DST_TL: { X = 0 | Y = 0 }
6511 00000000 GRAS_2D_DST_BR: { X = 0 | Y = 0 }
6512 00000000 GRAS_2D_UNKNOWN_8407: 0
6513 00000000 GRAS_2D_UNKNOWN_8408: 0
6514 00000000 GRAS_2D_UNKNOWN_8409: 0
6515 00000000 GRAS_2D_RESOLVE_CNTL_1: { X = 0 | Y = 0 }
6516 00000000 GRAS_2D_RESOLVE_CNTL_2: { X = 0 | Y = 0 }
6517 - context: 1
6518 00000000 GRAS_CL_CNTL: { 0 }
6519 00000000 GRAS_VS_CL_CNTL: { CLIP_MASK = 0 | CULL_MASK = 0 }
6520 00000000 GRAS_DS_CL_CNTL: { CLIP_MASK = 0 | CULL_MASK = 0 }
6521 00000000 GRAS_GS_CL_CNTL: { CLIP_MASK = 0 | CULL_MASK = 0 }
6522 00000000 GRAS_MAX_LAYER_INDEX: 0
6523 00000000 GRAS_CNTL: { COORD_MASK = 0 }
6524 00000000 GRAS_CL_GUARDBAND_CLIP_ADJ: { HORZ = 0 | VERT = 0 }
6525 17ed48d5 GRAS_CL_VPORT[0].XOFFSET: 0.000000
6526 1367ce4f GRAS_CL_VPORT[0].XSCALE: 0.000000
6527 41486258 GRAS_CL_VPORT[0].YOFFSET: 12.524010
6528 a77c9dfe GRAS_CL_VPORT[0].YSCALE: -0.000000
6529 4e1a2221 GRAS_CL_VPORT[0].ZOFFSET: 646481984.000000
6530 25ad942d GRAS_CL_VPORT[0].ZSCALE: 0.000000
6531 b98dd337 GRAS_CL_VPORT[0x1].XOFFSET: -0.000271
6532 f3b29a4b GRAS_CL_VPORT[0x1].XSCALE: -28300728576976020658845084811264.000000
6533 03c125ba GRAS_CL_VPORT[0x1].YOFFSET: 0.000000
6534 9fd9154a GRAS_CL_VPORT[0x1].YSCALE: -0.000000
6535 99e9ef18 GRAS_CL_VPORT[0x1].ZOFFSET: -0.000000
6536 cfa33a37 GRAS_CL_VPORT[0x1].ZSCALE: -5477002752.000000
6537 c08d010f GRAS_CL_VPORT[0x2].XOFFSET: -4.406379
6538 ec058c04 GRAS_CL_VPORT[0x2].XSCALE: -645793356413294901616181248.000000
6539 a095f8f2 GRAS_CL_VPORT[0x2].YOFFSET: -0.000000
6540 dd963bbd GRAS_CL_VPORT[0x2].YSCALE: -1353181742126596096.000000
6541 c8e17162 GRAS_CL_VPORT[0x2].ZOFFSET: -461707.062500
6542 c5f8656e GRAS_CL_VPORT[0x2].ZSCALE: -7948.678711
6543 193ca252 GRAS_CL_VPORT[0x3].XOFFSET: 0.000000
6544 c276e62a GRAS_CL_VPORT[0x3].XSCALE: -61.724770
6545 4aae8197 GRAS_CL_VPORT[0x3].YOFFSET: 5718219.500000
6546 a2a2312c GRAS_CL_VPORT[0x3].YSCALE: -0.000000
6547 86a6e32d GRAS_CL_VPORT[0x3].ZOFFSET: -0.000000
6548 2be1d796 GRAS_CL_VPORT[0x3].ZSCALE: 0.000000
6549 d1743060 GRAS_CL_VPORT[0x4].XOFFSET: -65548976128.000000
6550 7c3d4406 GRAS_CL_VPORT[0x4].XSCALE: 3930895141940423283868471122294996992.000000
6551 562e9a08 GRAS_CL_VPORT[0x4].YOFFSET: 47994145603584.000000
6552 fa7f4af3 GRAS_CL_VPORT[0x4].YSCALE: -331388962420135558780170972931555328.000000
6553 0b2e288f GRAS_CL_VPORT[0x4].ZOFFSET: 0.000000
6554 85c75124 GRAS_CL_VPORT[0x4].ZSCALE: -0.000000
6555 94887ab4 GRAS_CL_VPORT[0x5].XOFFSET: -0.000000
6556 1356de8c GRAS_CL_VPORT[0x5].XSCALE: 0.000000
6557 14ff583b GRAS_CL_VPORT[0x5].YOFFSET: 0.000000
6558 17ba9c47 GRAS_CL_VPORT[0x5].YSCALE: 0.000000
6559 c1dfc3da GRAS_CL_VPORT[0x5].ZOFFSET: -27.970631
6560 2dc39521 GRAS_CL_VPORT[0x5].ZSCALE: 0.000000
6561 f1f2da44 GRAS_CL_VPORT[0x6].XOFFSET: -2405095658443594589675439783936.000000
6562 d68f795b GRAS_CL_VPORT[0x6].XSCALE: -78875690270720.000000
6563 c929b829 GRAS_CL_VPORT[0x6].YOFFSET: -695170.562500
6564 b174d59e GRAS_CL_VPORT[0x6].YSCALE: -0.000000
6565 20b86447 GRAS_CL_VPORT[0x6].ZOFFSET: 0.000000
6566 7cf5f63e GRAS_CL_VPORT[0x6].ZSCALE: 10216856921996855714425547393601634304.000000
6567 25f487a0 GRAS_CL_VPORT[0x7].XOFFSET: 0.000000
6568 ff2b5fb1 GRAS_CL_VPORT[0x7].XSCALE: -227794845467281269236926443122692456448.000000
6569 664b93c1 GRAS_CL_VPORT[0x7].YOFFSET: 240341493629255650639872.000000
6570 078173fc GRAS_CL_VPORT[0x7].YSCALE: 0.000000
6571 ad12ea8c GRAS_CL_VPORT[0x7].ZOFFSET: -0.000000
6572 6556977c GRAS_CL_VPORT[0x7].ZSCALE: 63336301301930932568064.000000
6573 827e4c57 GRAS_CL_VPORT[0x8].XOFFSET: -0.000000
6574 d1fdee5f GRAS_CL_VPORT[0x8].XSCALE: -136328241152.000000
6575 0a990478 GRAS_CL_VPORT[0x8].YOFFSET: 0.000000
6576 e3a19cf1 GRAS_CL_VPORT[0x8].YSCALE: -5962469232013083672576.000000
6577 27db13b2 GRAS_CL_VPORT[0x8].ZOFFSET: 0.000000
6578 e719d958 GRAS_CL_VPORT[0x8].ZSCALE: -726531356411326028054528.000000
6579 69da20dc GRAS_CL_VPORT[0x9].XOFFSET: 32962625335892150604267520.000000
6580 890e59b0 GRAS_CL_VPORT[0x9].XSCALE: -0.000000
6581 4c0ab84c GRAS_CL_VPORT[0x9].YOFFSET: 36364592.000000
6582 587215e3 GRAS_CL_VPORT[0x9].YSCALE: 1064703266652160.000000
6583 11e06c70 GRAS_CL_VPORT[0x9].ZOFFSET: 0.000000
6584 e7b1dbe6 GRAS_CL_VPORT[0x9].ZSCALE: -1679830555333396636106752.000000
6585 b78bc0aa GRAS_CL_VPORT[0xa].XOFFSET: -0.000017
6586 ac39bee4 GRAS_CL_VPORT[0xa].XSCALE: -0.000000
6587 ea76ea04 GRAS_CL_VPORT[0xa].YOFFSET: -74625214792291642198982656.000000
6588 10ba9db3 GRAS_CL_VPORT[0xa].YSCALE: 0.000000
6589 140034d1 GRAS_CL_VPORT[0xa].ZOFFSET: 0.000000
6590 d07fe121 GRAS_CL_VPORT[0xa].ZSCALE: -17171776512.000000
6591 500ea138 GRAS_CL_VPORT[0xb].XOFFSET: 9571721216.000000
6592 9e4f11a4 GRAS_CL_VPORT[0xb].XSCALE: -0.000000
6593 8522222a GRAS_CL_VPORT[0xb].YOFFSET: -0.000000
6594 31c8f926 GRAS_CL_VPORT[0xb].YSCALE: 0.000000
6595 c1233a11 GRAS_CL_VPORT[0xb].ZOFFSET: -10.201676
6596 eff95dd8 GRAS_CL_VPORT[0xb].ZSCALE: -154350435155798764938168631296.000000
6597 2034c3cf GRAS_CL_VPORT[0xc].XOFFSET: 0.000000
6598 35507804 GRAS_CL_VPORT[0xc].XSCALE: 0.000001
6599 b840b0d8 GRAS_CL_VPORT[0xc].YOFFSET: -0.000046
6600 ebf33447 GRAS_CL_VPORT[0xc].YSCALE: -588031693884586688765755392.000000
6601 0bd54c04 GRAS_CL_VPORT[0xc].ZOFFSET: 0.000000
6602 119b3bf4 GRAS_CL_VPORT[0xc].ZSCALE: 0.000000
6603 cc01323b GRAS_CL_VPORT[0xd].XOFFSET: -33868012.000000
6604 cf9fc237 GRAS_CL_VPORT[0xd].XSCALE: -5360610816.000000
6605 a0a0c6dc GRAS_CL_VPORT[0xd].YOFFSET: -0.000000
6606 6578bdca GRAS_CL_VPORT[0xd].YSCALE: 73415492375974923730944.000000
6607 1c183c97 GRAS_CL_VPORT[0xd].ZOFFSET: 0.000000
6608 77907cd1 GRAS_CL_VPORT[0xd].ZSCALE: 5861111914889323960058734228537344.000000
6609 9b76c406 GRAS_CL_VPORT[0xe].XOFFSET: -0.000000
6610 f59eb4eb GRAS_CL_VPORT[0xe].XSCALE: -402369314450854940480206742224896.000000
6611 552bc020 GRAS_CL_VPORT[0xe].YOFFSET: 11802603683840.000000
6612 73ce4f77 GRAS_CL_VPORT[0xe].YSCALE: 32691189311773747891142203015168.000000
6613 11c1e3ea GRAS_CL_VPORT[0xe].ZOFFSET: 0.000000
6614 067875da GRAS_CL_VPORT[0xe].ZSCALE: 0.000000
6615 de4491a7 GRAS_CL_VPORT[0xf].XOFFSET: -3541071480374689792.000000
6616 7cb2fd6e GRAS_CL_VPORT[0xf].XSCALE: 7434952044374398076582578328088608768.000000
6617 889d9245 GRAS_CL_VPORT[0xf].YOFFSET: -0.000000
6618 fc17a576 GRAS_CL_VPORT[0xf].YSCALE: -3149571088586152722879569121442267136.000000
6619 c2622832 GRAS_CL_VPORT[0xf].ZOFFSET: -56.539253
6620 4f4fbf73 GRAS_CL_VPORT[0xf].ZSCALE: 3485430528.000000
6621 00000000 GRAS_CL_Z_CLAMP[0].MIN: 0.000000
6622 00000000 GRAS_CL_Z_CLAMP[0].MAX: 0.000000
6623 00000000 GRAS_CL_Z_CLAMP[0x1].MIN: 0.000000
6624 00000000 GRAS_CL_Z_CLAMP[0x1].MAX: 0.000000
6625 00000000 GRAS_CL_Z_CLAMP[0x2].MIN: 0.000000
6626 00000000 GRAS_CL_Z_CLAMP[0x2].MAX: 0.000000
6627 00000000 GRAS_CL_Z_CLAMP[0x3].MIN: 0.000000
6628 00000000 GRAS_CL_Z_CLAMP[0x3].MAX: 0.000000
6629 00000000 GRAS_CL_Z_CLAMP[0x4].MIN: 0.000000
6630 00000000 GRAS_CL_Z_CLAMP[0x4].MAX: 0.000000
6631 00000000 GRAS_CL_Z_CLAMP[0x5].MIN: 0.000000
6632 00000000 GRAS_CL_Z_CLAMP[0x5].MAX: 0.000000
6633 00000000 GRAS_CL_Z_CLAMP[0x6].MIN: 0.000000
6634 00000000 GRAS_CL_Z_CLAMP[0x6].MAX: 0.000000
6635 00000000 GRAS_CL_Z_CLAMP[0x7].MIN: 0.000000
6636 00000000 GRAS_CL_Z_CLAMP[0x7].MAX: 0.000000
6637 00000000 GRAS_CL_Z_CLAMP[0x8].MIN: 0.000000
6638 00000000 GRAS_CL_Z_CLAMP[0x8].MAX: 0.000000
6639 00000000 GRAS_CL_Z_CLAMP[0x9].MIN: 0.000000
6640 00000000 GRAS_CL_Z_CLAMP[0x9].MAX: 0.000000
6641 00000000 GRAS_CL_Z_CLAMP[0xa].MIN: 0.000000
6642 00000000 GRAS_CL_Z_CLAMP[0xa].MAX: 0.000000
6643 00000000 GRAS_CL_Z_CLAMP[0xb].MIN: 0.000000
6644 00000000 GRAS_CL_Z_CLAMP[0xb].MAX: 0.000000
6645 00000000 GRAS_CL_Z_CLAMP[0xc].MIN: 0.000000
6646 00000000 GRAS_CL_Z_CLAMP[0xc].MAX: 0.000000
6647 00000000 GRAS_CL_Z_CLAMP[0xd].MIN: 0.000000
6648 00000000 GRAS_CL_Z_CLAMP[0xd].MAX: 0.000000
6649 00000000 GRAS_CL_Z_CLAMP[0xe].MIN: 0.000000
6650 00000000 GRAS_CL_Z_CLAMP[0xe].MAX: 0.000000
6651 00000000 GRAS_CL_Z_CLAMP[0xf].MIN: 0.000000
6652 00000000 GRAS_CL_Z_CLAMP[0xf].MAX: 0.000000
6653 00000010 GRAS_SU_CNTL: { LINEHALFWIDTH = 0.500000 }
6654 00000000 GRAS_SU_POINT_MINMAX: { MIN = 0.000000 | MAX = 0.000000 }
6655 00000000 GRAS_SU_POINT_SIZE: 0.000000
6656 00000000 GRAS_SU_DEPTH_PLANE_CNTL: { Z_MODE = A6XX_EARLY_Z }
6657 00000000 GRAS_SU_POLY_OFFSET_SCALE: 0.000000
6658 00000000 GRAS_SU_POLY_OFFSET_OFFSET: 0.000000
6659 00000000 GRAS_SU_POLY_OFFSET_OFFSET_CLAMP: 0.000000
6660 00000000 GRAS_SU_DEPTH_BUFFER_INFO: { DEPTH_FORMAT = DEPTH6_NONE }
6661 00000000 GRAS_UNKNOWN_8099: 0
6662 00000000 GRAS_UNKNOWN_809A: 0
6663 00000000 GRAS_VS_LAYER_CNTL: { 0 }
6664 00000000 GRAS_GS_LAYER_CNTL: { 0 }
6665 00000000 GRAS_DS_LAYER_CNTL: { 0 }
6666 00000002 GRAS_UNKNOWN_80A0: 0x2
6667 00000101 GRAS_BIN_CONTROL: { BINW = 32 | BINH = 16 }
6668 00000000 GRAS_RAS_MSAA_CNTL: { SAMPLES = MSAA_ONE }
6669 00000000 GRAS_DEST_MSAA_CNTL: { SAMPLES = MSAA_ONE }
6670 00000000 GRAS_SAMPLE_CONFIG: { 0 }
6671 00000000 GRAS_SAMPLE_LOCATION_0: { SAMPLE_0_X = 0.000000 | SAMPLE_0_Y = 0.000000 | SAMPLE_1_X = 0.000000 | SAMPLE_1_Y = 0.000000 | SAMPLE_2_X = 0.000000 | SAMPLE_2_Y = 0.000000 | SAMPLE_3_X = 0.000000 | SAMPLE_3_Y = 0.000000 }
6672 00000000 GRAS_SAMPLE_LOCATION_1: { SAMPLE_0_X = 0.000000 | SAMPLE_0_Y = 0.000000 | SAMPLE_1_X = 0.000000 | SAMPLE_1_Y = 0.000000 | SAMPLE_2_X = 0.000000 | SAMPLE_2_Y = 0.000000 | SAMPLE_3_X = 0.000000 | SAMPLE_3_Y = 0.000000 }
6673 00000000 GRAS_UNKNOWN_80AF: FALSE
6674 8c629e81 GRAS_SC_SCREEN_SCISSOR[0].TL: { X = 40577 | Y = 35938 }
6675 fdee19a0 GRAS_SC_SCREEN_SCISSOR[0].BR: { X = 6560 | Y = 65006 }
6676 8867136c GRAS_SC_SCREEN_SCISSOR[0x1].TL: { X = 4972 | Y = 34919 }
6677 eeec2862 GRAS_SC_SCREEN_SCISSOR[0x1].BR: { X = 10338 | Y = 61164 }
6678 00988003 GRAS_SC_SCREEN_SCISSOR[0x2].TL: { X = 32771 | Y = 152 }
6679 d3afa781 GRAS_SC_SCREEN_SCISSOR[0x2].BR: { X = 42881 | Y = 54191 }
6680 d3f53b55 GRAS_SC_SCREEN_SCISSOR[0x3].TL: { X = 15189 | Y = 54261 }
6681 a33d5eb3 GRAS_SC_SCREEN_SCISSOR[0x3].BR: { X = 24243 | Y = 41789 }
6682 23d08b45 GRAS_SC_SCREEN_SCISSOR[0x4].TL: { X = 35653 | Y = 9168 }
6683 14f61e22 GRAS_SC_SCREEN_SCISSOR[0x4].BR: { X = 7714 | Y = 5366 }
6684 643c0204 GRAS_SC_SCREEN_SCISSOR[0x5].TL: { X = 516 | Y = 25660 }
6685 a9facb6e GRAS_SC_SCREEN_SCISSOR[0x5].BR: { X = 52078 | Y = 43514 }
6686 d2e1d872 GRAS_SC_SCREEN_SCISSOR[0x6].TL: { X = 55410 | Y = 53985 }
6687 94cdd4fd GRAS_SC_SCREEN_SCISSOR[0x6].BR: { X = 54525 | Y = 38093 }
6688 de0d1b35 GRAS_SC_SCREEN_SCISSOR[0x7].TL: { X = 6965 | Y = 56845 }
6689 237c51d7 GRAS_SC_SCREEN_SCISSOR[0x7].BR: { X = 20951 | Y = 9084 }
6690 cbf20742 GRAS_SC_SCREEN_SCISSOR[0x8].TL: { X = 1858 | Y = 52210 }
6691 352bb4fc GRAS_SC_SCREEN_SCISSOR[0x8].BR: { X = 46332 | Y = 13611 }
6692 a5a59c55 GRAS_SC_SCREEN_SCISSOR[0x9].TL: { X = 40021 | Y = 42405 }
6693 07da59a6 GRAS_SC_SCREEN_SCISSOR[0x9].BR: { X = 22950 | Y = 2010 }
6694 8d709172 GRAS_SC_SCREEN_SCISSOR[0xa].TL: { X = 37234 | Y = 36208 }
6695 848ffffe GRAS_SC_SCREEN_SCISSOR[0xa].BR: { X = 65534 | Y = 33935 }
6696 8c0b18da GRAS_SC_SCREEN_SCISSOR[0xb].TL: { X = 6362 | Y = 35851 }
6697 e75d13c3 GRAS_SC_SCREEN_SCISSOR[0xb].BR: { X = 5059 | Y = 59229 }
6698 114252db GRAS_SC_SCREEN_SCISSOR[0xc].TL: { X = 21211 | Y = 4418 }
6699 c427b17e GRAS_SC_SCREEN_SCISSOR[0xc].BR: { X = 45438 | Y = 50215 }
6700 31c1fc95 GRAS_SC_SCREEN_SCISSOR[0xd].TL: { X = 64661 | Y = 12737 }
6701 ae47e0b7 GRAS_SC_SCREEN_SCISSOR[0xd].BR: { X = 57527 | Y = 44615 }
6702 13283829 GRAS_SC_SCREEN_SCISSOR[0xe].TL: { X = 14377 | Y = 4904 }
6703 d5eafd7f GRAS_SC_SCREEN_SCISSOR[0xe].BR: { X = 64895 | Y = 54762 }
6704 ac0886f7 GRAS_SC_SCREEN_SCISSOR[0xf].TL: { X = 34551 | Y = 44040 }
6705 7bedbdb2 GRAS_SC_SCREEN_SCISSOR[0xf].BR: { X = 48562 | Y = 31725 }
6706 c487b444 GRAS_SC_VIEWPORT_SCISSOR[0].TL: { X = 46148 | Y = 50311 }
6707 df46330f GRAS_SC_VIEWPORT_SCISSOR[0].BR: { X = 13071 | Y = 57158 }
6708 1b1da288 GRAS_SC_VIEWPORT_SCISSOR[0x1].TL: { X = 41608 | Y = 6941 }
6709 375f7ebc GRAS_SC_VIEWPORT_SCISSOR[0x1].BR: { X = 32444 | Y = 14175 }
6710 73ba19e8 GRAS_SC_VIEWPORT_SCISSOR[0x2].TL: { X = 6632 | Y = 29626 }
6711 ef6c9650 GRAS_SC_VIEWPORT_SCISSOR[0x2].BR: { X = 38480 | Y = 61292 }
6712 22d60948 GRAS_SC_VIEWPORT_SCISSOR[0x3].TL: { X = 2376 | Y = 8918 }
6713 5c2f6e10 GRAS_SC_VIEWPORT_SCISSOR[0x3].BR: { X = 28176 | Y = 23599 }
6714 8e231280 GRAS_SC_VIEWPORT_SCISSOR[0x4].TL: { X = 4736 | Y = 36387 }
6715 fa6bbe2a GRAS_SC_VIEWPORT_SCISSOR[0x4].BR: { X = 48682 | Y = 64107 }
6716 015d816e GRAS_SC_VIEWPORT_SCISSOR[0x5].TL: { X = 33134 | Y = 349 }
6717 3dfdfa2d GRAS_SC_VIEWPORT_SCISSOR[0x5].BR: { X = 64045 | Y = 15869 }
6718 3610960b GRAS_SC_VIEWPORT_SCISSOR[0x6].TL: { X = 38411 | Y = 13840 }
6719 9b769a89 GRAS_SC_VIEWPORT_SCISSOR[0x6].BR: { X = 39561 | Y = 39798 }
6720 a85a55b3 GRAS_SC_VIEWPORT_SCISSOR[0x7].TL: { X = 21939 | Y = 43098 }
6721 ca868126 GRAS_SC_VIEWPORT_SCISSOR[0x7].BR: { X = 33062 | Y = 51846 }
6722 8d20cea5 GRAS_SC_VIEWPORT_SCISSOR[0x8].TL: { X = 52901 | Y = 36128 }
6723 be4af7fa GRAS_SC_VIEWPORT_SCISSOR[0x8].BR: { X = 63482 | Y = 48714 }
6724 41588113 GRAS_SC_VIEWPORT_SCISSOR[0x9].TL: { X = 33043 | Y = 16728 }
6725 eb5bb66a GRAS_SC_VIEWPORT_SCISSOR[0x9].BR: { X = 46698 | Y = 60251 }
6726 40667101 GRAS_SC_VIEWPORT_SCISSOR[0xa].TL: { X = 28929 | Y = 16486 }
6727 19e09698 GRAS_SC_VIEWPORT_SCISSOR[0xa].BR: { X = 38552 | Y = 6624 }
6728 88c11d03 GRAS_SC_VIEWPORT_SCISSOR[0xb].TL: { X = 7427 | Y = 35009 }
6729 fad7dbc7 GRAS_SC_VIEWPORT_SCISSOR[0xb].BR: { X = 56263 | Y = 64215 }
6730 10204eb9 GRAS_SC_VIEWPORT_SCISSOR[0xc].TL: { X = 20153 | Y = 4128 }
6731 53fcd9da GRAS_SC_VIEWPORT_SCISSOR[0xc].BR: { X = 55770 | Y = 21500 }
6732 4d592181 GRAS_SC_VIEWPORT_SCISSOR[0xd].TL: { X = 8577 | Y = 19801 }
6733 ed40b570 GRAS_SC_VIEWPORT_SCISSOR[0xd].BR: { X = 46448 | Y = 60736 }
6734 00745b64 GRAS_SC_VIEWPORT_SCISSOR[0xe].TL: { X = 23396 | Y = 116 }
6735 27fbffdc GRAS_SC_VIEWPORT_SCISSOR[0xe].BR: { X = 65500 | Y = 10235 }
6736 ac66bcc7 GRAS_SC_VIEWPORT_SCISSOR[0xf].TL: { X = 48327 | Y = 44134 }
6737 790cdf93 GRAS_SC_VIEWPORT_SCISSOR[0xf].BR: { X = 57235 | Y = 30988 }
6738 00000000 GRAS_SC_WINDOW_SCISSOR_TL: { X = 0 | Y = 0 }
6739 00000000 GRAS_SC_WINDOW_SCISSOR_BR: { X = 0 | Y = 0 }
6740 00000000 GRAS_LRZ_CNTL: { 0 }
6741 00000000 GRAS_UNKNOWN_8101: 0
6742 00000000 GRAS_2D_BLIT_INFO: { COLOR_FORMAT = 0 }
6743 00000000 GRAS_LRZ_BUFFER_BASE_LO: 0
6744 00000000 GRAS_LRZ_BUFFER_BASE_HI: 0
6745 00000000 GRAS_LRZ_BUFFER_PITCH: { PITCH = 0 | ARRAY_PITCH = 0 }
6746 00000000 GRAS_LRZ_FAST_CLEAR_BUFFER_BASE_LO: 0
6747 00000000 GRAS_LRZ_FAST_CLEAR_BUFFER_BASE_HI: 0
6748 00000000 GRAS_SAMPLE_CNTL: { 0 }
6749 00000000 GRAS_UNKNOWN_8110: 0
6750 00000000 GRAS_2D_BLIT_CNTL: { ROTATE = ROTATE_0 | COLOR_FORMAT = 0 | MASK = 0 | IFMT = R2D_RAW }
6751 00000000 GRAS_2D_SRC_TL_X: 0
6752 00000000 GRAS_2D_SRC_BR_X: 0
6753 00000000 GRAS_2D_SRC_TL_Y: 0
6754 00000000 GRAS_2D_SRC_BR_Y: 0
6755 00000000 GRAS_2D_DST_TL: { X = 0 | Y = 0 }
6756 00000000 GRAS_2D_DST_BR: { X = 0 | Y = 0 }
6757 00000000 GRAS_2D_UNKNOWN_8407: 0
6758 00000000 GRAS_2D_UNKNOWN_8408: 0
6759 00000000 GRAS_2D_UNKNOWN_8409: 0
6760 00000000 GRAS_2D_RESOLVE_CNTL_1: { X = 0 | Y = 0 }
6761 00000000 GRAS_2D_RESOLVE_CNTL_2: { X = 0 | Y = 0 }
6762 - cluster-name: CLUSTER_PS
6763 - context: 0
6764 00000101 RB_BIN_CONTROL: { BINW = 32 | BINH = 16 }
6765 00000010 RB_RENDER_CNTL: { UNK4 | FLAG_MRTS = 0 }
6766 00000000 RB_RAS_MSAA_CNTL: { SAMPLES = MSAA_ONE }
6767 00000000 RB_DEST_MSAA_CNTL: { SAMPLES = MSAA_ONE }
6768 00000000 RB_SAMPLE_CONFIG: { 0 }
6769 00000000 RB_SAMPLE_LOCATION_0: { SAMPLE_0_X = 0.000000 | SAMPLE_0_Y = 0.000000 | SAMPLE_1_X = 0.000000 | SAMPLE_1_Y = 0.000000 | SAMPLE_2_X = 0.000000 | SAMPLE_2_Y = 0.000000 | SAMPLE_3_X = 0.000000 | SAMPLE_3_Y = 0.000000 }
6770 00000000 RB_SAMPLE_LOCATION_1: { SAMPLE_0_X = 0.000000 | SAMPLE_0_Y = 0.000000 | SAMPLE_1_X = 0.000000 | SAMPLE_1_Y = 0.000000 | SAMPLE_2_X = 0.000000 | SAMPLE_2_Y = 0.000000 | SAMPLE_3_X = 0.000000 | SAMPLE_3_Y = 0.000000 }
6771 00000000 RB_RENDER_CONTROL0: { COORD_MASK = 0 }
6772 00000000 RB_RENDER_CONTROL1: { 0 }
6773 00000000 RB_FS_OUTPUT_CNTL0: { 0 }
6774 00000000 RB_FS_OUTPUT_CNTL1: { MRT = 0 }
6775 00000000 RB_RENDER_COMPONENTS: { RT0 = 0 | RT1 = 0 | RT2 = 0 | RT3 = 0 | RT4 = 0 | RT5 = 0 | RT6 = 0 | RT7 = 0 }
6776 00000000 RB_DITHER_CNTL: { DITHER_MODE_MRT0 = DITHER_DISABLE | DITHER_MODE_MRT1 = DITHER_DISABLE | DITHER_MODE_MRT2 = DITHER_DISABLE | DITHER_MODE_MRT3 = DITHER_DISABLE | DITHER_MODE_MRT4 = DITHER_DISABLE | DITHER_MODE_MRT5 = DITHER_DISABLE | DITHER_MODE_MRT6 = DITHER_DISABLE | DITHER_MODE_MRT7 = DITHER_DISABLE }
6777 00000000 RB_SRGB_CNTL: { 0 }
6778 00000000 RB_SAMPLE_CNTL: { 0 }
6779 00000000 RB_UNKNOWN_8811: 0
6780 00000000 RB_UNKNOWN_8818: 0
6781 00000000 RB_UNKNOWN_8819: 0
6782 00000000 RB_UNKNOWN_881A: 0
6783 00000000 RB_UNKNOWN_881B: 0
6784 00000000 RB_UNKNOWN_881C: 0
6785 00000000 RB_UNKNOWN_881D: 0
6786 00000000 RB_UNKNOWN_881E: 0
6787 00000000 RB_MRT[0].CONTROL: { ROP_CODE = ROP_CLEAR | COMPONENT_ENABLE = 0 }
6788 00000000 RB_MRT[0].BLEND_CONTROL: { RGB_SRC_FACTOR = FACTOR_ZERO | RGB_BLEND_OPCODE = BLEND_DST_PLUS_SRC | RGB_DEST_FACTOR = FACTOR_ZERO | ALPHA_SRC_FACTOR = FACTOR_ZERO | ALPHA_BLEND_OPCODE = BLEND_DST_PLUS_SRC | ALPHA_DEST_FACTOR = FACTOR_ZERO }
6789 00000000 RB_MRT[0].BUF_INFO: { COLOR_FORMAT = 0 | COLOR_TILE_MODE = TILE6_LINEAR | COLOR_SWAP = WZYX }
6790 00000000 RB_MRT[0].PITCH: 0
6791 00000000 RB_MRT[0].ARRAY_PITCH: 0
6792 00000000 RB_MRT[0].BASE_LO: 0
6793 00000000 RB_MRT[0].BASE_HI: 0
6794 00000000 RB_MRT[0].BASE_GMEM: 0
6795 00000000 RB_MRT[0x1].CONTROL: { ROP_CODE = ROP_CLEAR | COMPONENT_ENABLE = 0 }
6796 00000000 RB_MRT[0x1].BLEND_CONTROL: { RGB_SRC_FACTOR = FACTOR_ZERO | RGB_BLEND_OPCODE = BLEND_DST_PLUS_SRC | RGB_DEST_FACTOR = FACTOR_ZERO | ALPHA_SRC_FACTOR = FACTOR_ZERO | ALPHA_BLEND_OPCODE = BLEND_DST_PLUS_SRC | ALPHA_DEST_FACTOR = FACTOR_ZERO }
6797 00000000 RB_MRT[0x1].BUF_INFO: { COLOR_FORMAT = 0 | COLOR_TILE_MODE = TILE6_LINEAR | COLOR_SWAP = WZYX }
6798 00000000 RB_MRT[0x1].PITCH: 0
6799 00000000 RB_MRT[0x1].ARRAY_PITCH: 0
6800 00000000 RB_MRT[0x1].BASE_LO: 0
6801 00000000 RB_MRT[0x1].BASE_HI: 0
6802 00000000 RB_MRT[0x1].BASE_GMEM: 0
6803 00000000 RB_MRT[0x2].CONTROL: { ROP_CODE = ROP_CLEAR | COMPONENT_ENABLE = 0 }
6804 00000000 RB_MRT[0x2].BLEND_CONTROL: { RGB_SRC_FACTOR = FACTOR_ZERO | RGB_BLEND_OPCODE = BLEND_DST_PLUS_SRC | RGB_DEST_FACTOR = FACTOR_ZERO | ALPHA_SRC_FACTOR = FACTOR_ZERO | ALPHA_BLEND_OPCODE = BLEND_DST_PLUS_SRC | ALPHA_DEST_FACTOR = FACTOR_ZERO }
6805 00000000 RB_MRT[0x2].BUF_INFO: { COLOR_FORMAT = 0 | COLOR_TILE_MODE = TILE6_LINEAR | COLOR_SWAP = WZYX }
6806 00000000 RB_MRT[0x2].PITCH: 0
6807 00000000 RB_MRT[0x2].ARRAY_PITCH: 0
6808 00000000 RB_MRT[0x2].BASE_LO: 0
6809 00000000 RB_MRT[0x2].BASE_HI: 0
6810 00000000 RB_MRT[0x2].BASE_GMEM: 0
6811 00000000 RB_MRT[0x3].CONTROL: { ROP_CODE = ROP_CLEAR | COMPONENT_ENABLE = 0 }
6812 00000000 RB_MRT[0x3].BLEND_CONTROL: { RGB_SRC_FACTOR = FACTOR_ZERO | RGB_BLEND_OPCODE = BLEND_DST_PLUS_SRC | RGB_DEST_FACTOR = FACTOR_ZERO | ALPHA_SRC_FACTOR = FACTOR_ZERO | ALPHA_BLEND_OPCODE = BLEND_DST_PLUS_SRC | ALPHA_DEST_FACTOR = FACTOR_ZERO }
6813 00000000 RB_MRT[0x3].BUF_INFO: { COLOR_FORMAT = 0 | COLOR_TILE_MODE = TILE6_LINEAR | COLOR_SWAP = WZYX }
6814 00000000 RB_MRT[0x3].PITCH: 0
6815 00000000 RB_MRT[0x3].ARRAY_PITCH: 0
6816 00000000 RB_MRT[0x3].BASE_LO: 0
6817 00000000 RB_MRT[0x3].BASE_HI: 0
6818 00000000 RB_MRT[0x3].BASE_GMEM: 0
6819 00000000 RB_MRT[0x4].CONTROL: { ROP_CODE = ROP_CLEAR | COMPONENT_ENABLE = 0 }
6820 00000000 RB_MRT[0x4].BLEND_CONTROL: { RGB_SRC_FACTOR = FACTOR_ZERO | RGB_BLEND_OPCODE = BLEND_DST_PLUS_SRC | RGB_DEST_FACTOR = FACTOR_ZERO | ALPHA_SRC_FACTOR = FACTOR_ZERO | ALPHA_BLEND_OPCODE = BLEND_DST_PLUS_SRC | ALPHA_DEST_FACTOR = FACTOR_ZERO }
6821 00000000 RB_MRT[0x4].BUF_INFO: { COLOR_FORMAT = 0 | COLOR_TILE_MODE = TILE6_LINEAR | COLOR_SWAP = WZYX }
6822 00000000 RB_MRT[0x4].PITCH: 0
6823 00000000 RB_MRT[0x4].ARRAY_PITCH: 0
6824 00000000 RB_MRT[0x4].BASE_LO: 0
6825 00000000 RB_MRT[0x4].BASE_HI: 0
6826 00000000 RB_MRT[0x4].BASE_GMEM: 0
6827 00000000 RB_MRT[0x5].CONTROL: { ROP_CODE = ROP_CLEAR | COMPONENT_ENABLE = 0 }
6828 00000000 RB_MRT[0x5].BLEND_CONTROL: { RGB_SRC_FACTOR = FACTOR_ZERO | RGB_BLEND_OPCODE = BLEND_DST_PLUS_SRC | RGB_DEST_FACTOR = FACTOR_ZERO | ALPHA_SRC_FACTOR = FACTOR_ZERO | ALPHA_BLEND_OPCODE = BLEND_DST_PLUS_SRC | ALPHA_DEST_FACTOR = FACTOR_ZERO }
6829 00000000 RB_MRT[0x5].BUF_INFO: { COLOR_FORMAT = 0 | COLOR_TILE_MODE = TILE6_LINEAR | COLOR_SWAP = WZYX }
6830 00000000 RB_MRT[0x5].PITCH: 0
6831 00000000 RB_MRT[0x5].ARRAY_PITCH: 0
6832 00000000 RB_MRT[0x5].BASE_LO: 0
6833 00000000 RB_MRT[0x5].BASE_HI: 0
6834 00000000 RB_MRT[0x5].BASE_GMEM: 0
6835 00000000 RB_MRT[0x6].CONTROL: { ROP_CODE = ROP_CLEAR | COMPONENT_ENABLE = 0 }
6836 00000000 RB_MRT[0x6].BLEND_CONTROL: { RGB_SRC_FACTOR = FACTOR_ZERO | RGB_BLEND_OPCODE = BLEND_DST_PLUS_SRC | RGB_DEST_FACTOR = FACTOR_ZERO | ALPHA_SRC_FACTOR = FACTOR_ZERO | ALPHA_BLEND_OPCODE = BLEND_DST_PLUS_SRC | ALPHA_DEST_FACTOR = FACTOR_ZERO }
6837 00000000 RB_MRT[0x6].BUF_INFO: { COLOR_FORMAT = 0 | COLOR_TILE_MODE = TILE6_LINEAR | COLOR_SWAP = WZYX }
6838 00000000 RB_MRT[0x6].PITCH: 0
6839 00000000 RB_MRT[0x6].ARRAY_PITCH: 0
6840 00000000 RB_MRT[0x6].BASE_LO: 0
6841 00000000 RB_MRT[0x6].BASE_HI: 0
6842 00000000 RB_MRT[0x6].BASE_GMEM: 0
6843 00000000 RB_MRT[0x7].CONTROL: { ROP_CODE = ROP_CLEAR | COMPONENT_ENABLE = 0 }
6844 00000000 RB_MRT[0x7].BLEND_CONTROL: { RGB_SRC_FACTOR = FACTOR_ZERO | RGB_BLEND_OPCODE = BLEND_DST_PLUS_SRC | RGB_DEST_FACTOR = FACTOR_ZERO | ALPHA_SRC_FACTOR = FACTOR_ZERO | ALPHA_BLEND_OPCODE = BLEND_DST_PLUS_SRC | ALPHA_DEST_FACTOR = FACTOR_ZERO }
6845 00000000 RB_MRT[0x7].BUF_INFO: { COLOR_FORMAT = 0 | COLOR_TILE_MODE = TILE6_LINEAR | COLOR_SWAP = WZYX }
6846 00000000 RB_MRT[0x7].PITCH: 0
6847 00000000 RB_MRT[0x7].ARRAY_PITCH: 0
6848 00000000 RB_MRT[0x7].BASE_LO: 0
6849 00000000 RB_MRT[0x7].BASE_HI: 0
6850 00000000 RB_MRT[0x7].BASE_GMEM: 0
6851 00000000 RB_BLEND_RED_F32: 0.000000
6852 00000000 RB_BLEND_GREEN_F32: 0.000000
6853 00000000 RB_BLEND_BLUE_F32: 0.000000
6854 00000000 RB_BLEND_ALPHA_F32: 0.000000
6855 00000000 RB_ALPHA_CONTROL: { ALPHA_REF = 0 | ALPHA_TEST_FUNC = FUNC_NEVER }
6856 ffff0100 RB_BLEND_CNTL: { ENABLE_BLEND = 0 | INDEPENDENT_BLEND | SAMPLE_MASK = 0xffff }
6857 00000000 RB_DEPTH_PLANE_CNTL: { Z_MODE = A6XX_EARLY_Z }
6858 00000000 RB_DEPTH_CNTL: { ZFUNC = FUNC_NEVER }
6859 00000000 RB_DEPTH_BUFFER_INFO: { DEPTH_FORMAT = DEPTH6_NONE }
6860 00000000 RB_DEPTH_BUFFER_PITCH: 0
6861 00000000 RB_DEPTH_BUFFER_ARRAY_PITCH: 0
6862 00000000 RB_DEPTH_BUFFER_BASE_LO: 0
6863 00000000 RB_DEPTH_BUFFER_BASE_HI: 0
6864 00000000 RB_DEPTH_BUFFER_BASE_GMEM: 0
6865 00000000 RB_Z_BOUNDS_MIN: 0.000000
6866 00000000 RB_Z_BOUNDS_MAX: 0.000000
6867 00000000 RB_STENCIL_CONTROL: { FUNC = FUNC_NEVER | FAIL = STENCIL_KEEP | ZPASS = STENCIL_KEEP | ZFAIL = STENCIL_KEEP | FUNC_BF = FUNC_NEVER | FAIL_BF = STENCIL_KEEP | ZPASS_BF = STENCIL_KEEP | ZFAIL_BF = STENCIL_KEEP }
6868 00000000 RB_STENCIL_INFO: { 0 }
6869 00000000 RB_STENCIL_BUFFER_PITCH: 0
6870 00000000 RB_STENCIL_BUFFER_ARRAY_PITCH: 0
6871 00000000 RB_STENCIL_BUFFER_BASE_LO: 0
6872 00000000 RB_STENCIL_BUFFER_BASE_HI: 0
6873 00000000 RB_STENCIL_BUFFER_BASE_GMEM: 0
6874 00000000 RB_STENCILREF: { REF = 0 | BFREF = 0 }
6875 00000000 RB_STENCILMASK: { MASK = 0 | BFMASK = 0 }
6876 00000000 RB_STENCILWRMASK: { WRMASK = 0 | BFWRMASK = 0 }
6877 00000000 RB_WINDOW_OFFSET: { X = 0 | Y = 0 }
6878 00000000 RB_SAMPLE_COUNT_CONTROL: { 0 }
6879 00000000 RB_LRZ_CNTL: { 0 }
6880 00000000 RB_Z_CLAMP_MIN: 0.000000
6881 00000000 RB_Z_CLAMP_MAX: 0.000000
6882 00000000 RB_UNKNOWN_88D0: { 0 }
6883 00000000 RB_BLIT_SCISSOR_TL: { X = 0 | Y = 0 }
6884 00ff00ff RB_BLIT_SCISSOR_BR: { X = 255 | Y = 255 }
6885 00001008 RB_BIN_CONTROL2: { BINW = 256 | BINH = 256 }
6886 00000000 RB_WINDOW_OFFSET2: { X = 0 | Y = 0 }
6887 00000000 RB_MSAA_CNTL: { SAMPLES = MSAA_ONE }
6888 00000000 RB_BLIT_BASE_GMEM: 0
6889 00004100 RB_BLIT_DST_INFO: { TILE_MODE = TILE6_LINEAR | SAMPLES = MSAA_ONE | COLOR_SWAP = WZYX | COLOR_FORMAT = FMT6_32_32_32_32_FLOAT }
6890 00000000 RB_BLIT_DST: 0
6891 00000000 RB_BLIT_DST+0x1: 0
6892 00000000 RB_BLIT_DST_PITCH: 0
6893 00000000 RB_BLIT_DST_ARRAY_PITCH: 0
6894 00000000 RB_BLIT_FLAG_DST: 0
6895 00000000 RB_BLIT_FLAG_DST+0x1: 0
6896 00000000 RB_BLIT_FLAG_DST_PITCH: { PITCH = 0 | ARRAY_PITCH = 0 }
6897 00000000 RB_BLIT_CLEAR_COLOR_DW0: 0
6898 00000000 RB_BLIT_CLEAR_COLOR_DW1: 0
6899 00000000 RB_BLIT_CLEAR_COLOR_DW2: 0
6900 00000000 RB_BLIT_CLEAR_COLOR_DW3: 0
6901 000000f2 RB_BLIT_INFO: { GMEM | CLEAR_MASK = 0xf }
6902 00000000 RB_DEPTH_FLAG_BUFFER_BASE_LO: 0
6903 00000000 RB_DEPTH_FLAG_BUFFER_BASE_HI: 0
6904 00000000 RB_DEPTH_FLAG_BUFFER_PITCH: { PITCH = 0 | ARRAY_PITCH = 0 }
6905 00000000 RB_MRT_FLAG_BUFFER[0].ADDR_LO: 0
6906 00000000 RB_MRT_FLAG_BUFFER[0].ADDR_HI: 0
6907 00000000 RB_MRT_FLAG_BUFFER[0].PITCH: { PITCH = 0 | ARRAY_PITCH = 0 }
6908 00000000 RB_MRT_FLAG_BUFFER[0x1].ADDR_LO: 0
6909 00000000 RB_MRT_FLAG_BUFFER[0x1].ADDR_HI: 0
6910 00000000 RB_MRT_FLAG_BUFFER[0x1].PITCH: { PITCH = 0 | ARRAY_PITCH = 0 }
6911 00000000 RB_MRT_FLAG_BUFFER[0x2].ADDR_LO: 0
6912 00000000 RB_MRT_FLAG_BUFFER[0x2].ADDR_HI: 0
6913 00000000 RB_MRT_FLAG_BUFFER[0x2].PITCH: { PITCH = 0 | ARRAY_PITCH = 0 }
6914 00000000 RB_MRT_FLAG_BUFFER[0x3].ADDR_LO: 0
6915 00000000 RB_MRT_FLAG_BUFFER[0x4].ADDR_LO: 0
6916 00000000 RB_MRT_FLAG_BUFFER[0x4].ADDR_HI: 0
6917 00000000 RB_MRT_FLAG_BUFFER[0x4].PITCH: { PITCH = 0 | ARRAY_PITCH = 0 }
6918 00000000 RB_MRT_FLAG_BUFFER[0x5].ADDR_LO: 0
6919 00000000 RB_MRT_FLAG_BUFFER[0x5].ADDR_HI: 0
6920 00000000 RB_MRT_FLAG_BUFFER[0x5].PITCH: { PITCH = 0 | ARRAY_PITCH = 0 }
6921 00000000 RB_MRT_FLAG_BUFFER[0x6].ADDR_LO: 0
6922 00000000 RB_MRT_FLAG_BUFFER[0x6].ADDR_HI: 0
6923 00000000 RB_MRT_FLAG_BUFFER[0x6].PITCH: { PITCH = 0 | ARRAY_PITCH = 0 }
6924 00000000 RB_MRT_FLAG_BUFFER[0x7].ADDR_LO: 0
6925 00000000 RB_MRT_FLAG_BUFFER[0x7].ADDR_HI: 0
6926 00000000 RB_MRT_FLAG_BUFFER[0x7].PITCH: { PITCH = 0 | ARRAY_PITCH = 0 }
6927 00000000 RB_2D_BLIT_CNTL: { ROTATE = ROTATE_0 | COLOR_FORMAT = 0 | MASK = 0 | IFMT = R2D_RAW }
6928 00000000 RB_2D_UNKNOWN_8C01: 0
6929 00000000 0x8c08: 00000000
6930 00000000 0x8c09: 00000000
6931 00000000 0x8c0a: 00000000
6932 00000000 0x8c0b: 00000000
6933 00000000 0x8c0c: 00000000
6934 00000000 0x8c0d: 00000000
6935 00000000 0x8c0e: 00000000
6936 00000000 0x8c0f: 00000000
6937 00000000 0x8c10: 00000000
6938 00000000 RB_2D_DST_INFO: { COLOR_FORMAT = 0 | TILE_MODE = TILE6_LINEAR | COLOR_SWAP = WZYX | SAMPLES = MSAA_ONE }
6939 00000000 RB_2D_DST_LO: 0
6940 00000000 RB_2D_DST_HI: 0
6941 00000000 RB_2D_DST_PITCH: 0
6942 00000000 RB_2D_DST_PLANE1: 0
6943 00000000 RB_2D_DST_PLANE1+0x1: 0
6944 00000000 RB_2D_DST_PLANE_PITCH: 0
6945 00000000 RB_2D_DST_PLANE2: 0
6946 00000000 RB_2D_DST_PLANE2+0x1: 0
6947 00000000 0x8c26: 00000000
6948 00000000 0x8c27: 00000000
6949 00000000 0x8c28: 00000000
6950 00000000 0x8c29: 00000000
6951 00000000 0x8c2a: 00000000
6952 00000000 0x8c2b: 00000000
6953 00000000 RB_2D_SRC_SOLID_C0: 0
6954 00000000 RB_2D_SRC_SOLID_C1: 0
6955 00000000 RB_2D_SRC_SOLID_C2: 0
6956 00000000 RB_2D_SRC_SOLID_C3: 0
6957 00000000 0x8c30: 00000000
6958 00000000 0x8c31: 00000000
6959 00000000 0x8c32: 00000000
6960 00000000 0x8c33: 00000000
6961 - context: 1
6962 00000101 RB_BIN_CONTROL: { BINW = 32 | BINH = 16 }
6963 00000010 RB_RENDER_CNTL: { UNK4 | FLAG_MRTS = 0 }
6964 00000000 RB_RAS_MSAA_CNTL: { SAMPLES = MSAA_ONE }
6965 00000000 RB_DEST_MSAA_CNTL: { SAMPLES = MSAA_ONE }
6966 00000000 RB_SAMPLE_CONFIG: { 0 }
6967 00000000 RB_SAMPLE_LOCATION_0: { SAMPLE_0_X = 0.000000 | SAMPLE_0_Y = 0.000000 | SAMPLE_1_X = 0.000000 | SAMPLE_1_Y = 0.000000 | SAMPLE_2_X = 0.000000 | SAMPLE_2_Y = 0.000000 | SAMPLE_3_X = 0.000000 | SAMPLE_3_Y = 0.000000 }
6968 00000000 RB_SAMPLE_LOCATION_1: { SAMPLE_0_X = 0.000000 | SAMPLE_0_Y = 0.000000 | SAMPLE_1_X = 0.000000 | SAMPLE_1_Y = 0.000000 | SAMPLE_2_X = 0.000000 | SAMPLE_2_Y = 0.000000 | SAMPLE_3_X = 0.000000 | SAMPLE_3_Y = 0.000000 }
6969 00000000 RB_RENDER_CONTROL0: { COORD_MASK = 0 }
6970 00000000 RB_RENDER_CONTROL1: { 0 }
6971 00000000 RB_FS_OUTPUT_CNTL0: { 0 }
6972 00000000 RB_FS_OUTPUT_CNTL1: { MRT = 0 }
6973 00000000 RB_RENDER_COMPONENTS: { RT0 = 0 | RT1 = 0 | RT2 = 0 | RT3 = 0 | RT4 = 0 | RT5 = 0 | RT6 = 0 | RT7 = 0 }
6974 00000000 RB_DITHER_CNTL: { DITHER_MODE_MRT0 = DITHER_DISABLE | DITHER_MODE_MRT1 = DITHER_DISABLE | DITHER_MODE_MRT2 = DITHER_DISABLE | DITHER_MODE_MRT3 = DITHER_DISABLE | DITHER_MODE_MRT4 = DITHER_DISABLE | DITHER_MODE_MRT5 = DITHER_DISABLE | DITHER_MODE_MRT6 = DITHER_DISABLE | DITHER_MODE_MRT7 = DITHER_DISABLE }
6975 00000000 RB_SRGB_CNTL: { 0 }
6976 00000000 RB_SAMPLE_CNTL: { 0 }
6977 00000000 RB_UNKNOWN_8811: 0
6978 00000000 RB_UNKNOWN_8818: 0
6979 00000000 RB_UNKNOWN_8819: 0
6980 00000000 RB_UNKNOWN_881A: 0
6981 00000000 RB_UNKNOWN_881B: 0
6982 00000000 RB_UNKNOWN_881C: 0
6983 00000000 RB_UNKNOWN_881D: 0
6984 00000000 RB_UNKNOWN_881E: 0
6985 00000000 RB_MRT[0].CONTROL: { ROP_CODE = ROP_CLEAR | COMPONENT_ENABLE = 0 }
6986 00000000 RB_MRT[0].BLEND_CONTROL: { RGB_SRC_FACTOR = FACTOR_ZERO | RGB_BLEND_OPCODE = BLEND_DST_PLUS_SRC | RGB_DEST_FACTOR = FACTOR_ZERO | ALPHA_SRC_FACTOR = FACTOR_ZERO | ALPHA_BLEND_OPCODE = BLEND_DST_PLUS_SRC | ALPHA_DEST_FACTOR = FACTOR_ZERO }
6987 00000000 RB_MRT[0].BUF_INFO: { COLOR_FORMAT = 0 | COLOR_TILE_MODE = TILE6_LINEAR | COLOR_SWAP = WZYX }
6988 00000000 RB_MRT[0].PITCH: 0
6989 00000000 RB_MRT[0].ARRAY_PITCH: 0
6990 00000000 RB_MRT[0].BASE_LO: 0
6991 00000000 RB_MRT[0].BASE_HI: 0
6992 00000000 RB_MRT[0].BASE_GMEM: 0
6993 00000000 RB_MRT[0x1].CONTROL: { ROP_CODE = ROP_CLEAR | COMPONENT_ENABLE = 0 }
6994 00000000 RB_MRT[0x1].BLEND_CONTROL: { RGB_SRC_FACTOR = FACTOR_ZERO | RGB_BLEND_OPCODE = BLEND_DST_PLUS_SRC | RGB_DEST_FACTOR = FACTOR_ZERO | ALPHA_SRC_FACTOR = FACTOR_ZERO | ALPHA_BLEND_OPCODE = BLEND_DST_PLUS_SRC | ALPHA_DEST_FACTOR = FACTOR_ZERO }
6995 00000000 RB_MRT[0x1].BUF_INFO: { COLOR_FORMAT = 0 | COLOR_TILE_MODE = TILE6_LINEAR | COLOR_SWAP = WZYX }
6996 00000000 RB_MRT[0x1].PITCH: 0
6997 00000000 RB_MRT[0x1].ARRAY_PITCH: 0
6998 00000000 RB_MRT[0x1].BASE_LO: 0
6999 00000000 RB_MRT[0x1].BASE_HI: 0
7000 00000000 RB_MRT[0x1].BASE_GMEM: 0
7001 00000000 RB_MRT[0x2].CONTROL: { ROP_CODE = ROP_CLEAR | COMPONENT_ENABLE = 0 }
7002 00000000 RB_MRT[0x2].BLEND_CONTROL: { RGB_SRC_FACTOR = FACTOR_ZERO | RGB_BLEND_OPCODE = BLEND_DST_PLUS_SRC | RGB_DEST_FACTOR = FACTOR_ZERO | ALPHA_SRC_FACTOR = FACTOR_ZERO | ALPHA_BLEND_OPCODE = BLEND_DST_PLUS_SRC | ALPHA_DEST_FACTOR = FACTOR_ZERO }
7003 00000000 RB_MRT[0x2].BUF_INFO: { COLOR_FORMAT = 0 | COLOR_TILE_MODE = TILE6_LINEAR | COLOR_SWAP = WZYX }
7004 00000000 RB_MRT[0x2].PITCH: 0
7005 00000000 RB_MRT[0x2].ARRAY_PITCH: 0
7006 00000000 RB_MRT[0x2].BASE_LO: 0
7007 00000000 RB_MRT[0x2].BASE_HI: 0
7008 00000000 RB_MRT[0x2].BASE_GMEM: 0
7009 00000000 RB_MRT[0x3].CONTROL: { ROP_CODE = ROP_CLEAR | COMPONENT_ENABLE = 0 }
7010 00000000 RB_MRT[0x3].BLEND_CONTROL: { RGB_SRC_FACTOR = FACTOR_ZERO | RGB_BLEND_OPCODE = BLEND_DST_PLUS_SRC | RGB_DEST_FACTOR = FACTOR_ZERO | ALPHA_SRC_FACTOR = FACTOR_ZERO | ALPHA_BLEND_OPCODE = BLEND_DST_PLUS_SRC | ALPHA_DEST_FACTOR = FACTOR_ZERO }
7011 00000000 RB_MRT[0x3].BUF_INFO: { COLOR_FORMAT = 0 | COLOR_TILE_MODE = TILE6_LINEAR | COLOR_SWAP = WZYX }
7012 00000000 RB_MRT[0x3].PITCH: 0
7013 00000000 RB_MRT[0x3].ARRAY_PITCH: 0
7014 00000000 RB_MRT[0x3].BASE_LO: 0
7015 00000000 RB_MRT[0x3].BASE_HI: 0
7016 00000000 RB_MRT[0x3].BASE_GMEM: 0
7017 00000000 RB_MRT[0x4].CONTROL: { ROP_CODE = ROP_CLEAR | COMPONENT_ENABLE = 0 }
7018 00000000 RB_MRT[0x4].BLEND_CONTROL: { RGB_SRC_FACTOR = FACTOR_ZERO | RGB_BLEND_OPCODE = BLEND_DST_PLUS_SRC | RGB_DEST_FACTOR = FACTOR_ZERO | ALPHA_SRC_FACTOR = FACTOR_ZERO | ALPHA_BLEND_OPCODE = BLEND_DST_PLUS_SRC | ALPHA_DEST_FACTOR = FACTOR_ZERO }
7019 00000000 RB_MRT[0x4].BUF_INFO: { COLOR_FORMAT = 0 | COLOR_TILE_MODE = TILE6_LINEAR | COLOR_SWAP = WZYX }
7020 00000000 RB_MRT[0x4].PITCH: 0
7021 00000000 RB_MRT[0x4].ARRAY_PITCH: 0
7022 00000000 RB_MRT[0x4].BASE_LO: 0
7023 00000000 RB_MRT[0x4].BASE_HI: 0
7024 00000000 RB_MRT[0x4].BASE_GMEM: 0
7025 00000000 RB_MRT[0x5].CONTROL: { ROP_CODE = ROP_CLEAR | COMPONENT_ENABLE = 0 }
7026 00000000 RB_MRT[0x5].BLEND_CONTROL: { RGB_SRC_FACTOR = FACTOR_ZERO | RGB_BLEND_OPCODE = BLEND_DST_PLUS_SRC | RGB_DEST_FACTOR = FACTOR_ZERO | ALPHA_SRC_FACTOR = FACTOR_ZERO | ALPHA_BLEND_OPCODE = BLEND_DST_PLUS_SRC | ALPHA_DEST_FACTOR = FACTOR_ZERO }
7027 00000000 RB_MRT[0x5].BUF_INFO: { COLOR_FORMAT = 0 | COLOR_TILE_MODE = TILE6_LINEAR | COLOR_SWAP = WZYX }
7028 00000000 RB_MRT[0x5].PITCH: 0
7029 00000000 RB_MRT[0x5].ARRAY_PITCH: 0
7030 00000000 RB_MRT[0x5].BASE_LO: 0
7031 00000000 RB_MRT[0x5].BASE_HI: 0
7032 00000000 RB_MRT[0x5].BASE_GMEM: 0
7033 00000000 RB_MRT[0x6].CONTROL: { ROP_CODE = ROP_CLEAR | COMPONENT_ENABLE = 0 }
7034 00000000 RB_MRT[0x6].BLEND_CONTROL: { RGB_SRC_FACTOR = FACTOR_ZERO | RGB_BLEND_OPCODE = BLEND_DST_PLUS_SRC | RGB_DEST_FACTOR = FACTOR_ZERO | ALPHA_SRC_FACTOR = FACTOR_ZERO | ALPHA_BLEND_OPCODE = BLEND_DST_PLUS_SRC | ALPHA_DEST_FACTOR = FACTOR_ZERO }
7035 00000000 RB_MRT[0x6].BUF_INFO: { COLOR_FORMAT = 0 | COLOR_TILE_MODE = TILE6_LINEAR | COLOR_SWAP = WZYX }
7036 00000000 RB_MRT[0x6].PITCH: 0
7037 00000000 RB_MRT[0x6].ARRAY_PITCH: 0
7038 00000000 RB_MRT[0x6].BASE_LO: 0
7039 00000000 RB_MRT[0x6].BASE_HI: 0
7040 00000000 RB_MRT[0x6].BASE_GMEM: 0
7041 00000000 RB_MRT[0x7].CONTROL: { ROP_CODE = ROP_CLEAR | COMPONENT_ENABLE = 0 }
7042 00000000 RB_MRT[0x7].BLEND_CONTROL: { RGB_SRC_FACTOR = FACTOR_ZERO | RGB_BLEND_OPCODE = BLEND_DST_PLUS_SRC | RGB_DEST_FACTOR = FACTOR_ZERO | ALPHA_SRC_FACTOR = FACTOR_ZERO | ALPHA_BLEND_OPCODE = BLEND_DST_PLUS_SRC | ALPHA_DEST_FACTOR = FACTOR_ZERO }
7043 00000000 RB_MRT[0x7].BUF_INFO: { COLOR_FORMAT = 0 | COLOR_TILE_MODE = TILE6_LINEAR | COLOR_SWAP = WZYX }
7044 00000000 RB_MRT[0x7].PITCH: 0
7045 00000000 RB_MRT[0x7].ARRAY_PITCH: 0
7046 00000000 RB_MRT[0x7].BASE_LO: 0
7047 00000000 RB_MRT[0x7].BASE_HI: 0
7048 00000000 RB_MRT[0x7].BASE_GMEM: 0
7049 00000000 RB_BLEND_RED_F32: 0.000000
7050 00000000 RB_BLEND_GREEN_F32: 0.000000
7051 00000000 RB_BLEND_BLUE_F32: 0.000000
7052 00000000 RB_BLEND_ALPHA_F32: 0.000000
7053 00000000 RB_ALPHA_CONTROL: { ALPHA_REF = 0 | ALPHA_TEST_FUNC = FUNC_NEVER }
7054 ffff0100 RB_BLEND_CNTL: { ENABLE_BLEND = 0 | INDEPENDENT_BLEND | SAMPLE_MASK = 0xffff }
7055 00000000 RB_DEPTH_PLANE_CNTL: { Z_MODE = A6XX_EARLY_Z }
7056 00000000 RB_DEPTH_CNTL: { ZFUNC = FUNC_NEVER }
7057 00000000 RB_DEPTH_BUFFER_INFO: { DEPTH_FORMAT = DEPTH6_NONE }
7058 00000000 RB_DEPTH_BUFFER_PITCH: 0
7059 00000000 RB_DEPTH_BUFFER_ARRAY_PITCH: 0
7060 00000000 RB_DEPTH_BUFFER_BASE_LO: 0
7061 00000000 RB_DEPTH_BUFFER_BASE_HI: 0
7062 00000000 RB_DEPTH_BUFFER_BASE_GMEM: 0
7063 00000000 RB_Z_BOUNDS_MIN: 0.000000
7064 00000000 RB_Z_BOUNDS_MAX: 0.000000
7065 00000000 RB_STENCIL_CONTROL: { FUNC = FUNC_NEVER | FAIL = STENCIL_KEEP | ZPASS = STENCIL_KEEP | ZFAIL = STENCIL_KEEP | FUNC_BF = FUNC_NEVER | FAIL_BF = STENCIL_KEEP | ZPASS_BF = STENCIL_KEEP | ZFAIL_BF = STENCIL_KEEP }
7066 00000000 RB_STENCIL_INFO: { 0 }
7067 00000000 RB_STENCIL_BUFFER_PITCH: 0
7068 00000000 RB_STENCIL_BUFFER_ARRAY_PITCH: 0
7069 00000000 RB_STENCIL_BUFFER_BASE_LO: 0
7070 00000000 RB_STENCIL_BUFFER_BASE_HI: 0
7071 00000000 RB_STENCIL_BUFFER_BASE_GMEM: 0
7072 00000000 RB_STENCILREF: { REF = 0 | BFREF = 0 }
7073 00000000 RB_STENCILMASK: { MASK = 0 | BFMASK = 0 }
7074 00000000 RB_STENCILWRMASK: { WRMASK = 0 | BFWRMASK = 0 }
7075 00000000 RB_WINDOW_OFFSET: { X = 0 | Y = 0 }
7076 00000000 RB_SAMPLE_COUNT_CONTROL: { 0 }
7077 00000000 RB_LRZ_CNTL: { 0 }
7078 00000000 RB_Z_CLAMP_MIN: 0.000000
7079 00000000 RB_Z_CLAMP_MAX: 0.000000
7080 00000000 RB_UNKNOWN_88D0: { 0 }
7081 00000000 RB_BLIT_SCISSOR_TL: { X = 0 | Y = 0 }
7082 00ff00ff RB_BLIT_SCISSOR_BR: { X = 255 | Y = 255 }
7083 00001008 RB_BIN_CONTROL2: { BINW = 256 | BINH = 256 }
7084 00000000 RB_WINDOW_OFFSET2: { X = 0 | Y = 0 }
7085 00000000 RB_MSAA_CNTL: { SAMPLES = MSAA_ONE }
7086 00000000 RB_BLIT_BASE_GMEM: 0
7087 00004100 RB_BLIT_DST_INFO: { TILE_MODE = TILE6_LINEAR | SAMPLES = MSAA_ONE | COLOR_SWAP = WZYX | COLOR_FORMAT = FMT6_32_32_32_32_FLOAT }
7088 00000000 RB_BLIT_DST: 0
7089 00000000 RB_BLIT_DST+0x1: 0
7090 00000000 RB_BLIT_DST_PITCH: 0
7091 00000000 RB_BLIT_DST_ARRAY_PITCH: 0
7092 00000000 RB_BLIT_FLAG_DST: 0
7093 00000000 RB_BLIT_FLAG_DST+0x1: 0
7094 00000000 RB_BLIT_FLAG_DST_PITCH: { PITCH = 0 | ARRAY_PITCH = 0 }
7095 00000000 RB_BLIT_CLEAR_COLOR_DW0: 0
7096 00000000 RB_BLIT_CLEAR_COLOR_DW1: 0
7097 00000000 RB_BLIT_CLEAR_COLOR_DW2: 0
7098 00000000 RB_BLIT_CLEAR_COLOR_DW3: 0
7099 000000f2 RB_BLIT_INFO: { GMEM | CLEAR_MASK = 0xf }
7100 00000000 RB_DEPTH_FLAG_BUFFER_BASE_LO: 0
7101 00000000 RB_DEPTH_FLAG_BUFFER_BASE_HI: 0
7102 00000000 RB_DEPTH_FLAG_BUFFER_PITCH: { PITCH = 0 | ARRAY_PITCH = 0 }
7103 00000000 RB_MRT_FLAG_BUFFER[0].ADDR_LO: 0
7104 00000000 RB_MRT_FLAG_BUFFER[0].ADDR_HI: 0
7105 00000000 RB_MRT_FLAG_BUFFER[0].PITCH: { PITCH = 0 | ARRAY_PITCH = 0 }
7106 00000000 RB_MRT_FLAG_BUFFER[0x1].ADDR_LO: 0
7107 00000000 RB_MRT_FLAG_BUFFER[0x1].ADDR_HI: 0
7108 00000000 RB_MRT_FLAG_BUFFER[0x1].PITCH: { PITCH = 0 | ARRAY_PITCH = 0 }
7109 00000000 RB_MRT_FLAG_BUFFER[0x2].ADDR_LO: 0
7110 00000000 RB_MRT_FLAG_BUFFER[0x2].ADDR_HI: 0
7111 00000000 RB_MRT_FLAG_BUFFER[0x2].PITCH: { PITCH = 0 | ARRAY_PITCH = 0 }
7112 00000000 RB_MRT_FLAG_BUFFER[0x3].ADDR_LO: 0
7113 00000000 RB_MRT_FLAG_BUFFER[0x4].ADDR_LO: 0
7114 00000000 RB_MRT_FLAG_BUFFER[0x4].ADDR_HI: 0
7115 00000000 RB_MRT_FLAG_BUFFER[0x4].PITCH: { PITCH = 0 | ARRAY_PITCH = 0 }
7116 00000000 RB_MRT_FLAG_BUFFER[0x5].ADDR_LO: 0
7117 00000000 RB_MRT_FLAG_BUFFER[0x5].ADDR_HI: 0
7118 00000000 RB_MRT_FLAG_BUFFER[0x5].PITCH: { PITCH = 0 | ARRAY_PITCH = 0 }
7119 00000000 RB_MRT_FLAG_BUFFER[0x6].ADDR_LO: 0
7120 00000000 RB_MRT_FLAG_BUFFER[0x6].ADDR_HI: 0
7121 00000000 RB_MRT_FLAG_BUFFER[0x6].PITCH: { PITCH = 0 | ARRAY_PITCH = 0 }
7122 00000000 RB_MRT_FLAG_BUFFER[0x7].ADDR_LO: 0
7123 00000000 RB_MRT_FLAG_BUFFER[0x7].ADDR_HI: 0
7124 00000000 RB_MRT_FLAG_BUFFER[0x7].PITCH: { PITCH = 0 | ARRAY_PITCH = 0 }
7125 00000000 RB_2D_BLIT_CNTL: { ROTATE = ROTATE_0 | COLOR_FORMAT = 0 | MASK = 0 | IFMT = R2D_RAW }
7126 00000000 RB_2D_UNKNOWN_8C01: 0
7127 00000000 0x8c08: 00000000
7128 00000000 0x8c09: 00000000
7129 00000000 0x8c0a: 00000000
7130 00000000 0x8c0b: 00000000
7131 00000000 0x8c0c: 00000000
7132 00000000 0x8c0d: 00000000
7133 00000000 0x8c0e: 00000000
7134 00000000 0x8c0f: 00000000
7135 00000000 0x8c10: 00000000
7136 00000000 RB_2D_DST_INFO: { COLOR_FORMAT = 0 | TILE_MODE = TILE6_LINEAR | COLOR_SWAP = WZYX | SAMPLES = MSAA_ONE }
7137 00000000 RB_2D_DST_LO: 0
7138 00000000 RB_2D_DST_HI: 0
7139 00000000 RB_2D_DST_PITCH: 0
7140 00000000 RB_2D_DST_PLANE1: 0
7141 00000000 RB_2D_DST_PLANE1+0x1: 0
7142 00000000 RB_2D_DST_PLANE_PITCH: 0
7143 00000000 RB_2D_DST_PLANE2: 0
7144 00000000 RB_2D_DST_PLANE2+0x1: 0
7145 00000000 0x8c26: 00000000
7146 00000000 0x8c27: 00000000
7147 00000000 0x8c28: 00000000
7148 00000000 0x8c29: 00000000
7149 00000000 0x8c2a: 00000000
7150 00000000 0x8c2b: 00000000
7151 00000000 RB_2D_SRC_SOLID_C0: 0
7152 00000000 RB_2D_SRC_SOLID_C1: 0
7153 00000000 RB_2D_SRC_SOLID_C2: 0
7154 00000000 RB_2D_SRC_SOLID_C3: 0
7155 00000000 0x8c30: 00000000
7156 00000000 0x8c31: 00000000
7157 00000000 0x8c32: 00000000
7158 00000000 0x8c33: 00000000
7159 - cluster-name: CLUSTER_PS
7160 - context: 0
7161 00000000 RB_UNKNOWN_88F0: 0
7162 00000000 RB_UNK_FLAG_BUFFER_BASE: 0
7163 00000000 RB_UNK_FLAG_BUFFER_BASE+0x1: 0
7164 00000000 RB_UNK_FLAG_BUFFER_PITCH: { PITCH = 0 | ARRAY_PITCH = 0 }
7165 00000000 RB_MRT_FLAG_BUFFER[0x3].ADDR_HI: 0
7166 00000000 RB_MRT_FLAG_BUFFER[0x3].PITCH: { PITCH = 0 | ARRAY_PITCH = 0 }
7167 00000000 RB_SAMPLE_COUNT_ADDR_LO: 0
7168 00000000 RB_SAMPLE_COUNT_ADDR_HI: 0
7169 00000000 0x8bf0: 00000000
7170 00000000 0x8bf1: 00000000
7171 00000000 0x8c02: 00000000
7172 00000000 0x8c03: 00000000
7173 00000000 0x8c04: 00000000
7174 00000000 0x8c05: 00000000
7175 00000000 0x8c06: 00000000
7176 00000000 0x8c07: 00000000
7177 00000000 0x8c11: 00000000
7178 00000000 0x8c12: 00000000
7179 00000000 0x8c13: 00000000
7180 00000000 0x8c14: 00000000
7181 00000000 0x8c15: 00000000
7182 00000000 0x8c16: 00000000
7183 00000000 RB_2D_DST_FLAGS_LO: 0
7184 00000000 RB_2D_DST_FLAGS_HI: 0
7185 00000000 RB_2D_DST_FLAGS_PITCH: 0
7186 00000000 RB_2D_DST_FLAGS_PLANE: 0
7187 00000000 RB_2D_DST_FLAGS_PLANE+0x1: 0
7188 00000000 RB_2D_DST_FLAGS_PLANE_PITCH: 0
7189 - context: 1
7190 00000000 RB_UNKNOWN_88F0: 0
7191 00000000 RB_UNK_FLAG_BUFFER_BASE: 0
7192 00000000 RB_UNK_FLAG_BUFFER_BASE+0x1: 0
7193 00000000 RB_UNK_FLAG_BUFFER_PITCH: { PITCH = 0 | ARRAY_PITCH = 0 }
7194 00000000 RB_MRT_FLAG_BUFFER[0x3].ADDR_HI: 0
7195 00000000 RB_MRT_FLAG_BUFFER[0x3].PITCH: { PITCH = 0 | ARRAY_PITCH = 0 }
7196 00000000 RB_SAMPLE_COUNT_ADDR_LO: 0
7197 00000000 RB_SAMPLE_COUNT_ADDR_HI: 0
7198 00000000 0x8bf0: 00000000
7199 00000000 0x8bf1: 00000000
7200 00000000 0x8c02: 00000000
7201 00000000 0x8c03: 00000000
7202 00000000 0x8c04: 00000000
7203 00000000 0x8c05: 00000000
7204 00000000 0x8c06: 00000000
7205 00000000 0x8c07: 00000000
7206 00000000 0x8c11: 00000000
7207 00000000 0x8c12: 00000000
7208 00000000 0x8c13: 00000000
7209 00000000 0x8c14: 00000000
7210 00000000 0x8c15: 00000000
7211 00000000 0x8c16: 00000000
7212 00000000 RB_2D_DST_FLAGS_LO: 0
7213 00000000 RB_2D_DST_FLAGS_HI: 0
7214 00000000 RB_2D_DST_FLAGS_PITCH: 0
7215 00000000 RB_2D_DST_FLAGS_PLANE: 0
7216 00000000 RB_2D_DST_FLAGS_PLANE+0x1: 0
7217 00000000 RB_2D_DST_FLAGS_PLANE_PITCH: 0
7218 - cluster-name: CLUSTER_PS
7219 - context: 0
7220 00000000 VPC_VARYING_INTERP[0].MODE: 0
7221 00000000 VPC_VARYING_INTERP[0x1].MODE: 0
7222 00000000 VPC_VARYING_INTERP[0x2].MODE: 0
7223 00000000 VPC_VARYING_INTERP[0x3].MODE: 0
7224 00000000 VPC_VARYING_INTERP[0x4].MODE: 0
7225 00000000 VPC_VARYING_INTERP[0x5].MODE: 0
7226 00000000 VPC_VARYING_INTERP[0x6].MODE: 0
7227 00000000 VPC_VARYING_INTERP[0x7].MODE: 0
7228 00000000 VPC_VARYING_PS_REPL[0].MODE: 0
7229 00000000 VPC_VARYING_PS_REPL[0x1].MODE: 0
7230 00000000 VPC_VARYING_PS_REPL[0x2].MODE: 0
7231 00000000 VPC_VARYING_PS_REPL[0x3].MODE: 0
7232 00000000 VPC_VARYING_PS_REPL[0x4].MODE: 0
7233 00000000 VPC_VARYING_PS_REPL[0x5].MODE: 0
7234 00000000 VPC_VARYING_PS_REPL[0x6].MODE: 0
7235 00000000 VPC_VARYING_PS_REPL[0x7].MODE: 0
7236 00000000 VPC_UNKNOWN_9210: 0
7237 00000000 VPC_UNKNOWN_9211: 0
7238 00000000 VPC_VAR[0].DISABLE: 0
7239 00000000 VPC_VAR[0x1].DISABLE: 0
7240 00000000 VPC_VAR[0x2].DISABLE: 0
7241 00000000 VPC_VAR[0x3].DISABLE: 0
7242 00000000 VPC_SO_CNTL: { 0 }
7243 00000000 VPC_SO_STREAM_COUNTS_LO: 0
7244 00000000 VPC_SO_STREAM_COUNTS_HI: 0
7245 00000000 VPC_SO[0].BUFFER_BASE: 0
7246 00000000 VPC_SO[0].BUFFER_BASE+0x1: 0
7247 00000000 VPC_SO[0].BUFFER_SIZE: 0
7248 00000001 VPC_SO[0].NCOMP: 0x1
7249 00000000 VPC_SO[0].BUFFER_OFFSET: 0
7250 00000000 VPC_SO[0].FLUSH_BASE: 0
7251 00000000 VPC_SO[0].FLUSH_BASE+0x1: 0
7252 00000000 VPC_SO[0x1].BUFFER_BASE: 0
7253 00000000 VPC_SO[0x1].BUFFER_BASE+0x1: 0
7254 00000000 VPC_SO[0x1].BUFFER_SIZE: 0
7255 00000001 VPC_SO[0x1].NCOMP: 0x1
7256 00000000 VPC_SO[0x1].BUFFER_OFFSET: 0
7257 00000000 VPC_SO[0x1].FLUSH_BASE: 0
7258 00000000 VPC_SO[0x1].FLUSH_BASE+0x1: 0
7259 00000000 VPC_SO[0x2].BUFFER_BASE: 0
7260 00000000 VPC_SO[0x2].BUFFER_BASE+0x1: 0
7261 00000000 VPC_SO[0x2].BUFFER_SIZE: 0
7262 00000001 VPC_SO[0x2].NCOMP: 0x1
7263 00000000 VPC_SO[0x2].BUFFER_OFFSET: 0
7264 00000000 VPC_SO[0x2].FLUSH_BASE: 0
7265 00000000 VPC_SO[0x2].FLUSH_BASE+0x1: 0
7266 00000000 VPC_SO[0x3].BUFFER_BASE: 0
7267 00000000 VPC_SO[0x3].BUFFER_BASE+0x1: 0
7268 00000000 VPC_SO[0x3].BUFFER_SIZE: 0
7269 00000001 VPC_SO[0x3].NCOMP: 0x1
7270 00000000 VPC_SO[0x3].BUFFER_OFFSET: 0
7271 00000000 VPC_SO[0x3].FLUSH_BASE: 0
7272 00000000 VPC_SO[0x3].FLUSH_BASE+0x1: 0
7273 00000000 VPC_POINT_COORD_INVERT: { 0 }
7274 00000000 VPC_UNKNOWN_9300: 0
7275 00ff0001 VPC_VS_PACK: { STRIDE_IN_VPC = 1 | POSITIONLOC = 0 | PSIZELOC = 255 | EXTRAPOS = 0 }
7276 00ff0001 VPC_GS_PACK: { STRIDE_IN_VPC = 1 | POSITIONLOC = 0 | PSIZELOC = 255 | EXTRAPOS = 0 }
7277 00ff0001 VPC_DS_PACK: { STRIDE_IN_VPC = 1 | POSITIONLOC = 0 | PSIZELOC = 255 | EXTRAPOS = 0 }
7278 ff00ff00 VPC_CNTL_0: { NUMNONPOSVAR = 0 | PRIMIDLOC = 255 | VIEWIDLOC = 255 }
7279 00000000 VPC_SO_BUF_CNTL: { 0 }
7280 00000000 VPC_SO_DISABLE: { 0 }
7281 - context: 1
7282 00000000 VPC_VARYING_INTERP[0].MODE: 0
7283 00000000 VPC_VARYING_INTERP[0x1].MODE: 0
7284 00000000 VPC_VARYING_INTERP[0x2].MODE: 0
7285 00000000 VPC_VARYING_INTERP[0x3].MODE: 0
7286 00000000 VPC_VARYING_INTERP[0x4].MODE: 0
7287 00000000 VPC_VARYING_INTERP[0x5].MODE: 0
7288 00000000 VPC_VARYING_INTERP[0x6].MODE: 0
7289 00000000 VPC_VARYING_INTERP[0x7].MODE: 0
7290 00000000 VPC_VARYING_PS_REPL[0].MODE: 0
7291 00000000 VPC_VARYING_PS_REPL[0x1].MODE: 0
7292 00000000 VPC_VARYING_PS_REPL[0x2].MODE: 0
7293 00000000 VPC_VARYING_PS_REPL[0x3].MODE: 0
7294 00000000 VPC_VARYING_PS_REPL[0x4].MODE: 0
7295 00000000 VPC_VARYING_PS_REPL[0x5].MODE: 0
7296 00000000 VPC_VARYING_PS_REPL[0x6].MODE: 0
7297 00000000 VPC_VARYING_PS_REPL[0x7].MODE: 0
7298 00000000 VPC_UNKNOWN_9210: 0
7299 00000000 VPC_UNKNOWN_9211: 0
7300 00000000 VPC_VAR[0].DISABLE: 0
7301 00000000 VPC_VAR[0x1].DISABLE: 0
7302 00000000 VPC_VAR[0x2].DISABLE: 0
7303 00000000 VPC_VAR[0x3].DISABLE: 0
7304 00000000 VPC_SO_CNTL: { 0 }
7305 00000000 VPC_SO_STREAM_COUNTS_LO: 0
7306 00000000 VPC_SO_STREAM_COUNTS_HI: 0
7307 00000000 VPC_SO[0].BUFFER_BASE: 0
7308 00000000 VPC_SO[0].BUFFER_BASE+0x1: 0
7309 00000000 VPC_SO[0].BUFFER_SIZE: 0
7310 00000001 VPC_SO[0].NCOMP: 0x1
7311 00000000 VPC_SO[0].BUFFER_OFFSET: 0
7312 00000000 VPC_SO[0].FLUSH_BASE: 0
7313 00000000 VPC_SO[0].FLUSH_BASE+0x1: 0
7314 00000000 VPC_SO[0x1].BUFFER_BASE: 0
7315 00000000 VPC_SO[0x1].BUFFER_BASE+0x1: 0
7316 00000000 VPC_SO[0x1].BUFFER_SIZE: 0
7317 00000001 VPC_SO[0x1].NCOMP: 0x1
7318 00000000 VPC_SO[0x1].BUFFER_OFFSET: 0
7319 00000000 VPC_SO[0x1].FLUSH_BASE: 0
7320 00000000 VPC_SO[0x1].FLUSH_BASE+0x1: 0
7321 00000000 VPC_SO[0x2].BUFFER_BASE: 0
7322 00000000 VPC_SO[0x2].BUFFER_BASE+0x1: 0
7323 00000000 VPC_SO[0x2].BUFFER_SIZE: 0
7324 00000001 VPC_SO[0x2].NCOMP: 0x1
7325 00000000 VPC_SO[0x2].BUFFER_OFFSET: 0
7326 00000000 VPC_SO[0x2].FLUSH_BASE: 0
7327 00000000 VPC_SO[0x2].FLUSH_BASE+0x1: 0
7328 00000000 VPC_SO[0x3].BUFFER_BASE: 0
7329 00000000 VPC_SO[0x3].BUFFER_BASE+0x1: 0
7330 00000000 VPC_SO[0x3].BUFFER_SIZE: 0
7331 00000001 VPC_SO[0x3].NCOMP: 0x1
7332 00000000 VPC_SO[0x3].BUFFER_OFFSET: 0
7333 00000000 VPC_SO[0x3].FLUSH_BASE: 0
7334 00000000 VPC_SO[0x3].FLUSH_BASE+0x1: 0
7335 00000000 VPC_POINT_COORD_INVERT: { 0 }
7336 00000000 VPC_UNKNOWN_9300: 0
7337 00ff0001 VPC_VS_PACK: { STRIDE_IN_VPC = 1 | POSITIONLOC = 0 | PSIZELOC = 255 | EXTRAPOS = 0 }
7338 00ff0001 VPC_GS_PACK: { STRIDE_IN_VPC = 1 | POSITIONLOC = 0 | PSIZELOC = 255 | EXTRAPOS = 0 }
7339 00ff0001 VPC_DS_PACK: { STRIDE_IN_VPC = 1 | POSITIONLOC = 0 | PSIZELOC = 255 | EXTRAPOS = 0 }
7340 ff00ff00 VPC_CNTL_0: { NUMNONPOSVAR = 0 | PRIMIDLOC = 255 | VIEWIDLOC = 255 }
7341 00000000 VPC_SO_BUF_CNTL: { 0 }
7342 00000000 VPC_SO_DISABLE: { 0 }
7343 - cluster-name: CLUSTER_FE
7344 - context: 0
7345 00000000 VPC_UNKNOWN_9300: 0
7346 00ff0001 VPC_VS_PACK: { STRIDE_IN_VPC = 1 | POSITIONLOC = 0 | PSIZELOC = 255 | EXTRAPOS = 0 }
7347 00ff0001 VPC_GS_PACK: { STRIDE_IN_VPC = 1 | POSITIONLOC = 0 | PSIZELOC = 255 | EXTRAPOS = 0 }
7348 00ff0001 VPC_DS_PACK: { STRIDE_IN_VPC = 1 | POSITIONLOC = 0 | PSIZELOC = 255 | EXTRAPOS = 0 }
7349 ff00ff00 VPC_CNTL_0: { NUMNONPOSVAR = 0 | PRIMIDLOC = 255 | VIEWIDLOC = 255 }
7350 00000000 VPC_SO_BUF_CNTL: { 0 }
7351 00000000 VPC_SO_DISABLE: { 0 }
7352 00000000 PC_TESS_NUM_VERTEX: 0
7353 00000000 PC_HS_INPUT_SIZE: { SIZE = 0 }
7354 00000000 PC_TESS_CNTL: { SPACING = TESS_EQUAL | OUTPUT = TESS_POINTS }
7355 ffffffff PC_RESTART_INDEX: 4294967295
7356 0000001f PC_MODE_CNTL: 0x1f
7357 00000001 PC_UNKNOWN_9805: 0x1
7358 00000000 PC_PRIMID_PASSTHRU: FALSE
7359 00000002 PC_PRIMITIVE_CNTL_0: { PROVOKING_VTX_LAST }
7360 00000001 PC_VS_OUT_CNTL: { STRIDE_IN_VPC = 1 | CLIP_MASK = 0 }
7361 00000001 PC_GS_OUT_CNTL: { STRIDE_IN_VPC = 1 | CLIP_MASK = 0 }
7362 00000000 PC_PRIMITIVE_CNTL_3: 0
7363 00000001 PC_DS_OUT_CNTL: { STRIDE_IN_VPC = 1 | CLIP_MASK = 0 }
7364 00000000 PC_PRIMITIVE_CNTL_5: { GS_VERTICES_OUT = 0 | GS_INVOCATIONS = 0 | GS_OUTPUT = TESS_POINTS }
7365 00000000 PC_PRIMITIVE_CNTL_6: { STRIDE_IN_VPC = 0 }
7366 00000000 PC_MULTIVIEW_CNTL: { VIEWS = 0 }
7367 00000000 VFD_CONTROL_0: { FETCH_CNT = 0 | DECODE_CNT = 0 }
7368 fcfcfcfc VFD_CONTROL_1: { REGID4VTX = r63.x | REGID4INST = r63.x | REGID4PRIMID = r63.x | REGID4VIEWID = r63.x }
7369 0000fcfc VFD_CONTROL_2: { REGID_HSPATCHID = r63.x | REGID_INVOCATIONID = r63.x }
7370 fcfcfcfc VFD_CONTROL_3: { REGID_DSPATCHID = r63.x | REGID_TESSX = r63.x | REGID_TESSY = r63.x | 0xfc }
7371 000000fc VFD_CONTROL_4: 0xfc
7372 0000fcfc VFD_CONTROL_5: { REGID_GSHEADER = r63.x | 0xfc00 }
7373 00000000 VFD_CONTROL_6: { 0 }
7374 00000000 VFD_MODE_CNTL: { 0 }
7375 00000000 VFD_MULTIVIEW_CNTL: { VIEWS = 0 }
7376 00000000 VFD_ADD_OFFSET: { 0 }
7377 00000000 VFD_INDEX_OFFSET: 0
7378 00000000 VFD_INSTANCE_START_OFFSET: 0
7379 1618e045 VFD_FETCH[0].BASE: 0x1618e045
7380 00005505 VFD_FETCH[0].BASE+0x1: 0x5505
7381 00840800 VFD_FETCH[0].SIZE: 8652800
7382 00000051 VFD_FETCH[0].STRIDE: 81
7383 01100401 VFD_FETCH[0x1].BASE: 0x1100401
7384 00000040 VFD_FETCH[0x1].BASE+0x1: 0x40
7385 04010402 VFD_FETCH[0x1].SIZE: 67175426
7386 00000000 VFD_FETCH[0x1].STRIDE: 0
7387 40080120 VFD_FETCH[0x2].BASE: 0x40080120
7388 00004000 VFD_FETCH[0x2].BASE+0x1: 0x4000
7389 00140100 VFD_FETCH[0x2].SIZE: 1310976
7390 00000002 VFD_FETCH[0x2].STRIDE: 2
7391 00001090 VFD_FETCH[0x3].BASE: 0x1090
7392 00000200 VFD_FETCH[0x3].BASE+0x1: 0x200
7393 11040100 VFD_FETCH[0x3].SIZE: 285475072
7394 00000000 VFD_FETCH[0x3].STRIDE: 0
7395 04000900 VFD_FETCH[0x4].BASE: 0x4000900
7396 00000451 VFD_FETCH[0x4].BASE+0x1: 0x451
7397 01000806 VFD_FETCH[0x4].SIZE: 16779270
7398 00000201 VFD_FETCH[0x4].STRIDE: 513
7399 00004004 VFD_FETCH[0x5].BASE: 0x4004
7400 00000000 VFD_FETCH[0x5].BASE+0x1: 0
7401 00000080 VFD_FETCH[0x5].SIZE: 128
7402 00000000 VFD_FETCH[0x5].STRIDE: 0
7403 14008030 VFD_FETCH[0x6].BASE: 0x14008030
7404 0000e303 VFD_FETCH[0x6].BASE+0x1: 0xe303
7405 10020400 VFD_FETCH[0x6].SIZE: 268567552
7406 00000088 VFD_FETCH[0x6].STRIDE: 136
7407 02000030 VFD_FETCH[0x7].BASE: 0x2000030
7408 00001140 VFD_FETCH[0x7].BASE+0x1: 0x1140
7409 40400000 VFD_FETCH[0x7].SIZE: 1077936128
7410 00000041 VFD_FETCH[0x7].STRIDE: 65
7411 c0100100 VFD_FETCH[0x8].BASE: 0xc0100100
7412 00001244 VFD_FETCH[0x8].BASE+0x1: 0x1244
7413 00050004 VFD_FETCH[0x8].SIZE: 327684
7414 00000040 VFD_FETCH[0x8].STRIDE: 64
7415 00044000 VFD_FETCH[0x9].BASE: 0x44000
7416 00000000 VFD_FETCH[0x9].BASE+0x1: 0
7417 80000020 VFD_FETCH[0x9].SIZE: 2147483680
7418 00000008 VFD_FETCH[0x9].STRIDE: 8
7419 10006004 VFD_FETCH[0xa].BASE: 0x10006004
7420 0000222a VFD_FETCH[0xa].BASE+0x1: 0x222a
7421 02042020 VFD_FETCH[0xa].SIZE: 33824800
7422 00000681 VFD_FETCH[0xa].STRIDE: 1665
7423 02101020 VFD_FETCH[0xb].BASE: 0x2101020
7424 00000080 VFD_FETCH[0xb].BASE+0x1: 0x80
7425 00040020 VFD_FETCH[0xb].SIZE: 262176
7426 00000050 VFD_FETCH[0xb].STRIDE: 80
7427 0a436062 VFD_FETCH[0xc].BASE: 0xa436062
7428 00003041 VFD_FETCH[0xc].BASE+0x1: 0x3041
7429 06102040 VFD_FETCH[0xc].SIZE: 101720128
7430 00000200 VFD_FETCH[0xc].STRIDE: 512
7431 00800864 VFD_FETCH[0xd].BASE: 0x800864
7432 00000000 VFD_FETCH[0xd].BASE+0x1: 0
7433 10400044 VFD_FETCH[0xd].SIZE: 272629828
7434 00000010 VFD_FETCH[0xd].STRIDE: 16
7435 061b8c2a VFD_FETCH[0xe].BASE: 0x61b8c2a
7436 00000004 VFD_FETCH[0xe].BASE+0x1: 0x4
7437 01004391 VFD_FETCH[0xe].SIZE: 16794513
7438 00000440 VFD_FETCH[0xe].STRIDE: 1088
7439 02000000 VFD_FETCH[0xf].BASE: 0x2000000
7440 00000200 VFD_FETCH[0xf].BASE+0x1: 0x200
7441 41250000 VFD_FETCH[0xf].SIZE: 1092943872
7442 00000010 VFD_FETCH[0xf].STRIDE: 16
7443 01443027 VFD_FETCH[0x10].BASE: 0x1443027
7444 00001000 VFD_FETCH[0x10].BASE+0x1: 0x1000
7445 c1100240 VFD_FETCH[0x10].SIZE: 3239051840
7446 00000002 VFD_FETCH[0x10].STRIDE: 2
7447 0000b404 VFD_FETCH[0x11].BASE: 0xb404
7448 00000080 VFD_FETCH[0x11].BASE+0x1: 0x80
7449 12404000 VFD_FETCH[0x11].SIZE: 306200576
7450 00000280 VFD_FETCH[0x11].STRIDE: 640
7451 8100011c VFD_FETCH[0x12].BASE: 0x8100011c
7452 00000218 VFD_FETCH[0x12].BASE+0x1: 0x218
7453 0050b000 VFD_FETCH[0x12].SIZE: 5287936
7454 00000462 VFD_FETCH[0x12].STRIDE: 1122
7455 00004001 VFD_FETCH[0x13].BASE: 0x4001
7456 0000000c VFD_FETCH[0x13].BASE+0x1: 0xc
7457 00810880 VFD_FETCH[0x13].SIZE: 8456320
7458 00000000 VFD_FETCH[0x13].STRIDE: 0
7459 00025022 VFD_FETCH[0x14].BASE: 0x25022
7460 00000040 VFD_FETCH[0x14].BASE+0x1: 0x40
7461 015c1040 VFD_FETCH[0x14].SIZE: 22810688
7462 00000000 VFD_FETCH[0x14].STRIDE: 0
7463 7a540120 VFD_FETCH[0x15].BASE: 0x7a540120
7464 00000000 VFD_FETCH[0x15].BASE+0x1: 0
7465 02800306 VFD_FETCH[0x15].SIZE: 41943814
7466 00000024 VFD_FETCH[0x15].STRIDE: 36
7467 00040f13 VFD_FETCH[0x16].BASE: 0x40f13
7468 00000850 VFD_FETCH[0x16].BASE+0x1: 0x850
7469 00425010 VFD_FETCH[0x16].SIZE: 4345872
7470 00000408 VFD_FETCH[0x16].STRIDE: 1032
7471 04012000 VFD_FETCH[0x17].BASE: 0x4012000
7472 00004111 VFD_FETCH[0x17].BASE+0x1: 0x4111
7473 08012800 VFD_FETCH[0x17].SIZE: 134293504
7474 00000000 VFD_FETCH[0x17].STRIDE: 0
7475 00022003 VFD_FETCH[0x18].BASE: 0x22003
7476 00000000 VFD_FETCH[0x18].BASE+0x1: 0
7477 504228ac VFD_FETCH[0x18].SIZE: 1346513068
7478 00000300 VFD_FETCH[0x18].STRIDE: 768
7479 40a40080 VFD_FETCH[0x19].BASE: 0x40a40080
7480 0000c010 VFD_FETCH[0x19].BASE+0x1: 0xc010
7481 00002000 VFD_FETCH[0x19].SIZE: 8192
7482 00000101 VFD_FETCH[0x19].STRIDE: 257
7483 88248411 VFD_FETCH[0x1a].BASE: 0x88248411
7484 00000400 VFD_FETCH[0x1a].BASE+0x1: 0x400
7485 2604c030 VFD_FETCH[0x1a].SIZE: 637845552
7486 00000020 VFD_FETCH[0x1a].STRIDE: 32
7487 00000000 VFD_FETCH[0x1b].BASE: 0
7488 00000924 VFD_FETCH[0x1b].BASE+0x1: 0x924
7489 00100000 VFD_FETCH[0x1b].SIZE: 1048576
7490 00000000 VFD_FETCH[0x1b].STRIDE: 0
7491 048c1100 VFD_FETCH[0x1c].BASE: 0x48c1100
7492 00000b06 VFD_FETCH[0x1c].BASE+0x1: 0xb06
7493 00007264 VFD_FETCH[0x1c].SIZE: 29284
7494 00000c11 VFD_FETCH[0x1c].STRIDE: 3089
7495 00000120 VFD_FETCH[0x1d].BASE: 0x120
7496 00004547 VFD_FETCH[0x1d].BASE+0x1: 0x4547
7497 46000080 VFD_FETCH[0x1d].SIZE: 1174405248
7498 00000100 VFD_FETCH[0x1d].STRIDE: 256
7499 001a026c VFD_FETCH[0x1e].BASE: 0x1a026c
7500 00000545 VFD_FETCH[0x1e].BASE+0x1: 0x545
7501 22500060 VFD_FETCH[0x1e].SIZE: 575668320
7502 00000002 VFD_FETCH[0x1e].STRIDE: 2
7503 00041100 VFD_FETCH[0x1f].BASE: 0x41100
7504 00001100 VFD_FETCH[0x1f].BASE+0x1: 0x1100
7505 01810080 VFD_FETCH[0x1f].SIZE: 25231488
7506 00000020 VFD_FETCH[0x1f].STRIDE: 32
7507 00002320 VFD_DECODE[0].INSTR: { IDX = 0 | OFFSET = 0x119 | FORMAT = 0 | SWAP = WZYX }
7508 4698e051 VFD_DECODE[0].STEP_RATE: 0x4698e051
7509 00000000 VFD_DECODE[0x1].INSTR: { IDX = 0 | OFFSET = 0 | FORMAT = 0 | SWAP = WZYX }
7510 00400015 VFD_DECODE[0x1].STEP_RATE: 0x400015
7511 00002400 VFD_DECODE[0x2].INSTR: { IDX = 0 | OFFSET = 0x120 | FORMAT = 0 | SWAP = WZYX }
7512 0228c300 VFD_DECODE[0x2].STEP_RATE: 0x228c300
7513 00008040 VFD_DECODE[0x3].INSTR: { IDX = 0 | OFFSET = 0x402 | FORMAT = 0 | SWAP = WZYX }
7514 40804000 VFD_DECODE[0x3].STEP_RATE: 0x40804000
7515 00000180 VFD_DECODE[0x4].INSTR: { IDX = 0 | OFFSET = 0xc | FORMAT = 0 | SWAP = WZYX }
7516 20801028 VFD_DECODE[0x4].STEP_RATE: 0x20801028
7517 00002800 VFD_DECODE[0x5].INSTR: { IDX = 0 | OFFSET = 0x140 | FORMAT = 0 | SWAP = WZYX }
7518 50004104 VFD_DECODE[0x5].STEP_RATE: 0x50004104
7519 00000040 VFD_DECODE[0x6].INSTR: { IDX = 0 | OFFSET = 0x2 | FORMAT = 0 | SWAP = WZYX }
7520 c9708400 VFD_DECODE[0x6].STEP_RATE: 0xc9708400
7521 00008a00 VFD_DECODE[0x7].INSTR: { IDX = 0 | OFFSET = 0x450 | FORMAT = 0 | SWAP = WZYX }
7522 00000180 VFD_DECODE[0x7].STEP_RATE: 0x180
7523 00018910 VFD_DECODE[0x8].INSTR: { IDX = 16 | OFFSET = 0xc48 | FORMAT = 0 | SWAP = WZYX }
7524 00202080 VFD_DECODE[0x8].STEP_RATE: 0x202080
7525 00000200 VFD_DECODE[0x9].INSTR: { IDX = 0 | OFFSET = 0x10 | FORMAT = 0 | SWAP = WZYX }
7526 10000400 VFD_DECODE[0x9].STEP_RATE: 0x10000400
7527 00019180 VFD_DECODE[0xa].INSTR: { IDX = 0 | OFFSET = 0xc8c | FORMAT = 0 | SWAP = WZYX }
7528 00010881 VFD_DECODE[0xa].STEP_RATE: 0x10881
7529 00002200 VFD_DECODE[0xb].INSTR: { IDX = 0 | OFFSET = 0x110 | FORMAT = 0 | SWAP = WZYX }
7530 00000008 VFD_DECODE[0xb].STEP_RATE: 0x8
7531 00000090 VFD_DECODE[0xc].INSTR: { IDX = 16 | OFFSET = 0x4 | FORMAT = 0 | SWAP = WZYX }
7532 00108040 VFD_DECODE[0xc].STEP_RATE: 0x108040
7533 00002002 VFD_DECODE[0xd].INSTR: { IDX = 2 | OFFSET = 0x100 | FORMAT = 0 | SWAP = WZYX }
7534 40040004 VFD_DECODE[0xd].STEP_RATE: 0x40040004
7535 00000000 VFD_DECODE[0xe].INSTR: { IDX = 0 | OFFSET = 0 | FORMAT = 0 | SWAP = WZYX }
7536 00418202 VFD_DECODE[0xe].STEP_RATE: 0x418202
7537 00011200 VFD_DECODE[0xf].INSTR: { IDX = 0 | OFFSET = 0x890 | FORMAT = 0 | SWAP = WZYX }
7538 05000504 VFD_DECODE[0xf].STEP_RATE: 0x5000504
7539 00002002 VFD_DECODE[0x10].INSTR: { IDX = 2 | OFFSET = 0x100 | FORMAT = 0 | SWAP = WZYX }
7540 20210026 VFD_DECODE[0x10].STEP_RATE: 0x20210026
7541 00000008 VFD_DECODE[0x11].INSTR: { IDX = 8 | OFFSET = 0 | FORMAT = 0 | SWAP = WZYX }
7542 00010400 VFD_DECODE[0x11].STEP_RATE: 0x10400
7543 00009000 VFD_DECODE[0x12].INSTR: { IDX = 0 | OFFSET = 0x480 | FORMAT = 0 | SWAP = WZYX }
7544 00000000 VFD_DECODE[0x12].STEP_RATE: 0
7545 00002000 VFD_DECODE[0x13].INSTR: { IDX = 0 | OFFSET = 0x100 | FORMAT = 0 | SWAP = WZYX }
7546 10080000 VFD_DECODE[0x13].STEP_RATE: 0x10080000
7547 00001200 VFD_DECODE[0x14].INSTR: { IDX = 0 | OFFSET = 0x90 | FORMAT = 0 | SWAP = WZYX }
7548 20048020 VFD_DECODE[0x14].STEP_RATE: 0x20048020
7549 00000106 VFD_DECODE[0x15].INSTR: { IDX = 6 | OFFSET = 0x8 | FORMAT = 0 | SWAP = WZYX }
7550 0a200812 VFD_DECODE[0x15].STEP_RATE: 0xa200812
7551 00008042 VFD_DECODE[0x16].INSTR: { IDX = 2 | OFFSET = 0x402 | FORMAT = 0 | SWAP = WZYX }
7552 00140250 VFD_DECODE[0x16].STEP_RATE: 0x140250
7553 00008080 VFD_DECODE[0x17].INSTR: { IDX = 0 | OFFSET = 0x404 | FORMAT = 0 | SWAP = WZYX }
7554 120000c0 VFD_DECODE[0x17].STEP_RATE: 0x120000c0
7555 00000482 VFD_DECODE[0x18].INSTR: { IDX = 2 | OFFSET = 0x24 | FORMAT = 0 | SWAP = WZYX }
7556 00400880 VFD_DECODE[0x18].STEP_RATE: 0x400880
7557 00002000 VFD_DECODE[0x19].INSTR: { IDX = 0 | OFFSET = 0x100 | FORMAT = 0 | SWAP = WZYX }
7558 00201000 VFD_DECODE[0x19].STEP_RATE: 0x201000
7559 00000000 VFD_DECODE[0x1a].INSTR: { IDX = 0 | OFFSET = 0 | FORMAT = 0 | SWAP = WZYX }
7560 40000802 VFD_DECODE[0x1a].STEP_RATE: 0x40000802
7561 00000028 VFD_DECODE[0x1b].INSTR: { IDX = 8 | OFFSET = 0x1 | FORMAT = 0 | SWAP = WZYX }
7562 0020a120 VFD_DECODE[0x1b].STEP_RATE: 0x20a120
7563 00012480 VFD_DECODE[0x1c].INSTR: { IDX = 0 | OFFSET = 0x924 | FORMAT = 0 | SWAP = WZYX }
7564 09004200 VFD_DECODE[0x1c].STEP_RATE: 0x9004200
7565 00008080 VFD_DECODE[0x1d].INSTR: { IDX = 0 | OFFSET = 0x404 | FORMAT = 0 | SWAP = WZYX }
7566 00004004 VFD_DECODE[0x1d].STEP_RATE: 0x4004
7567 00010888 VFD_DECODE[0x1e].INSTR: { IDX = 8 | OFFSET = 0x844 | FORMAT = 0 | SWAP = WZYX }
7568 000000b4 VFD_DECODE[0x1e].STEP_RATE: 0xb4
7569 00008000 VFD_DECODE[0x1f].INSTR: { IDX = 0 | OFFSET = 0x400 | FORMAT = 0 | SWAP = WZYX }
7570 04800000 VFD_DECODE[0x1f].STEP_RATE: 0x4800000
7571 00000445 VFD_DEST_CNTL[0].INSTR: { WRITEMASK = 0x5 | REGID = r17.x }
7572 00000004 VFD_DEST_CNTL[0x1].INSTR: { WRITEMASK = 0x4 | REGID = r0.x }
7573 00000804 VFD_DEST_CNTL[0x2].INSTR: { WRITEMASK = 0x4 | REGID = r32.x }
7574 00000040 VFD_DEST_CNTL[0x3].INSTR: { WRITEMASK = 0 | REGID = r1.x }
7575 00000400 VFD_DEST_CNTL[0x4].INSTR: { WRITEMASK = 0 | REGID = r16.x }
7576 00000000 VFD_DEST_CNTL[0x5].INSTR: { WRITEMASK = 0 | REGID = r0.x }
7577 00000013 VFD_DEST_CNTL[0x6].INSTR: { WRITEMASK = 0x3 | REGID = r0.y }
7578 00000048 VFD_DEST_CNTL[0x7].INSTR: { WRITEMASK = 0x8 | REGID = r1.x }
7579 00000042 VFD_DEST_CNTL[0x8].INSTR: { WRITEMASK = 0x2 | REGID = r1.x }
7580 00000000 VFD_DEST_CNTL[0x9].INSTR: { WRITEMASK = 0 | REGID = r0.x }
7581 00000802 VFD_DEST_CNTL[0xa].INSTR: { WRITEMASK = 0x2 | REGID = r32.x }
7582 00000000 VFD_DEST_CNTL[0xb].INSTR: { WRITEMASK = 0 | REGID = r0.x }
7583 00000501 VFD_DEST_CNTL[0xc].INSTR: { WRITEMASK = 0x1 | REGID = r20.x }
7584 00000002 VFD_DEST_CNTL[0xd].INSTR: { WRITEMASK = 0x2 | REGID = r0.x }
7585 00000000 VFD_DEST_CNTL[0xe].INSTR: { WRITEMASK = 0 | REGID = r0.x }
7586 00000c80 VFD_DEST_CNTL[0xf].INSTR: { WRITEMASK = 0 | REGID = r50.x }
7587 00000405 VFD_DEST_CNTL[0x10].INSTR: { WRITEMASK = 0x5 | REGID = r16.x }
7588 00000000 VFD_DEST_CNTL[0x11].INSTR: { WRITEMASK = 0 | REGID = r0.x }
7589 00000100 VFD_DEST_CNTL[0x12].INSTR: { WRITEMASK = 0 | REGID = r4.x }
7590 00000000 VFD_DEST_CNTL[0x13].INSTR: { WRITEMASK = 0 | REGID = r0.x }
7591 00000000 VFD_DEST_CNTL[0x14].INSTR: { WRITEMASK = 0 | REGID = r0.x }
7592 00000000 VFD_DEST_CNTL[0x15].INSTR: { WRITEMASK = 0 | REGID = r0.x }
7593 00000800 VFD_DEST_CNTL[0x16].INSTR: { WRITEMASK = 0 | REGID = r32.x }
7594 00000040 VFD_DEST_CNTL[0x17].INSTR: { WRITEMASK = 0 | REGID = r1.x }
7595 00000000 VFD_DEST_CNTL[0x18].INSTR: { WRITEMASK = 0 | REGID = r0.x }
7596 00000000 VFD_DEST_CNTL[0x19].INSTR: { WRITEMASK = 0 | REGID = r0.x }
7597 00000200 VFD_DEST_CNTL[0x1a].INSTR: { WRITEMASK = 0 | REGID = r8.x }
7598 00000060 VFD_DEST_CNTL[0x1b].INSTR: { WRITEMASK = 0 | REGID = r1.z }
7599 000005c4 VFD_DEST_CNTL[0x1c].INSTR: { WRITEMASK = 0x4 | REGID = r23.x }
7600 00000480 VFD_DEST_CNTL[0x1d].INSTR: { WRITEMASK = 0 | REGID = r18.x }
7601 00000000 VFD_DEST_CNTL[0x1e].INSTR: { WRITEMASK = 0 | REGID = r0.x }
7602 00000400 VFD_DEST_CNTL[0x1f].INSTR: { WRITEMASK = 0 | REGID = r16.x }
7603 00000001 SP_UNKNOWN_A0F8: 0x1
7604 - context: 1
7605 00000000 VPC_UNKNOWN_9300: 0
7606 00ff0001 VPC_VS_PACK: { STRIDE_IN_VPC = 1 | POSITIONLOC = 0 | PSIZELOC = 255 | EXTRAPOS = 0 }
7607 00ff0001 VPC_GS_PACK: { STRIDE_IN_VPC = 1 | POSITIONLOC = 0 | PSIZELOC = 255 | EXTRAPOS = 0 }
7608 00ff0001 VPC_DS_PACK: { STRIDE_IN_VPC = 1 | POSITIONLOC = 0 | PSIZELOC = 255 | EXTRAPOS = 0 }
7609 ff00ff00 VPC_CNTL_0: { NUMNONPOSVAR = 0 | PRIMIDLOC = 255 | VIEWIDLOC = 255 }
7610 00000000 VPC_SO_BUF_CNTL: { 0 }
7611 00000000 VPC_SO_DISABLE: { 0 }
7612 00000000 PC_TESS_NUM_VERTEX: 0
7613 00000000 PC_HS_INPUT_SIZE: { SIZE = 0 }
7614 00000000 PC_TESS_CNTL: { SPACING = TESS_EQUAL | OUTPUT = TESS_POINTS }
7615 ffffffff PC_RESTART_INDEX: 4294967295
7616 0000001f PC_MODE_CNTL: 0x1f
7617 00000001 PC_UNKNOWN_9805: 0x1
7618 00000000 PC_PRIMID_PASSTHRU: FALSE
7619 00000002 PC_PRIMITIVE_CNTL_0: { PROVOKING_VTX_LAST }
7620 00000001 PC_VS_OUT_CNTL: { STRIDE_IN_VPC = 1 | CLIP_MASK = 0 }
7621 00000001 PC_GS_OUT_CNTL: { STRIDE_IN_VPC = 1 | CLIP_MASK = 0 }
7622 00000000 PC_PRIMITIVE_CNTL_3: 0
7623 00000001 PC_DS_OUT_CNTL: { STRIDE_IN_VPC = 1 | CLIP_MASK = 0 }
7624 00000000 PC_PRIMITIVE_CNTL_5: { GS_VERTICES_OUT = 0 | GS_INVOCATIONS = 0 | GS_OUTPUT = TESS_POINTS }
7625 00000000 PC_PRIMITIVE_CNTL_6: { STRIDE_IN_VPC = 0 }
7626 00000000 PC_MULTIVIEW_CNTL: { VIEWS = 0 }
7627 00000000 VFD_CONTROL_0: { FETCH_CNT = 0 | DECODE_CNT = 0 }
7628 fcfcfcfc VFD_CONTROL_1: { REGID4VTX = r63.x | REGID4INST = r63.x | REGID4PRIMID = r63.x | REGID4VIEWID = r63.x }
7629 0000fcfc VFD_CONTROL_2: { REGID_HSPATCHID = r63.x | REGID_INVOCATIONID = r63.x }
7630 fcfcfcfc VFD_CONTROL_3: { REGID_DSPATCHID = r63.x | REGID_TESSX = r63.x | REGID_TESSY = r63.x | 0xfc }
7631 000000fc VFD_CONTROL_4: 0xfc
7632 0000fcfc VFD_CONTROL_5: { REGID_GSHEADER = r63.x | 0xfc00 }
7633 00000000 VFD_CONTROL_6: { 0 }
7634 00000000 VFD_MODE_CNTL: { 0 }
7635 00000000 VFD_MULTIVIEW_CNTL: { VIEWS = 0 }
7636 00000000 VFD_ADD_OFFSET: { 0 }
7637 00000000 VFD_INDEX_OFFSET: 0
7638 00000000 VFD_INSTANCE_START_OFFSET: 0
7639 1618e045 VFD_FETCH[0].BASE: 0x1618e045
7640 00005505 VFD_FETCH[0].BASE+0x1: 0x5505
7641 00840800 VFD_FETCH[0].SIZE: 8652800
7642 00000051 VFD_FETCH[0].STRIDE: 81
7643 01100401 VFD_FETCH[0x1].BASE: 0x1100401
7644 00000040 VFD_FETCH[0x1].BASE+0x1: 0x40
7645 04010402 VFD_FETCH[0x1].SIZE: 67175426
7646 00000000 VFD_FETCH[0x1].STRIDE: 0
7647 40080120 VFD_FETCH[0x2].BASE: 0x40080120
7648 00004000 VFD_FETCH[0x2].BASE+0x1: 0x4000
7649 00140100 VFD_FETCH[0x2].SIZE: 1310976
7650 00000002 VFD_FETCH[0x2].STRIDE: 2
7651 00001090 VFD_FETCH[0x3].BASE: 0x1090
7652 00000200 VFD_FETCH[0x3].BASE+0x1: 0x200
7653 11040100 VFD_FETCH[0x3].SIZE: 285475072
7654 00000000 VFD_FETCH[0x3].STRIDE: 0
7655 04000900 VFD_FETCH[0x4].BASE: 0x4000900
7656 00000451 VFD_FETCH[0x4].BASE+0x1: 0x451
7657 01000806 VFD_FETCH[0x4].SIZE: 16779270
7658 00000201 VFD_FETCH[0x4].STRIDE: 513
7659 00004004 VFD_FETCH[0x5].BASE: 0x4004
7660 00000000 VFD_FETCH[0x5].BASE+0x1: 0
7661 00000080 VFD_FETCH[0x5].SIZE: 128
7662 00000000 VFD_FETCH[0x5].STRIDE: 0
7663 14008030 VFD_FETCH[0x6].BASE: 0x14008030
7664 0000e303 VFD_FETCH[0x6].BASE+0x1: 0xe303
7665 10020400 VFD_FETCH[0x6].SIZE: 268567552
7666 00000088 VFD_FETCH[0x6].STRIDE: 136
7667 02000030 VFD_FETCH[0x7].BASE: 0x2000030
7668 00001140 VFD_FETCH[0x7].BASE+0x1: 0x1140
7669 40400000 VFD_FETCH[0x7].SIZE: 1077936128
7670 00000041 VFD_FETCH[0x7].STRIDE: 65
7671 c0100100 VFD_FETCH[0x8].BASE: 0xc0100100
7672 00001244 VFD_FETCH[0x8].BASE+0x1: 0x1244
7673 00050004 VFD_FETCH[0x8].SIZE: 327684
7674 00000040 VFD_FETCH[0x8].STRIDE: 64
7675 00044000 VFD_FETCH[0x9].BASE: 0x44000
7676 00000000 VFD_FETCH[0x9].BASE+0x1: 0
7677 80000020 VFD_FETCH[0x9].SIZE: 2147483680
7678 00000008 VFD_FETCH[0x9].STRIDE: 8
7679 10006004 VFD_FETCH[0xa].BASE: 0x10006004
7680 0000222a VFD_FETCH[0xa].BASE+0x1: 0x222a
7681 02042020 VFD_FETCH[0xa].SIZE: 33824800
7682 00000681 VFD_FETCH[0xa].STRIDE: 1665
7683 02101020 VFD_FETCH[0xb].BASE: 0x2101020
7684 00000080 VFD_FETCH[0xb].BASE+0x1: 0x80
7685 00040020 VFD_FETCH[0xb].SIZE: 262176
7686 00000050 VFD_FETCH[0xb].STRIDE: 80
7687 0a436062 VFD_FETCH[0xc].BASE: 0xa436062
7688 00003041 VFD_FETCH[0xc].BASE+0x1: 0x3041
7689 06102040 VFD_FETCH[0xc].SIZE: 101720128
7690 00000200 VFD_FETCH[0xc].STRIDE: 512
7691 00800864 VFD_FETCH[0xd].BASE: 0x800864
7692 00000000 VFD_FETCH[0xd].BASE+0x1: 0
7693 10400044 VFD_FETCH[0xd].SIZE: 272629828
7694 00000010 VFD_FETCH[0xd].STRIDE: 16
7695 061b8c2a VFD_FETCH[0xe].BASE: 0x61b8c2a
7696 00000004 VFD_FETCH[0xe].BASE+0x1: 0x4
7697 01004391 VFD_FETCH[0xe].SIZE: 16794513
7698 00000440 VFD_FETCH[0xe].STRIDE: 1088
7699 02000000 VFD_FETCH[0xf].BASE: 0x2000000
7700 00000200 VFD_FETCH[0xf].BASE+0x1: 0x200
7701 41250000 VFD_FETCH[0xf].SIZE: 1092943872
7702 00000010 VFD_FETCH[0xf].STRIDE: 16
7703 01443027 VFD_FETCH[0x10].BASE: 0x1443027
7704 00001000 VFD_FETCH[0x10].BASE+0x1: 0x1000
7705 c1100240 VFD_FETCH[0x10].SIZE: 3239051840
7706 00000002 VFD_FETCH[0x10].STRIDE: 2
7707 0000b404 VFD_FETCH[0x11].BASE: 0xb404
7708 00000080 VFD_FETCH[0x11].BASE+0x1: 0x80
7709 12404000 VFD_FETCH[0x11].SIZE: 306200576
7710 00000280 VFD_FETCH[0x11].STRIDE: 640
7711 8100011c VFD_FETCH[0x12].BASE: 0x8100011c
7712 00000218 VFD_FETCH[0x12].BASE+0x1: 0x218
7713 0050b000 VFD_FETCH[0x12].SIZE: 5287936
7714 00000462 VFD_FETCH[0x12].STRIDE: 1122
7715 00004001 VFD_FETCH[0x13].BASE: 0x4001
7716 0000000c VFD_FETCH[0x13].BASE+0x1: 0xc
7717 00810880 VFD_FETCH[0x13].SIZE: 8456320
7718 00000000 VFD_FETCH[0x13].STRIDE: 0
7719 00025022 VFD_FETCH[0x14].BASE: 0x25022
7720 00000040 VFD_FETCH[0x14].BASE+0x1: 0x40
7721 015c1040 VFD_FETCH[0x14].SIZE: 22810688
7722 00000000 VFD_FETCH[0x14].STRIDE: 0
7723 7a540120 VFD_FETCH[0x15].BASE: 0x7a540120
7724 00000000 VFD_FETCH[0x15].BASE+0x1: 0
7725 02800306 VFD_FETCH[0x15].SIZE: 41943814
7726 00000024 VFD_FETCH[0x15].STRIDE: 36
7727 00040f13 VFD_FETCH[0x16].BASE: 0x40f13
7728 00000850 VFD_FETCH[0x16].BASE+0x1: 0x850
7729 00425010 VFD_FETCH[0x16].SIZE: 4345872
7730 00000408 VFD_FETCH[0x16].STRIDE: 1032
7731 04012000 VFD_FETCH[0x17].BASE: 0x4012000
7732 00004111 VFD_FETCH[0x17].BASE+0x1: 0x4111
7733 08012800 VFD_FETCH[0x17].SIZE: 134293504
7734 00000000 VFD_FETCH[0x17].STRIDE: 0
7735 00022003 VFD_FETCH[0x18].BASE: 0x22003
7736 00000000 VFD_FETCH[0x18].BASE+0x1: 0
7737 504228ac VFD_FETCH[0x18].SIZE: 1346513068
7738 00000300 VFD_FETCH[0x18].STRIDE: 768
7739 40a40080 VFD_FETCH[0x19].BASE: 0x40a40080
7740 0000c010 VFD_FETCH[0x19].BASE+0x1: 0xc010
7741 00002000 VFD_FETCH[0x19].SIZE: 8192
7742 00000101 VFD_FETCH[0x19].STRIDE: 257
7743 88248411 VFD_FETCH[0x1a].BASE: 0x88248411
7744 00000400 VFD_FETCH[0x1a].BASE+0x1: 0x400
7745 2604c030 VFD_FETCH[0x1a].SIZE: 637845552
7746 00000020 VFD_FETCH[0x1a].STRIDE: 32
7747 00000000 VFD_FETCH[0x1b].BASE: 0
7748 00000924 VFD_FETCH[0x1b].BASE+0x1: 0x924
7749 00100000 VFD_FETCH[0x1b].SIZE: 1048576
7750 00000000 VFD_FETCH[0x1b].STRIDE: 0
7751 048c1100 VFD_FETCH[0x1c].BASE: 0x48c1100
7752 00000b06 VFD_FETCH[0x1c].BASE+0x1: 0xb06
7753 00007264 VFD_FETCH[0x1c].SIZE: 29284
7754 00000c11 VFD_FETCH[0x1c].STRIDE: 3089
7755 00000120 VFD_FETCH[0x1d].BASE: 0x120
7756 00004547 VFD_FETCH[0x1d].BASE+0x1: 0x4547
7757 46000080 VFD_FETCH[0x1d].SIZE: 1174405248
7758 00000100 VFD_FETCH[0x1d].STRIDE: 256
7759 001a026c VFD_FETCH[0x1e].BASE: 0x1a026c
7760 00000545 VFD_FETCH[0x1e].BASE+0x1: 0x545
7761 22500060 VFD_FETCH[0x1e].SIZE: 575668320
7762 00000002 VFD_FETCH[0x1e].STRIDE: 2
7763 00041100 VFD_FETCH[0x1f].BASE: 0x41100
7764 00001100 VFD_FETCH[0x1f].BASE+0x1: 0x1100
7765 01810080 VFD_FETCH[0x1f].SIZE: 25231488
7766 00000020 VFD_FETCH[0x1f].STRIDE: 32
7767 00002320 VFD_DECODE[0].INSTR: { IDX = 0 | OFFSET = 0x119 | FORMAT = 0 | SWAP = WZYX }
7768 4698e051 VFD_DECODE[0].STEP_RATE: 0x4698e051
7769 00000000 VFD_DECODE[0x1].INSTR: { IDX = 0 | OFFSET = 0 | FORMAT = 0 | SWAP = WZYX }
7770 00400015 VFD_DECODE[0x1].STEP_RATE: 0x400015
7771 00002400 VFD_DECODE[0x2].INSTR: { IDX = 0 | OFFSET = 0x120 | FORMAT = 0 | SWAP = WZYX }
7772 0228c300 VFD_DECODE[0x2].STEP_RATE: 0x228c300
7773 00008040 VFD_DECODE[0x3].INSTR: { IDX = 0 | OFFSET = 0x402 | FORMAT = 0 | SWAP = WZYX }
7774 40804000 VFD_DECODE[0x3].STEP_RATE: 0x40804000
7775 00000180 VFD_DECODE[0x4].INSTR: { IDX = 0 | OFFSET = 0xc | FORMAT = 0 | SWAP = WZYX }
7776 20801028 VFD_DECODE[0x4].STEP_RATE: 0x20801028
7777 00002800 VFD_DECODE[0x5].INSTR: { IDX = 0 | OFFSET = 0x140 | FORMAT = 0 | SWAP = WZYX }
7778 50004104 VFD_DECODE[0x5].STEP_RATE: 0x50004104
7779 00000040 VFD_DECODE[0x6].INSTR: { IDX = 0 | OFFSET = 0x2 | FORMAT = 0 | SWAP = WZYX }
7780 c9708400 VFD_DECODE[0x6].STEP_RATE: 0xc9708400
7781 00008a00 VFD_DECODE[0x7].INSTR: { IDX = 0 | OFFSET = 0x450 | FORMAT = 0 | SWAP = WZYX }
7782 00000180 VFD_DECODE[0x7].STEP_RATE: 0x180
7783 00018910 VFD_DECODE[0x8].INSTR: { IDX = 16 | OFFSET = 0xc48 | FORMAT = 0 | SWAP = WZYX }
7784 00202080 VFD_DECODE[0x8].STEP_RATE: 0x202080
7785 00000200 VFD_DECODE[0x9].INSTR: { IDX = 0 | OFFSET = 0x10 | FORMAT = 0 | SWAP = WZYX }
7786 10000400 VFD_DECODE[0x9].STEP_RATE: 0x10000400
7787 00019180 VFD_DECODE[0xa].INSTR: { IDX = 0 | OFFSET = 0xc8c | FORMAT = 0 | SWAP = WZYX }
7788 00010881 VFD_DECODE[0xa].STEP_RATE: 0x10881
7789 00002200 VFD_DECODE[0xb].INSTR: { IDX = 0 | OFFSET = 0x110 | FORMAT = 0 | SWAP = WZYX }
7790 00000008 VFD_DECODE[0xb].STEP_RATE: 0x8
7791 00000090 VFD_DECODE[0xc].INSTR: { IDX = 16 | OFFSET = 0x4 | FORMAT = 0 | SWAP = WZYX }
7792 00108040 VFD_DECODE[0xc].STEP_RATE: 0x108040
7793 00002002 VFD_DECODE[0xd].INSTR: { IDX = 2 | OFFSET = 0x100 | FORMAT = 0 | SWAP = WZYX }
7794 40040004 VFD_DECODE[0xd].STEP_RATE: 0x40040004
7795 00000000 VFD_DECODE[0xe].INSTR: { IDX = 0 | OFFSET = 0 | FORMAT = 0 | SWAP = WZYX }
7796 00418202 VFD_DECODE[0xe].STEP_RATE: 0x418202
7797 00011200 VFD_DECODE[0xf].INSTR: { IDX = 0 | OFFSET = 0x890 | FORMAT = 0 | SWAP = WZYX }
7798 05000504 VFD_DECODE[0xf].STEP_RATE: 0x5000504
7799 00002002 VFD_DECODE[0x10].INSTR: { IDX = 2 | OFFSET = 0x100 | FORMAT = 0 | SWAP = WZYX }
7800 20210026 VFD_DECODE[0x10].STEP_RATE: 0x20210026
7801 00000008 VFD_DECODE[0x11].INSTR: { IDX = 8 | OFFSET = 0 | FORMAT = 0 | SWAP = WZYX }
7802 00010400 VFD_DECODE[0x11].STEP_RATE: 0x10400
7803 00009000 VFD_DECODE[0x12].INSTR: { IDX = 0 | OFFSET = 0x480 | FORMAT = 0 | SWAP = WZYX }
7804 00000000 VFD_DECODE[0x12].STEP_RATE: 0
7805 00002000 VFD_DECODE[0x13].INSTR: { IDX = 0 | OFFSET = 0x100 | FORMAT = 0 | SWAP = WZYX }
7806 10080000 VFD_DECODE[0x13].STEP_RATE: 0x10080000
7807 00001200 VFD_DECODE[0x14].INSTR: { IDX = 0 | OFFSET = 0x90 | FORMAT = 0 | SWAP = WZYX }
7808 20048020 VFD_DECODE[0x14].STEP_RATE: 0x20048020
7809 00000106 VFD_DECODE[0x15].INSTR: { IDX = 6 | OFFSET = 0x8 | FORMAT = 0 | SWAP = WZYX }
7810 0a200812 VFD_DECODE[0x15].STEP_RATE: 0xa200812
7811 00008042 VFD_DECODE[0x16].INSTR: { IDX = 2 | OFFSET = 0x402 | FORMAT = 0 | SWAP = WZYX }
7812 00140250 VFD_DECODE[0x16].STEP_RATE: 0x140250
7813 00008080 VFD_DECODE[0x17].INSTR: { IDX = 0 | OFFSET = 0x404 | FORMAT = 0 | SWAP = WZYX }
7814 120000c0 VFD_DECODE[0x17].STEP_RATE: 0x120000c0
7815 00000482 VFD_DECODE[0x18].INSTR: { IDX = 2 | OFFSET = 0x24 | FORMAT = 0 | SWAP = WZYX }
7816 00400880 VFD_DECODE[0x18].STEP_RATE: 0x400880
7817 00002000 VFD_DECODE[0x19].INSTR: { IDX = 0 | OFFSET = 0x100 | FORMAT = 0 | SWAP = WZYX }
7818 00201000 VFD_DECODE[0x19].STEP_RATE: 0x201000
7819 00000000 VFD_DECODE[0x1a].INSTR: { IDX = 0 | OFFSET = 0 | FORMAT = 0 | SWAP = WZYX }
7820 40000802 VFD_DECODE[0x1a].STEP_RATE: 0x40000802
7821 00000028 VFD_DECODE[0x1b].INSTR: { IDX = 8 | OFFSET = 0x1 | FORMAT = 0 | SWAP = WZYX }
7822 0020a120 VFD_DECODE[0x1b].STEP_RATE: 0x20a120
7823 00012480 VFD_DECODE[0x1c].INSTR: { IDX = 0 | OFFSET = 0x924 | FORMAT = 0 | SWAP = WZYX }
7824 09004200 VFD_DECODE[0x1c].STEP_RATE: 0x9004200
7825 00008080 VFD_DECODE[0x1d].INSTR: { IDX = 0 | OFFSET = 0x404 | FORMAT = 0 | SWAP = WZYX }
7826 00004004 VFD_DECODE[0x1d].STEP_RATE: 0x4004
7827 00010888 VFD_DECODE[0x1e].INSTR: { IDX = 8 | OFFSET = 0x844 | FORMAT = 0 | SWAP = WZYX }
7828 000000b4 VFD_DECODE[0x1e].STEP_RATE: 0xb4
7829 00008000 VFD_DECODE[0x1f].INSTR: { IDX = 0 | OFFSET = 0x400 | FORMAT = 0 | SWAP = WZYX }
7830 04800000 VFD_DECODE[0x1f].STEP_RATE: 0x4800000
7831 00000445 VFD_DEST_CNTL[0].INSTR: { WRITEMASK = 0x5 | REGID = r17.x }
7832 00000004 VFD_DEST_CNTL[0x1].INSTR: { WRITEMASK = 0x4 | REGID = r0.x }
7833 00000804 VFD_DEST_CNTL[0x2].INSTR: { WRITEMASK = 0x4 | REGID = r32.x }
7834 00000040 VFD_DEST_CNTL[0x3].INSTR: { WRITEMASK = 0 | REGID = r1.x }
7835 00000400 VFD_DEST_CNTL[0x4].INSTR: { WRITEMASK = 0 | REGID = r16.x }
7836 00000000 VFD_DEST_CNTL[0x5].INSTR: { WRITEMASK = 0 | REGID = r0.x }
7837 00000013 VFD_DEST_CNTL[0x6].INSTR: { WRITEMASK = 0x3 | REGID = r0.y }
7838 00000048 VFD_DEST_CNTL[0x7].INSTR: { WRITEMASK = 0x8 | REGID = r1.x }
7839 00000042 VFD_DEST_CNTL[0x8].INSTR: { WRITEMASK = 0x2 | REGID = r1.x }
7840 00000000 VFD_DEST_CNTL[0x9].INSTR: { WRITEMASK = 0 | REGID = r0.x }
7841 00000802 VFD_DEST_CNTL[0xa].INSTR: { WRITEMASK = 0x2 | REGID = r32.x }
7842 00000000 VFD_DEST_CNTL[0xb].INSTR: { WRITEMASK = 0 | REGID = r0.x }
7843 00000501 VFD_DEST_CNTL[0xc].INSTR: { WRITEMASK = 0x1 | REGID = r20.x }
7844 00000002 VFD_DEST_CNTL[0xd].INSTR: { WRITEMASK = 0x2 | REGID = r0.x }
7845 00000000 VFD_DEST_CNTL[0xe].INSTR: { WRITEMASK = 0 | REGID = r0.x }
7846 00000c80 VFD_DEST_CNTL[0xf].INSTR: { WRITEMASK = 0 | REGID = r50.x }
7847 00000405 VFD_DEST_CNTL[0x10].INSTR: { WRITEMASK = 0x5 | REGID = r16.x }
7848 00000000 VFD_DEST_CNTL[0x11].INSTR: { WRITEMASK = 0 | REGID = r0.x }
7849 00000100 VFD_DEST_CNTL[0x12].INSTR: { WRITEMASK = 0 | REGID = r4.x }
7850 00000000 VFD_DEST_CNTL[0x13].INSTR: { WRITEMASK = 0 | REGID = r0.x }
7851 00000000 VFD_DEST_CNTL[0x14].INSTR: { WRITEMASK = 0 | REGID = r0.x }
7852 00000000 VFD_DEST_CNTL[0x15].INSTR: { WRITEMASK = 0 | REGID = r0.x }
7853 00000800 VFD_DEST_CNTL[0x16].INSTR: { WRITEMASK = 0 | REGID = r32.x }
7854 00000040 VFD_DEST_CNTL[0x17].INSTR: { WRITEMASK = 0 | REGID = r1.x }
7855 00000000 VFD_DEST_CNTL[0x18].INSTR: { WRITEMASK = 0 | REGID = r0.x }
7856 00000000 VFD_DEST_CNTL[0x19].INSTR: { WRITEMASK = 0 | REGID = r0.x }
7857 00000200 VFD_DEST_CNTL[0x1a].INSTR: { WRITEMASK = 0 | REGID = r8.x }
7858 00000060 VFD_DEST_CNTL[0x1b].INSTR: { WRITEMASK = 0 | REGID = r1.z }
7859 000005c4 VFD_DEST_CNTL[0x1c].INSTR: { WRITEMASK = 0x4 | REGID = r23.x }
7860 00000480 VFD_DEST_CNTL[0x1d].INSTR: { WRITEMASK = 0 | REGID = r18.x }
7861 00000000 VFD_DEST_CNTL[0x1e].INSTR: { WRITEMASK = 0 | REGID = r0.x }
7862 00000400 VFD_DEST_CNTL[0x1f].INSTR: { WRITEMASK = 0 | REGID = r16.x }
7863 00000001 SP_UNKNOWN_A0F8: 0x1
7864 - cluster-name: CLUSTER_PC_VS
7865 - context: 0
7866 000000ff VPC_UNKNOWN_9100: 0xff
7867 00ffff00 VPC_VS_CLIP_CNTL: { CLIP_MASK = 0 | CLIP_DIST_03_LOC = 255 | CLIP_DIST_47_LOC = 255 }
7868 00ffff00 VPC_GS_CLIP_CNTL: { CLIP_MASK = 0 | CLIP_DIST_03_LOC = 255 | CLIP_DIST_47_LOC = 255 }
7869 00ffff00 VPC_DS_CLIP_CNTL: { CLIP_MASK = 0 | CLIP_DIST_03_LOC = 255 | CLIP_DIST_47_LOC = 255 }
7870 0000ffff VPC_VS_LAYER_CNTL: { LAYERLOC = 255 | VIEWLOC = 255 }
7871 0000ffff VPC_GS_LAYER_CNTL: { LAYERLOC = 255 | VIEWLOC = 255 }
7872 0000ffff VPC_DS_LAYER_CNTL: { LAYERLOC = 255 | VIEWLOC = 255 }
7873 00000000 VPC_UNKNOWN_9107: 0
7874 00000003 VPC_POLYGON_MODE: { MODE = POLYMODE6_TRIANGLES }
7875 00000000 VPC_UNKNOWN_9300: 0
7876 00ff0001 VPC_VS_PACK: { STRIDE_IN_VPC = 1 | POSITIONLOC = 0 | PSIZELOC = 255 | EXTRAPOS = 0 }
7877 00ff0001 VPC_GS_PACK: { STRIDE_IN_VPC = 1 | POSITIONLOC = 0 | PSIZELOC = 255 | EXTRAPOS = 0 }
7878 00ff0001 VPC_DS_PACK: { STRIDE_IN_VPC = 1 | POSITIONLOC = 0 | PSIZELOC = 255 | EXTRAPOS = 0 }
7879 ff00ff00 VPC_CNTL_0: { NUMNONPOSVAR = 0 | PRIMIDLOC = 255 | VIEWIDLOC = 255 }
7880 00000000 VPC_SO_BUF_CNTL: { 0 }
7881 00000000 VPC_SO_DISABLE: { 0 }
7882 00000000 PC_UNKNOWN_9980: 0
7883 00000003 PC_POLYGON_MODE: { MODE = POLYMODE6_TRIANGLES }
7884 00000002 PC_PRIMITIVE_CNTL_0: { PROVOKING_VTX_LAST }
7885 00000001 PC_VS_OUT_CNTL: { STRIDE_IN_VPC = 1 | CLIP_MASK = 0 }
7886 00000001 PC_GS_OUT_CNTL: { STRIDE_IN_VPC = 1 | CLIP_MASK = 0 }
7887 00000000 PC_PRIMITIVE_CNTL_3: 0
7888 00000001 PC_DS_OUT_CNTL: { STRIDE_IN_VPC = 1 | CLIP_MASK = 0 }
7889 00000000 PC_PRIMITIVE_CNTL_5: { GS_VERTICES_OUT = 0 | GS_INVOCATIONS = 0 | GS_OUTPUT = TESS_POINTS }
7890 00000000 PC_PRIMITIVE_CNTL_6: { STRIDE_IN_VPC = 0 }
7891 00000000 PC_MULTIVIEW_CNTL: { VIEWS = 0 }
7892 - context: 1
7893 000000ff VPC_UNKNOWN_9100: 0xff
7894 00ffff00 VPC_VS_CLIP_CNTL: { CLIP_MASK = 0 | CLIP_DIST_03_LOC = 255 | CLIP_DIST_47_LOC = 255 }
7895 00ffff00 VPC_GS_CLIP_CNTL: { CLIP_MASK = 0 | CLIP_DIST_03_LOC = 255 | CLIP_DIST_47_LOC = 255 }
7896 00ffff00 VPC_DS_CLIP_CNTL: { CLIP_MASK = 0 | CLIP_DIST_03_LOC = 255 | CLIP_DIST_47_LOC = 255 }
7897 0000ffff VPC_VS_LAYER_CNTL: { LAYERLOC = 255 | VIEWLOC = 255 }
7898 0000ffff VPC_GS_LAYER_CNTL: { LAYERLOC = 255 | VIEWLOC = 255 }
7899 0000ffff VPC_DS_LAYER_CNTL: { LAYERLOC = 255 | VIEWLOC = 255 }
7900 00000000 VPC_UNKNOWN_9107: 0
7901 00000003 VPC_POLYGON_MODE: { MODE = POLYMODE6_TRIANGLES }
7902 00000000 VPC_UNKNOWN_9300: 0
7903 00ff0001 VPC_VS_PACK: { STRIDE_IN_VPC = 1 | POSITIONLOC = 0 | PSIZELOC = 255 | EXTRAPOS = 0 }
7904 00ff0001 VPC_GS_PACK: { STRIDE_IN_VPC = 1 | POSITIONLOC = 0 | PSIZELOC = 255 | EXTRAPOS = 0 }
7905 00ff0001 VPC_DS_PACK: { STRIDE_IN_VPC = 1 | POSITIONLOC = 0 | PSIZELOC = 255 | EXTRAPOS = 0 }
7906 ff00ff00 VPC_CNTL_0: { NUMNONPOSVAR = 0 | PRIMIDLOC = 255 | VIEWIDLOC = 255 }
7907 00000000 VPC_SO_BUF_CNTL: { 0 }
7908 00000000 VPC_SO_DISABLE: { 0 }
7909 00000000 PC_UNKNOWN_9980: 0
7910 00000003 PC_POLYGON_MODE: { MODE = POLYMODE6_TRIANGLES }
7911 00000002 PC_PRIMITIVE_CNTL_0: { PROVOKING_VTX_LAST }
7912 00000001 PC_VS_OUT_CNTL: { STRIDE_IN_VPC = 1 | CLIP_MASK = 0 }
7913 00000001 PC_GS_OUT_CNTL: { STRIDE_IN_VPC = 1 | CLIP_MASK = 0 }
7914 00000000 PC_PRIMITIVE_CNTL_3: 0
7915 00000001 PC_DS_OUT_CNTL: { STRIDE_IN_VPC = 1 | CLIP_MASK = 0 }
7916 00000000 PC_PRIMITIVE_CNTL_5: { GS_VERTICES_OUT = 0 | GS_INVOCATIONS = 0 | GS_OUTPUT = TESS_POINTS }
7917 00000000 PC_PRIMITIVE_CNTL_6: { STRIDE_IN_VPC = 0 }
7918 00000000 PC_MULTIVIEW_CNTL: { VIEWS = 0 }
7919 - cluster-name: CLUSTER_SP_VS
7920 - context: 0
7921 00000140 HLSQ_VS_CNTL: { CONSTLEN = 256 | ENABLED }
7922 00000000 HLSQ_HS_CNTL: { CONSTLEN = 0 }
7923 00000000 HLSQ_DS_CNTL: { CONSTLEN = 0 }
7924 00000100 HLSQ_GS_CNTL: { CONSTLEN = 0 | ENABLED }
7925 40204000 HLSQ_LOAD_STATE_GEOM_CMD: 0x40204000
7926 00000000 HLSQ_LOAD_STATE_GEOM_EXT_SRC_ADDR: 0
7927 00000000 HLSQ_LOAD_STATE_GEOM_EXT_SRC_ADDR+0x1: 0
7928 - context: 1
7929 00000140 HLSQ_VS_CNTL: { CONSTLEN = 256 | ENABLED }
7930 00000000 HLSQ_HS_CNTL: { CONSTLEN = 0 }
7931 00000000 HLSQ_DS_CNTL: { CONSTLEN = 0 }
7932 00000100 HLSQ_GS_CNTL: { CONSTLEN = 0 | ENABLED }
7933 40204000 HLSQ_LOAD_STATE_GEOM_CMD: 0x40204000
7934 00000000 HLSQ_LOAD_STATE_GEOM_EXT_SRC_ADDR: 0
7935 00000000 HLSQ_LOAD_STATE_GEOM_EXT_SRC_ADDR+0x1: 0
7936 - cluster-name: CLUSTER_SP_VS
7937 - context: 0
7938 00000000 SP_VS_CTRL_REG0: { HALFREGFOOTPRINT = 0 | FULLREGFOOTPRINT = 0 | BRANCHSTACK = 0 | THREADSIZE = TWO_QUADS }
7939 00000000 SP_VS_BRANCH_COND: 0
7940 00000000 SP_VS_PRIMITIVE_CNTL: { OUT = 0 }
7941 00000000 SP_VS_OUT[0].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
7942 00000000 SP_VS_OUT[0x1].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
7943 00000000 SP_VS_OUT[0x2].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
7944 00000000 SP_VS_OUT[0x3].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
7945 00000000 SP_VS_OUT[0x4].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
7946 00000000 SP_VS_OUT[0x5].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
7947 00000000 SP_VS_OUT[0x6].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
7948 00000000 SP_VS_OUT[0x7].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
7949 00000000 SP_VS_OUT[0x8].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
7950 00000000 SP_VS_OUT[0x9].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
7951 00000000 SP_VS_OUT[0xa].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
7952 00000000 SP_VS_OUT[0xb].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
7953 00000000 SP_VS_OUT[0xc].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
7954 00000000 SP_VS_OUT[0xd].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
7955 00000000 SP_VS_OUT[0xe].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
7956 00000000 SP_VS_OUT[0xf].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
7957 00000000 SP_VS_VPC_DST[0].REG: { OUTLOC0 = 0 | OUTLOC1 = 0 | OUTLOC2 = 0 | OUTLOC3 = 0 }
7958 00000000 SP_VS_VPC_DST[0x1].REG: { OUTLOC0 = 0 | OUTLOC1 = 0 | OUTLOC2 = 0 | OUTLOC3 = 0 }
7959 00000000 SP_VS_VPC_DST[0x2].REG: { OUTLOC0 = 0 | OUTLOC1 = 0 | OUTLOC2 = 0 | OUTLOC3 = 0 }
7960 00000000 SP_VS_VPC_DST[0x3].REG: { OUTLOC0 = 0 | OUTLOC1 = 0 | OUTLOC2 = 0 | OUTLOC3 = 0 }
7961 00000000 SP_VS_VPC_DST[0x4].REG: { OUTLOC0 = 0 | OUTLOC1 = 0 | OUTLOC2 = 0 | OUTLOC3 = 0 }
7962 00000000 SP_VS_VPC_DST[0x5].REG: { OUTLOC0 = 0 | OUTLOC1 = 0 | OUTLOC2 = 0 | OUTLOC3 = 0 }
7963 00000000 SP_VS_VPC_DST[0x6].REG: { OUTLOC0 = 0 | OUTLOC1 = 0 | OUTLOC2 = 0 | OUTLOC3 = 0 }
7964 00000000 SP_VS_VPC_DST[0x7].REG: { OUTLOC0 = 0 | OUTLOC1 = 0 | OUTLOC2 = 0 | OUTLOC3 = 0 }
7965 00000000 SP_UNKNOWN_A81B: 0
7966 8e5d7d37 SP_VS_OBJ_START_LO: 0x8e5d7d37
7967 0001fcd5 SP_VS_OBJ_START_HI: 0x1fcd5
7968 00000000 0xa81e: 00000000
7969 00000000 0xa81f: 00000000
7970 00000000 0xa820: 00000000
7971 00000000 0xa821: 00000000
7972 00000080 SP_VS_TEX_COUNT: 128
7973 00000100 SP_VS_CONFIG: { ENABLED | NTEX = 0 | NSAMP = 0 | NIBO = 0 }
7974 00000000 SP_VS_INSTRLEN: 0
7975 00000000 SP_HS_CTRL_REG0: { HALFREGFOOTPRINT = 0 | FULLREGFOOTPRINT = 0 | BRANCHSTACK = 0 | THREADSIZE = TWO_QUADS }
7976 00000000 SP_HS_UNKNOWN_A831: 0
7977 00000000 0xa832: 00000000
7978 00000000 SP_HS_UNKNOWN_A833: 0
7979 780a8ca5 SP_HS_OBJ_START_LO: 0x780a8ca5
7980 0001aad2 SP_HS_OBJ_START_HI: 0x1aad2
7981 00000000 0xa836: 00000000
7982 00000000 0xa837: 00000000
7983 00000000 0xa838: 00000000
7984 00000000 0xa839: 00000000
7985 00000080 SP_HS_TEX_COUNT: 128
7986 00000000 SP_HS_CONFIG: { NTEX = 0 | NSAMP = 0 | NIBO = 0 }
7987 00000000 SP_HS_INSTRLEN: 0
7988 00000000 SP_DS_CTRL_REG0: { HALFREGFOOTPRINT = 0 | FULLREGFOOTPRINT = 0 | BRANCHSTACK = 0 | THREADSIZE = TWO_QUADS }
7989 00000000 0xa841: 00000000
7990 00000000 SP_DS_PRIMITIVE_CNTL: { OUT = 0 }
7991 00000000 SP_DS_OUT[0].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
7992 00000000 SP_DS_OUT[0x1].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
7993 00000000 SP_DS_OUT[0x2].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
7994 00000000 SP_DS_OUT[0x3].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
7995 00000000 SP_DS_OUT[0x4].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
7996 00000000 SP_DS_OUT[0x5].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
7997 00000000 SP_DS_OUT[0x6].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
7998 00000000 SP_DS_OUT[0x7].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
7999 00000000 SP_DS_OUT[0x8].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8000 00000000 SP_DS_OUT[0x9].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8001 00000000 SP_DS_OUT[0xa].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8002 00000000 SP_DS_OUT[0xb].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8003 00000000 SP_DS_OUT[0xc].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8004 00000000 SP_DS_OUT[0xd].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8005 00000000 SP_DS_OUT[0xe].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8006 00000000 SP_DS_OUT[0xf].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8007 00000000 SP_DS_VPC_DST[0].REG: { OUTLOC0 = 0 | OUTLOC1 = 0 | OUTLOC2 = 0 | OUTLOC3 = 0 }
8008 00000000 SP_DS_VPC_DST[0x1].REG: { OUTLOC0 = 0 | OUTLOC1 = 0 | OUTLOC2 = 0 | OUTLOC3 = 0 }
8009 00000000 SP_DS_VPC_DST[0x2].REG: { OUTLOC0 = 0 | OUTLOC1 = 0 | OUTLOC2 = 0 | OUTLOC3 = 0 }
8010 00000000 SP_DS_VPC_DST[0x3].REG: { OUTLOC0 = 0 | OUTLOC1 = 0 | OUTLOC2 = 0 | OUTLOC3 = 0 }
8011 00000000 SP_DS_VPC_DST[0x4].REG: { OUTLOC0 = 0 | OUTLOC1 = 0 | OUTLOC2 = 0 | OUTLOC3 = 0 }
8012 00000000 SP_DS_VPC_DST[0x5].REG: { OUTLOC0 = 0 | OUTLOC1 = 0 | OUTLOC2 = 0 | OUTLOC3 = 0 }
8013 00000000 SP_DS_VPC_DST[0x6].REG: { OUTLOC0 = 0 | OUTLOC1 = 0 | OUTLOC2 = 0 | OUTLOC3 = 0 }
8014 00000000 SP_DS_VPC_DST[0x7].REG: { OUTLOC0 = 0 | OUTLOC1 = 0 | OUTLOC2 = 0 | OUTLOC3 = 0 }
8015 00000000 SP_DS_UNKNOWN_A85B: 0
8016 7abf500d SP_DS_OBJ_START_LO: 0x7abf500d
8017 00017e52 SP_DS_OBJ_START_HI: 0x17e52
8018 00000000 0xa85e: 00000000
8019 00000000 0xa85f: 00000000
8020 00000000 0xa860: 00000000
8021 00000000 0xa861: 00000000
8022 00000080 SP_DS_TEX_COUNT: 128
8023 00000000 SP_DS_CONFIG: { NTEX = 0 | NSAMP = 0 | NIBO = 0 }
8024 00000000 SP_DS_INSTRLEN: 0
8025 00000000 SP_GS_CTRL_REG0: { HALFREGFOOTPRINT = 0 | FULLREGFOOTPRINT = 0 | BRANCHSTACK = 0 | THREADSIZE = TWO_QUADS }
8026 00000000 SP_GS_PRIM_SIZE: 0
8027 00000000 SP_GS_BRANCH_COND: 0
8028 00000000 SP_GS_PRIMITIVE_CNTL: { OUT = 0 | FLAGS_REGID = r0.x }
8029 00000000 SP_GS_OUT[0].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8030 00000000 SP_GS_OUT[0x1].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8031 00000000 SP_GS_OUT[0x2].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8032 00000000 SP_GS_OUT[0x3].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8033 00000000 SP_GS_OUT[0x4].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8034 00000000 SP_GS_OUT[0x5].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8035 00000000 SP_GS_OUT[0x6].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8036 00000000 SP_GS_OUT[0x7].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8037 00000000 SP_GS_OUT[0x8].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8038 00000000 SP_GS_OUT[0x9].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8039 00000000 SP_GS_OUT[0xa].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8040 00000000 SP_GS_OUT[0xb].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8041 00000000 SP_GS_OUT[0xc].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8042 00000000 SP_GS_OUT[0xd].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8043 00000000 SP_GS_OUT[0xe].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8044 00000000 SP_GS_OUT[0xf].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8045 00000000 SP_GS_VPC_DST[0].REG: { OUTLOC0 = 0 | OUTLOC1 = 0 | OUTLOC2 = 0 | OUTLOC3 = 0 }
8046 00000000 SP_GS_VPC_DST[0x1].REG: { OUTLOC0 = 0 | OUTLOC1 = 0 | OUTLOC2 = 0 | OUTLOC3 = 0 }
8047 00000000 SP_GS_VPC_DST[0x2].REG: { OUTLOC0 = 0 | OUTLOC1 = 0 | OUTLOC2 = 0 | OUTLOC3 = 0 }
8048 00000000 SP_GS_VPC_DST[0x3].REG: { OUTLOC0 = 0 | OUTLOC1 = 0 | OUTLOC2 = 0 | OUTLOC3 = 0 }
8049 00000000 SP_GS_VPC_DST[0x4].REG: { OUTLOC0 = 0 | OUTLOC1 = 0 | OUTLOC2 = 0 | OUTLOC3 = 0 }
8050 00000000 SP_GS_VPC_DST[0x5].REG: { OUTLOC0 = 0 | OUTLOC1 = 0 | OUTLOC2 = 0 | OUTLOC3 = 0 }
8051 00000000 SP_GS_VPC_DST[0x6].REG: { OUTLOC0 = 0 | OUTLOC1 = 0 | OUTLOC2 = 0 | OUTLOC3 = 0 }
8052 00000000 SP_GS_VPC_DST[0x7].REG: { OUTLOC0 = 0 | OUTLOC1 = 0 | OUTLOC2 = 0 | OUTLOC3 = 0 }
8053 00000000 0xa88c: 00000000
8054 14e2046b SP_GS_OBJ_START_LO: 0x14e2046b
8055 00004c8f SP_GS_OBJ_START_HI: 0x4c8f
8056 00000000 0xa88f: 00000000
8057 00000000 0xa890: 00000000
8058 00000000 0xa891: 00000000
8059 00000000 0xa892: 00000000
8060 00000080 SP_GS_TEX_COUNT: 128
8061 00000100 SP_GS_CONFIG: { ENABLED | NTEX = 0 | NSAMP = 0 | NIBO = 0 }
8062 00000000 SP_GS_INSTRLEN: 0
8063 e0c4d9c6 SP_VS_TEX_SAMP_LO: 0xe0c4d9c6
8064 0000ed21 SP_VS_TEX_SAMP_HI: 0xed21
8065 73a9bba1 SP_HS_TEX_SAMP_LO: 0x73a9bba1
8066 00001a05 SP_HS_TEX_SAMP_HI: 0x1a05
8067 b21263a4 SP_DS_TEX_SAMP_LO: 0xb21263a4
8068 0001a6b5 SP_DS_TEX_SAMP_HI: 0x1a6b5
8069 ee7b37d3 SP_GS_TEX_SAMP_LO: 0xee7b37d3
8070 000047fc SP_GS_TEX_SAMP_HI: 0x47fc
8071 5eb05388 SP_VS_TEX_CONST_LO: 0x5eb05388
8072 0001f31d SP_VS_TEX_CONST_HI: 0x1f31d
8073 90f84815 SP_HS_TEX_CONST_LO: 0x90f84815
8074 00018461 SP_HS_TEX_CONST_HI: 0x18461
8075 96f329d4 SP_DS_TEX_CONST_LO: 0x96f329d4
8076 00015905 SP_DS_TEX_CONST_HI: 0x15905
8077 e6ce68a3 SP_GS_TEX_CONST_LO: 0xe6ce68a3
8078 00007a12 SP_GS_TEX_CONST_HI: 0x7a12
8079 00000000 0xa8c0: 00000000
8080 00000000 0xa8c1: 00000000
8081 00000000 0xa8c2: 00000000
8082 00000000 0xa8c3: 00000000
8083 - context: 1
8084 00000000 SP_VS_CTRL_REG0: { HALFREGFOOTPRINT = 0 | FULLREGFOOTPRINT = 0 | BRANCHSTACK = 0 | THREADSIZE = TWO_QUADS }
8085 00000000 SP_VS_BRANCH_COND: 0
8086 00000000 SP_VS_PRIMITIVE_CNTL: { OUT = 0 }
8087 00000000 SP_VS_OUT[0].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8088 00000000 SP_VS_OUT[0x1].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8089 00000000 SP_VS_OUT[0x2].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8090 00000000 SP_VS_OUT[0x3].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8091 00000000 SP_VS_OUT[0x4].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8092 00000000 SP_VS_OUT[0x5].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8093 00000000 SP_VS_OUT[0x6].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8094 00000000 SP_VS_OUT[0x7].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8095 00000000 SP_VS_OUT[0x8].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8096 00000000 SP_VS_OUT[0x9].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8097 00000000 SP_VS_OUT[0xa].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8098 00000000 SP_VS_OUT[0xb].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8099 00000000 SP_VS_OUT[0xc].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8100 00000000 SP_VS_OUT[0xd].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8101 00000000 SP_VS_OUT[0xe].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8102 00000000 SP_VS_OUT[0xf].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8103 00000000 SP_VS_VPC_DST[0].REG: { OUTLOC0 = 0 | OUTLOC1 = 0 | OUTLOC2 = 0 | OUTLOC3 = 0 }
8104 00000000 SP_VS_VPC_DST[0x1].REG: { OUTLOC0 = 0 | OUTLOC1 = 0 | OUTLOC2 = 0 | OUTLOC3 = 0 }
8105 00000000 SP_VS_VPC_DST[0x2].REG: { OUTLOC0 = 0 | OUTLOC1 = 0 | OUTLOC2 = 0 | OUTLOC3 = 0 }
8106 00000000 SP_VS_VPC_DST[0x3].REG: { OUTLOC0 = 0 | OUTLOC1 = 0 | OUTLOC2 = 0 | OUTLOC3 = 0 }
8107 00000000 SP_VS_VPC_DST[0x4].REG: { OUTLOC0 = 0 | OUTLOC1 = 0 | OUTLOC2 = 0 | OUTLOC3 = 0 }
8108 00000000 SP_VS_VPC_DST[0x5].REG: { OUTLOC0 = 0 | OUTLOC1 = 0 | OUTLOC2 = 0 | OUTLOC3 = 0 }
8109 00000000 SP_VS_VPC_DST[0x6].REG: { OUTLOC0 = 0 | OUTLOC1 = 0 | OUTLOC2 = 0 | OUTLOC3 = 0 }
8110 00000000 SP_VS_VPC_DST[0x7].REG: { OUTLOC0 = 0 | OUTLOC1 = 0 | OUTLOC2 = 0 | OUTLOC3 = 0 }
8111 00000000 SP_UNKNOWN_A81B: 0
8112 8e5d7d37 SP_VS_OBJ_START_LO: 0x8e5d7d37
8113 0001fcd5 SP_VS_OBJ_START_HI: 0x1fcd5
8114 00000000 0xa81e: 00000000
8115 00000000 0xa81f: 00000000
8116 00000000 0xa820: 00000000
8117 00000000 0xa821: 00000000
8118 00000080 SP_VS_TEX_COUNT: 128
8119 00000100 SP_VS_CONFIG: { ENABLED | NTEX = 0 | NSAMP = 0 | NIBO = 0 }
8120 00000000 SP_VS_INSTRLEN: 0
8121 00000000 SP_HS_CTRL_REG0: { HALFREGFOOTPRINT = 0 | FULLREGFOOTPRINT = 0 | BRANCHSTACK = 0 | THREADSIZE = TWO_QUADS }
8122 00000000 SP_HS_UNKNOWN_A831: 0
8123 00000000 0xa832: 00000000
8124 00000000 SP_HS_UNKNOWN_A833: 0
8125 780a8ca5 SP_HS_OBJ_START_LO: 0x780a8ca5
8126 0001aad2 SP_HS_OBJ_START_HI: 0x1aad2
8127 00000000 0xa836: 00000000
8128 00000000 0xa837: 00000000
8129 00000000 0xa838: 00000000
8130 00000000 0xa839: 00000000
8131 00000080 SP_HS_TEX_COUNT: 128
8132 00000000 SP_HS_CONFIG: { NTEX = 0 | NSAMP = 0 | NIBO = 0 }
8133 00000000 SP_HS_INSTRLEN: 0
8134 00000000 SP_DS_CTRL_REG0: { HALFREGFOOTPRINT = 0 | FULLREGFOOTPRINT = 0 | BRANCHSTACK = 0 | THREADSIZE = TWO_QUADS }
8135 00000000 0xa841: 00000000
8136 00000000 SP_DS_PRIMITIVE_CNTL: { OUT = 0 }
8137 00000000 SP_DS_OUT[0].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8138 00000000 SP_DS_OUT[0x1].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8139 00000000 SP_DS_OUT[0x2].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8140 00000000 SP_DS_OUT[0x3].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8141 00000000 SP_DS_OUT[0x4].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8142 00000000 SP_DS_OUT[0x5].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8143 00000000 SP_DS_OUT[0x6].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8144 00000000 SP_DS_OUT[0x7].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8145 00000000 SP_DS_OUT[0x8].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8146 00000000 SP_DS_OUT[0x9].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8147 00000000 SP_DS_OUT[0xa].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8148 00000000 SP_DS_OUT[0xb].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8149 00000000 SP_DS_OUT[0xc].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8150 00000000 SP_DS_OUT[0xd].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8151 00000000 SP_DS_OUT[0xe].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8152 00000000 SP_DS_OUT[0xf].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8153 00000000 SP_DS_VPC_DST[0].REG: { OUTLOC0 = 0 | OUTLOC1 = 0 | OUTLOC2 = 0 | OUTLOC3 = 0 }
8154 00000000 SP_DS_VPC_DST[0x1].REG: { OUTLOC0 = 0 | OUTLOC1 = 0 | OUTLOC2 = 0 | OUTLOC3 = 0 }
8155 00000000 SP_DS_VPC_DST[0x2].REG: { OUTLOC0 = 0 | OUTLOC1 = 0 | OUTLOC2 = 0 | OUTLOC3 = 0 }
8156 00000000 SP_DS_VPC_DST[0x3].REG: { OUTLOC0 = 0 | OUTLOC1 = 0 | OUTLOC2 = 0 | OUTLOC3 = 0 }
8157 00000000 SP_DS_VPC_DST[0x4].REG: { OUTLOC0 = 0 | OUTLOC1 = 0 | OUTLOC2 = 0 | OUTLOC3 = 0 }
8158 00000000 SP_DS_VPC_DST[0x5].REG: { OUTLOC0 = 0 | OUTLOC1 = 0 | OUTLOC2 = 0 | OUTLOC3 = 0 }
8159 00000000 SP_DS_VPC_DST[0x6].REG: { OUTLOC0 = 0 | OUTLOC1 = 0 | OUTLOC2 = 0 | OUTLOC3 = 0 }
8160 00000000 SP_DS_VPC_DST[0x7].REG: { OUTLOC0 = 0 | OUTLOC1 = 0 | OUTLOC2 = 0 | OUTLOC3 = 0 }
8161 00000000 SP_DS_UNKNOWN_A85B: 0
8162 7abf500d SP_DS_OBJ_START_LO: 0x7abf500d
8163 00017e52 SP_DS_OBJ_START_HI: 0x17e52
8164 00000000 0xa85e: 00000000
8165 00000000 0xa85f: 00000000
8166 00000000 0xa860: 00000000
8167 00000000 0xa861: 00000000
8168 00000080 SP_DS_TEX_COUNT: 128
8169 00000000 SP_DS_CONFIG: { NTEX = 0 | NSAMP = 0 | NIBO = 0 }
8170 00000000 SP_DS_INSTRLEN: 0
8171 00000000 SP_GS_CTRL_REG0: { HALFREGFOOTPRINT = 0 | FULLREGFOOTPRINT = 0 | BRANCHSTACK = 0 | THREADSIZE = TWO_QUADS }
8172 00000000 SP_GS_PRIM_SIZE: 0
8173 00000000 SP_GS_BRANCH_COND: 0
8174 00000000 SP_GS_PRIMITIVE_CNTL: { OUT = 0 | FLAGS_REGID = r0.x }
8175 00000000 SP_GS_OUT[0].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8176 00000000 SP_GS_OUT[0x1].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8177 00000000 SP_GS_OUT[0x2].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8178 00000000 SP_GS_OUT[0x3].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8179 00000000 SP_GS_OUT[0x4].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8180 00000000 SP_GS_OUT[0x5].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8181 00000000 SP_GS_OUT[0x6].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8182 00000000 SP_GS_OUT[0x7].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8183 00000000 SP_GS_OUT[0x8].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8184 00000000 SP_GS_OUT[0x9].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8185 00000000 SP_GS_OUT[0xa].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8186 00000000 SP_GS_OUT[0xb].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8187 00000000 SP_GS_OUT[0xc].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8188 00000000 SP_GS_OUT[0xd].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8189 00000000 SP_GS_OUT[0xe].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8190 00000000 SP_GS_OUT[0xf].REG: { A_REGID = r0.x | A_COMPMASK = 0 | B_REGID = r0.x | B_COMPMASK = 0 }
8191 00000000 SP_GS_VPC_DST[0].REG: { OUTLOC0 = 0 | OUTLOC1 = 0 | OUTLOC2 = 0 | OUTLOC3 = 0 }
8192 00000000 SP_GS_VPC_DST[0x1].REG: { OUTLOC0 = 0 | OUTLOC1 = 0 | OUTLOC2 = 0 | OUTLOC3 = 0 }
8193 00000000 SP_GS_VPC_DST[0x2].REG: { OUTLOC0 = 0 | OUTLOC1 = 0 | OUTLOC2 = 0 | OUTLOC3 = 0 }
8194 00000000 SP_GS_VPC_DST[0x3].REG: { OUTLOC0 = 0 | OUTLOC1 = 0 | OUTLOC2 = 0 | OUTLOC3 = 0 }
8195 00000000 SP_GS_VPC_DST[0x4].REG: { OUTLOC0 = 0 | OUTLOC1 = 0 | OUTLOC2 = 0 | OUTLOC3 = 0 }
8196 00000000 SP_GS_VPC_DST[0x5].REG: { OUTLOC0 = 0 | OUTLOC1 = 0 | OUTLOC2 = 0 | OUTLOC3 = 0 }
8197 00000000 SP_GS_VPC_DST[0x6].REG: { OUTLOC0 = 0 | OUTLOC1 = 0 | OUTLOC2 = 0 | OUTLOC3 = 0 }
8198 00000000 SP_GS_VPC_DST[0x7].REG: { OUTLOC0 = 0 | OUTLOC1 = 0 | OUTLOC2 = 0 | OUTLOC3 = 0 }
8199 00000000 0xa88c: 00000000
8200 14e2046b SP_GS_OBJ_START_LO: 0x14e2046b
8201 00004c8f SP_GS_OBJ_START_HI: 0x4c8f
8202 00000000 0xa88f: 00000000
8203 00000000 0xa890: 00000000
8204 00000000 0xa891: 00000000
8205 00000000 0xa892: 00000000
8206 00000080 SP_GS_TEX_COUNT: 128
8207 00000100 SP_GS_CONFIG: { ENABLED | NTEX = 0 | NSAMP = 0 | NIBO = 0 }
8208 00000000 SP_GS_INSTRLEN: 0
8209 e0c4d9c6 SP_VS_TEX_SAMP_LO: 0xe0c4d9c6
8210 0000ed21 SP_VS_TEX_SAMP_HI: 0xed21
8211 73a9bba1 SP_HS_TEX_SAMP_LO: 0x73a9bba1
8212 00001a05 SP_HS_TEX_SAMP_HI: 0x1a05
8213 b21263a4 SP_DS_TEX_SAMP_LO: 0xb21263a4
8214 0001a6b5 SP_DS_TEX_SAMP_HI: 0x1a6b5
8215 ee7b37d3 SP_GS_TEX_SAMP_LO: 0xee7b37d3
8216 000047fc SP_GS_TEX_SAMP_HI: 0x47fc
8217 5eb05388 SP_VS_TEX_CONST_LO: 0x5eb05388
8218 0001f31d SP_VS_TEX_CONST_HI: 0x1f31d
8219 90f84815 SP_HS_TEX_CONST_LO: 0x90f84815
8220 00018461 SP_HS_TEX_CONST_HI: 0x18461
8221 96f329d4 SP_DS_TEX_CONST_LO: 0x96f329d4
8222 00015905 SP_DS_TEX_CONST_HI: 0x15905
8223 e6ce68a3 SP_GS_TEX_CONST_LO: 0xe6ce68a3
8224 00007a12 SP_GS_TEX_CONST_HI: 0x7a12
8225 00000000 0xa8c0: 00000000
8226 00000000 0xa8c1: 00000000
8227 00000000 0xa8c2: 00000000
8228 00000000 0xa8c3: 00000000
8229 - cluster-name: CLUSTER_SP_VS
8230 - context: 0
8231 00000140 HLSQ_FS_CNTL: { CONSTLEN = 256 | ENABLED }
8232 00000000 HLSQ_SHARED_CONSTS: { 0 }
8233 00000000 HLSQ_BINDLESS_BASE[0].ADDR: 0
8234 00000000 HLSQ_BINDLESS_BASE[0].ADDR+0x1: 0
8235 00000000 HLSQ_BINDLESS_BASE[0x1].ADDR: 0
8236 00000000 HLSQ_BINDLESS_BASE[0x1].ADDR+0x1: 0
8237 00000000 HLSQ_BINDLESS_BASE[0x2].ADDR: 0
8238 00000000 HLSQ_BINDLESS_BASE[0x2].ADDR+0x1: 0
8239 00000000 HLSQ_BINDLESS_BASE[0x3].ADDR: 0
8240 00000000 HLSQ_BINDLESS_BASE[0x3].ADDR+0x1: 0
8241 00000000 HLSQ_BINDLESS_BASE[0x4].ADDR: 0
8242 00000000 HLSQ_BINDLESS_BASE[0x4].ADDR+0x1: 0
8243 - context: 1
8244 00000140 HLSQ_FS_CNTL: { CONSTLEN = 256 | ENABLED }
8245 00000000 HLSQ_SHARED_CONSTS: { 0 }
8246 00000000 HLSQ_BINDLESS_BASE[0].ADDR: 0
8247 00000000 HLSQ_BINDLESS_BASE[0].ADDR+0x1: 0
8248 00000000 HLSQ_BINDLESS_BASE[0x1].ADDR: 0
8249 00000000 HLSQ_BINDLESS_BASE[0x1].ADDR+0x1: 0
8250 00000000 HLSQ_BINDLESS_BASE[0x2].ADDR: 0
8251 00000000 HLSQ_BINDLESS_BASE[0x2].ADDR+0x1: 0
8252 00000000 HLSQ_BINDLESS_BASE[0x3].ADDR: 0
8253 00000000 HLSQ_BINDLESS_BASE[0x3].ADDR+0x1: 0
8254 00000000 HLSQ_BINDLESS_BASE[0x4].ADDR: 0
8255 00000000 HLSQ_BINDLESS_BASE[0x4].ADDR+0x1: 0
8256 - cluster-name: CLUSTER_SP_VS
8257 - context: 0
8258 deadbeef HLSQ_2D_EVENT_CMD: { STATE_ID = 0xbe | EVENT = 0x6f | 0xdead0080 }
8259 - context: 1
8260 deadbeef HLSQ_2D_EVENT_CMD: { STATE_ID = 0xbe | EVENT = 0x6f | 0xdead0080 }
8261 - cluster-name: CLUSTER_SP_VS
8262 - context: 0
8263 00000000 SP_MODE_CONTROL: { 0 }
8264 00000100 SP_FS_CONFIG: { ENABLED | NTEX = 0 | NSAMP = 0 | NIBO = 0 }
8265 00000000 SP_FS_INSTRLEN: 0
8266 2764a40a SP_BINDLESS_BASE[0].ADDR: 0x2764a40a
8267 0000cd30 SP_BINDLESS_BASE[0].ADDR+0x1: 0xcd30
8268 93870830 SP_BINDLESS_BASE[0x1].ADDR: 0x93870830
8269 00017dc4 SP_BINDLESS_BASE[0x1].ADDR+0x1: 0x17dc4
8270 d3064206 SP_BINDLESS_BASE[0x2].ADDR: 0xd3064206
8271 00014b45 SP_BINDLESS_BASE[0x2].ADDR+0x1: 0x14b45
8272 bfafe9ba SP_BINDLESS_BASE[0x3].ADDR: 0xbfafe9ba
8273 0001ddc9 SP_BINDLESS_BASE[0x3].ADDR+0x1: 0x1ddc9
8274 efda4292 SP_BINDLESS_BASE[0x4].ADDR: 0xefda4292
8275 0000bd3b SP_BINDLESS_BASE[0x4].ADDR+0x1: 0xbd3b
8276 0c0e0691 SP_IBO_LO: 0xc0e0691
8277 00013c40 SP_IBO_HI: 0x13c40
8278 00000040 SP_IBO_COUNT: 64
8279 - context: 1
8280 00000000 SP_MODE_CONTROL: { 0 }
8281 00000100 SP_FS_CONFIG: { ENABLED | NTEX = 0 | NSAMP = 0 | NIBO = 0 }
8282 00000000 SP_FS_INSTRLEN: 0
8283 2764a40a SP_BINDLESS_BASE[0].ADDR: 0x2764a40a
8284 0000cd30 SP_BINDLESS_BASE[0].ADDR+0x1: 0xcd30
8285 93870830 SP_BINDLESS_BASE[0x1].ADDR: 0x93870830
8286 00017dc4 SP_BINDLESS_BASE[0x1].ADDR+0x1: 0x17dc4
8287 d3064206 SP_BINDLESS_BASE[0x2].ADDR: 0xd3064206
8288 00014b45 SP_BINDLESS_BASE[0x2].ADDR+0x1: 0x14b45
8289 bfafe9ba SP_BINDLESS_BASE[0x3].ADDR: 0xbfafe9ba
8290 0001ddc9 SP_BINDLESS_BASE[0x3].ADDR+0x1: 0x1ddc9
8291 efda4292 SP_BINDLESS_BASE[0x4].ADDR: 0xefda4292
8292 0000bd3b SP_BINDLESS_BASE[0x4].ADDR+0x1: 0xbd3b
8293 0c0e0691 SP_IBO_LO: 0xc0e0691
8294 00013c40 SP_IBO_HI: 0x13c40
8295 00000040 SP_IBO_COUNT: 64
8296 - cluster-name: CLUSTER_SP_VS
8297 - context: 0
8298 00000000 SP_TP_RAS_MSAA_CNTL: { SAMPLES = MSAA_ONE }
8299 00000000 SP_TP_DEST_MSAA_CNTL: { SAMPLES = MSAA_ONE }
8300 00000000 SP_TP_BORDER_COLOR_BASE_ADDR: 0
8301 00000000 SP_TP_BORDER_COLOR_BASE_ADDR+0x1: 0
8302 00000000 SP_TP_SAMPLE_CONFIG: { 0 }
8303 00000000 SP_TP_SAMPLE_LOCATION_0: { SAMPLE_0_X = 0.000000 | SAMPLE_0_Y = 0.000000 | SAMPLE_1_X = 0.000000 | SAMPLE_1_Y = 0.000000 | SAMPLE_2_X = 0.000000 | SAMPLE_2_Y = 0.000000 | SAMPLE_3_X = 0.000000 | SAMPLE_3_Y = 0.000000 }
8304 00000000 SP_TP_SAMPLE_LOCATION_1: { SAMPLE_0_X = 0.000000 | SAMPLE_0_Y = 0.000000 | SAMPLE_1_X = 0.000000 | SAMPLE_1_Y = 0.000000 | SAMPLE_2_X = 0.000000 | SAMPLE_2_Y = 0.000000 | SAMPLE_3_X = 0.000000 | SAMPLE_3_Y = 0.000000 }
8305 00000000 SP_TP_WINDOW_OFFSET: { X = 0 | Y = 0 }
8306 0000004c SP_TP_UNKNOWN_B309: 0x4c
8307 deadbeef 0xb380: deadbeef
8308 deadbeef 0xb381: deadbeef
8309 deadbeef 0xb382: deadbeef
8310 - context: 1
8311 00000000 SP_TP_RAS_MSAA_CNTL: { SAMPLES = MSAA_ONE }
8312 00000000 SP_TP_DEST_MSAA_CNTL: { SAMPLES = MSAA_ONE }
8313 00000000 SP_TP_BORDER_COLOR_BASE_ADDR: 0
8314 00000000 SP_TP_BORDER_COLOR_BASE_ADDR+0x1: 0
8315 00000000 SP_TP_SAMPLE_CONFIG: { 0 }
8316 00000000 SP_TP_SAMPLE_LOCATION_0: { SAMPLE_0_X = 0.000000 | SAMPLE_0_Y = 0.000000 | SAMPLE_1_X = 0.000000 | SAMPLE_1_Y = 0.000000 | SAMPLE_2_X = 0.000000 | SAMPLE_2_Y = 0.000000 | SAMPLE_3_X = 0.000000 | SAMPLE_3_Y = 0.000000 }
8317 00000000 SP_TP_SAMPLE_LOCATION_1: { SAMPLE_0_X = 0.000000 | SAMPLE_0_Y = 0.000000 | SAMPLE_1_X = 0.000000 | SAMPLE_1_Y = 0.000000 | SAMPLE_2_X = 0.000000 | SAMPLE_2_Y = 0.000000 | SAMPLE_3_X = 0.000000 | SAMPLE_3_Y = 0.000000 }
8318 00000000 SP_TP_WINDOW_OFFSET: { X = 0 | Y = 0 }
8319 0000004c SP_TP_UNKNOWN_B309: 0x4c
8320 deadbeef 0xb380: deadbeef
8321 deadbeef 0xb381: deadbeef
8322 deadbeef 0xb382: deadbeef
8323 - cluster-name: CLUSTER_SP_PS
8324 - context: 0
8325 00000001 HLSQ_UNKNOWN_B980: 0x1
8326 00000007 HLSQ_CONTROL_1_REG: 0x7
8327 fcfcfcfc HLSQ_CONTROL_2_REG: { FACEREGID = r63.x | SAMPLEID = r63.x | SAMPLEMASK = r63.x | SIZE = r63.x }
8328 fcfcfcfc HLSQ_CONTROL_3_REG: { IJ_PERSP_PIXEL = r63.x | IJ_LINEAR_PIXEL = r63.x | IJ_PERSP_CENTROID = r63.x | IJ_LINEAR_CENTROID = r63.x }
8329 fcfcfcfc HLSQ_CONTROL_4_REG: { IJ_PERSP_SAMPLE = r63.x | IJ_LINEAR_SAMPLE = r63.x | XYCOORDREGID = r63.x | ZWCOORDREGID = r63.x }
8330 000000fc HLSQ_CONTROL_5_REG: 0xfc
8331 00000101 HLSQ_CS_CNTL: { CONSTLEN = 4 | ENABLED }
8332 000003fd HLSQ_CS_NDRANGE_0: { KERNELDIM = 1 | LOCALSIZEX = 255 | LOCALSIZEY = 0 | LOCALSIZEZ = 0 }
8333 00000200 HLSQ_CS_NDRANGE_1: { GLOBALSIZE_X = 512 }
8334 00000000 HLSQ_CS_NDRANGE_2: { GLOBALOFF_X = 0 }
8335 00000001 HLSQ_CS_NDRANGE_3: { GLOBALSIZE_Y = 1 }
8336 00000000 HLSQ_CS_NDRANGE_4: { GLOBALOFF_Y = 0 }
8337 00000001 HLSQ_CS_NDRANGE_5: { GLOBALSIZE_Z = 1 }
8338 00000000 HLSQ_CS_NDRANGE_6: { GLOBALOFF_Z = 0 }
8339 00dcd8d4 HLSQ_CS_CNTL_0: { WGIDCONSTID = r53.x | UNK0 = r54.x | UNK1 = r55.x | LOCALIDREGID = r0.x }
8340 000000fc HLSQ_CS_UNKNOWN_B998: 0xfc
8341 00000002 HLSQ_CS_KERNEL_GROUP_X: 0x2
8342 00000001 HLSQ_CS_KERNEL_GROUP_Y: 0x1
8343 00000001 HLSQ_CS_KERNEL_GROUP_Z: 0x1
8344 40304000 HLSQ_LOAD_STATE_FRAG_CMD: 0x40304000
8345 8c415430 HLSQ_LOAD_STATE_FRAG_EXT_SRC_ADDR: 0x8c415430
8346 00000000 HLSQ_LOAD_STATE_FRAG_EXT_SRC_ADDR+0x1: 0
8347 00000000 HLSQ_CS_BINDLESS_BASE[0].ADDR: 0
8348 00000000 HLSQ_CS_BINDLESS_BASE[0].ADDR+0x1: 0
8349 00000000 HLSQ_CS_BINDLESS_BASE[0x1].ADDR: 0
8350 00000000 HLSQ_CS_BINDLESS_BASE[0x1].ADDR+0x1: 0
8351 00000000 HLSQ_CS_BINDLESS_BASE[0x2].ADDR: 0
8352 00000000 HLSQ_CS_BINDLESS_BASE[0x2].ADDR+0x1: 0
8353 00000000 HLSQ_CS_BINDLESS_BASE[0x3].ADDR: 0
8354 00000000 HLSQ_CS_BINDLESS_BASE[0x3].ADDR+0x1: 0
8355 00000000 HLSQ_CS_BINDLESS_BASE[0x4].ADDR: 0
8356 00000000 HLSQ_CS_BINDLESS_BASE[0x4].ADDR+0x1: 0
8357 - context: 1
8358 00000001 HLSQ_UNKNOWN_B980: 0x1
8359 00000007 HLSQ_CONTROL_1_REG: 0x7
8360 fcfcfcfc HLSQ_CONTROL_2_REG: { FACEREGID = r63.x | SAMPLEID = r63.x | SAMPLEMASK = r63.x | SIZE = r63.x }
8361 fcfcfcfc HLSQ_CONTROL_3_REG: { IJ_PERSP_PIXEL = r63.x | IJ_LINEAR_PIXEL = r63.x | IJ_PERSP_CENTROID = r63.x | IJ_LINEAR_CENTROID = r63.x }
8362 fcfcfcfc HLSQ_CONTROL_4_REG: { IJ_PERSP_SAMPLE = r63.x | IJ_LINEAR_SAMPLE = r63.x | XYCOORDREGID = r63.x | ZWCOORDREGID = r63.x }
8363 000000fc HLSQ_CONTROL_5_REG: 0xfc
8364 00000101 HLSQ_CS_CNTL: { CONSTLEN = 4 | ENABLED }
8365 000003fd HLSQ_CS_NDRANGE_0: { KERNELDIM = 1 | LOCALSIZEX = 255 | LOCALSIZEY = 0 | LOCALSIZEZ = 0 }
8366 00000200 HLSQ_CS_NDRANGE_1: { GLOBALSIZE_X = 512 }
8367 00000000 HLSQ_CS_NDRANGE_2: { GLOBALOFF_X = 0 }
8368 00000001 HLSQ_CS_NDRANGE_3: { GLOBALSIZE_Y = 1 }
8369 00000000 HLSQ_CS_NDRANGE_4: { GLOBALOFF_Y = 0 }
8370 00000001 HLSQ_CS_NDRANGE_5: { GLOBALSIZE_Z = 1 }
8371 00000000 HLSQ_CS_NDRANGE_6: { GLOBALOFF_Z = 0 }
8372 00dcd8d4 HLSQ_CS_CNTL_0: { WGIDCONSTID = r53.x | UNK0 = r54.x | UNK1 = r55.x | LOCALIDREGID = r0.x }
8373 000000fc HLSQ_CS_UNKNOWN_B998: 0xfc
8374 00000002 HLSQ_CS_KERNEL_GROUP_X: 0x2
8375 00000001 HLSQ_CS_KERNEL_GROUP_Y: 0x1
8376 00000001 HLSQ_CS_KERNEL_GROUP_Z: 0x1
8377 40304000 HLSQ_LOAD_STATE_FRAG_CMD: 0x40304000
8378 8c415430 HLSQ_LOAD_STATE_FRAG_EXT_SRC_ADDR: 0x8c415430
8379 00000000 HLSQ_LOAD_STATE_FRAG_EXT_SRC_ADDR+0x1: 0
8380 00000000 HLSQ_CS_BINDLESS_BASE[0].ADDR: 0
8381 00000000 HLSQ_CS_BINDLESS_BASE[0].ADDR+0x1: 0
8382 00000000 HLSQ_CS_BINDLESS_BASE[0x1].ADDR: 0
8383 00000000 HLSQ_CS_BINDLESS_BASE[0x1].ADDR+0x1: 0
8384 00000000 HLSQ_CS_BINDLESS_BASE[0x2].ADDR: 0
8385 00000000 HLSQ_CS_BINDLESS_BASE[0x2].ADDR+0x1: 0
8386 00000000 HLSQ_CS_BINDLESS_BASE[0x3].ADDR: 0
8387 00000000 HLSQ_CS_BINDLESS_BASE[0x3].ADDR+0x1: 0
8388 00000000 HLSQ_CS_BINDLESS_BASE[0x4].ADDR: 0
8389 00000000 HLSQ_CS_BINDLESS_BASE[0x4].ADDR+0x1: 0
8390 - cluster-name: CLUSTER_SP_PS
8391 - context: 0
8392 deadbeef HLSQ_2D_EVENT_CMD: { STATE_ID = 0xbe | EVENT = 0x6f | 0xdead0080 }
8393 - context: 1
8394 deadbeef HLSQ_2D_EVENT_CMD: { STATE_ID = 0xbe | EVENT = 0x6f | 0xdead0080 }
8395 - cluster-name: CLUSTER_SP_PS
8396 - context: 0
8397 05100000 SP_FS_CTRL_REG0: { HALFREGFOOTPRINT = 0 | FULLREGFOOTPRINT = 0 | BRANCHSTACK = 0 | THREADSIZE = FOUR_QUADS | PIXLODENABLE | 0x1000000 }
8398 00000000 SP_FS_BRANCH_COND: 0
8399 00000000 SP_UNKNOWN_A982: 0
8400 4bdb43d8 SP_FS_OBJ_START_LO: 0x4bdb43d8
8401 0001af86 SP_FS_OBJ_START_HI: 0x1af86
8402 00000000 0xa985: 00000000
8403 00000000 0xa986: 00000000
8404 00000000 0xa987: 00000000
8405 00000000 0xa988: 00000000
8406 00000100 SP_BLEND_CNTL: { UNK8 }
8407 00000000 SP_SRGB_CNTL: { 0 }
8408 00000000 SP_FS_RENDER_COMPONENTS: { RT0 = 0 | RT1 = 0 | RT2 = 0 | RT3 = 0 | RT4 = 0 | RT5 = 0 | RT6 = 0 | RT7 = 0 }
8409 fcfcfc00 SP_FS_OUTPUT_CNTL0: { DEPTH_REGID = r63.x | SAMPMASK_REGID = r63.x | STENCILREF_REGID = r63.x }
8410 00000000 SP_FS_OUTPUT_CNTL1: { MRT = 0 }
8411 00000000 SP_FS_OUTPUT[0].REG: { REGID = r0.x }
8412 00000000 SP_FS_OUTPUT[0x1].REG: { REGID = r0.x }
8413 00000000 SP_FS_OUTPUT[0x2].REG: { REGID = r0.x }
8414 00000000 SP_FS_OUTPUT[0x3].REG: { REGID = r0.x }
8415 00000000 SP_FS_OUTPUT[0x4].REG: { REGID = r0.x }
8416 00000000 SP_FS_OUTPUT[0x5].REG: { REGID = r0.x }
8417 00000000 SP_FS_OUTPUT[0x6].REG: { REGID = r0.x }
8418 00000000 SP_FS_OUTPUT[0x7].REG: { REGID = r0.x }
8419 00000000 SP_FS_MRT[0].REG: { COLOR_FORMAT = 0 }
8420 00000000 SP_FS_MRT[0x1].REG: { COLOR_FORMAT = 0 }
8421 00000000 SP_FS_MRT[0x2].REG: { COLOR_FORMAT = 0 }
8422 00000000 SP_FS_MRT[0x3].REG: { COLOR_FORMAT = 0 }
8423 00000000 SP_FS_MRT[0x4].REG: { COLOR_FORMAT = 0 }
8424 00000000 SP_FS_MRT[0x5].REG: { COLOR_FORMAT = 0 }
8425 00000000 SP_FS_MRT[0x6].REG: { COLOR_FORMAT = 0 }
8426 00000000 SP_FS_MRT[0x7].REG: { COLOR_FORMAT = 0 }
8427 00000000 SP_FS_PREFETCH_CNTL: { COUNT = 0 }
8428 03c00000 SP_FS_PREFETCH[0].CMD: { SRC = 0 | SAMP_ID = 0 | TEX_ID = 0 | DST = r0.x | WRMASK = 0xf | CMD = 0 }
8429 03c00000 SP_FS_PREFETCH[0x1].CMD: { SRC = 0 | SAMP_ID = 0 | TEX_ID = 0 | DST = r0.x | WRMASK = 0xf | CMD = 0 }
8430 03c00000 SP_FS_PREFETCH[0x2].CMD: { SRC = 0 | SAMP_ID = 0 | TEX_ID = 0 | DST = r0.x | WRMASK = 0xf | CMD = 0 }
8431 03c00000 SP_FS_PREFETCH[0x3].CMD: { SRC = 0 | SAMP_ID = 0 | TEX_ID = 0 | DST = r0.x | WRMASK = 0xf | CMD = 0 }
8432 00000000 SP_FS_BINDLESS_PREFETCH[0].CMD: { SAMP_ID = 0 | TEX_ID = 0 }
8433 00000000 SP_FS_BINDLESS_PREFETCH[0x1].CMD: { SAMP_ID = 0 | TEX_ID = 0 }
8434 00000000 SP_FS_BINDLESS_PREFETCH[0x2].CMD: { SAMP_ID = 0 | TEX_ID = 0 }
8435 00000000 SP_FS_BINDLESS_PREFETCH[0x3].CMD: { SAMP_ID = 0 | TEX_ID = 0 }
8436 00000080 SP_FS_TEX_COUNT: 128
8437 0000f000 SP_UNKNOWN_A9A8: 0xf000
8438 00421800 SP_CS_CTRL_REG0: { HALFREGFOOTPRINT = 0 | FULLREGFOOTPRINT = 48 | BRANCHSTACK = 8 | THREADSIZE = TWO_QUADS | VARYING }
8439 0000001f SP_CS_UNKNOWN_A9B1: 31
8440 00000000 0xa9b2: 00000000
8441 00000000 SP_CS_UNKNOWN_A9B3: 0
8442 8c415420 SP_CS_OBJ_START_LO: 0x8c415420
8443 00000000 SP_CS_OBJ_START_HI: 0
8444 00000000 0xa9b6: 00000000
8445 00000000 0xa9b7: 00000000
8446 00000000 0xa9b8: 00000000
8447 00000000 0xa9b9: 00000000
8448 00000080 SP_CS_TEX_COUNT: 128
8449 00200100 SP_CS_CONFIG: { ENABLED | NTEX = 0 | NSAMP = 16 | NIBO = 0 }
8450 00000004 SP_CS_INSTRLEN: 4
8451 00000000 0xa9d0: 00000000
8452 00000000 0xa9d1: 00000000
8453 00000000 0xa9d2: 00000000
8454 00000000 0xa9d3: 00000000
8455 efea5306 SP_FS_TEX_SAMP_LO: 0xefea5306
8456 00005e1c SP_FS_TEX_SAMP_HI: 0x5e1c
8457 bf8b2a24 SP_CS_TEX_SAMP_LO: 0xbf8b2a24
8458 0001ef50 SP_CS_TEX_SAMP_HI: 0x1ef50
8459 693f2108 SP_FS_TEX_CONST_LO: 0x693f2108
8460 00001998 SP_FS_TEX_CONST_HI: 0x1998
8461 be19e77a SP_CS_TEX_CONST_LO: 0xbe19e77a
8462 0001b500 SP_CS_TEX_CONST_HI: 0x1b500
8463 7dd2a41c SP_CS_BINDLESS_BASE[0].ADDR: 0x7dd2a41c
8464 00012191 SP_CS_BINDLESS_BASE[0].ADDR+0x1: 0x12191
8465 7d568030 SP_CS_BINDLESS_BASE[0x1].ADDR: 0x7d568030
8466 0000f408 SP_CS_BINDLESS_BASE[0x1].ADDR+0x1: 0xf408
8467 6915b33d SP_CS_BINDLESS_BASE[0x2].ADDR: 0x6915b33d
8468 000076cd SP_CS_BINDLESS_BASE[0x2].ADDR+0x1: 0x76cd
8469 3cfd0197 SP_CS_BINDLESS_BASE[0x3].ADDR: 0x3cfd0197
8470 0001f233 SP_CS_BINDLESS_BASE[0x3].ADDR+0x1: 0x1f233
8471 a6b745da SP_CS_BINDLESS_BASE[0x4].ADDR: 0xa6b745da
8472 00016204 SP_CS_BINDLESS_BASE[0x4].ADDR+0x1: 0x16204
8473 fdfdd365 SP_CS_IBO_LO: 0xfdfdd365
8474 0001d693 SP_CS_IBO_HI: 0x1d693
8475 00000040 SP_CS_IBO_COUNT: 64
8476 00000000 0xaa30: 00000000
8477 00000000 0xaa31: 00000000
8478 - context: 1
8479 05100000 SP_FS_CTRL_REG0: { HALFREGFOOTPRINT = 0 | FULLREGFOOTPRINT = 0 | BRANCHSTACK = 0 | THREADSIZE = FOUR_QUADS | PIXLODENABLE | 0x1000000 }
8480 00000000 SP_FS_BRANCH_COND: 0
8481 00000000 SP_UNKNOWN_A982: 0
8482 4bdb43d8 SP_FS_OBJ_START_LO: 0x4bdb43d8
8483 0001af86 SP_FS_OBJ_START_HI: 0x1af86
8484 00000000 0xa985: 00000000
8485 00000000 0xa986: 00000000
8486 00000000 0xa987: 00000000
8487 00000000 0xa988: 00000000
8488 00000100 SP_BLEND_CNTL: { UNK8 }
8489 00000000 SP_SRGB_CNTL: { 0 }
8490 00000000 SP_FS_RENDER_COMPONENTS: { RT0 = 0 | RT1 = 0 | RT2 = 0 | RT3 = 0 | RT4 = 0 | RT5 = 0 | RT6 = 0 | RT7 = 0 }
8491 fcfcfc00 SP_FS_OUTPUT_CNTL0: { DEPTH_REGID = r63.x | SAMPMASK_REGID = r63.x | STENCILREF_REGID = r63.x }
8492 00000000 SP_FS_OUTPUT_CNTL1: { MRT = 0 }
8493 00000000 SP_FS_OUTPUT[0].REG: { REGID = r0.x }
8494 00000000 SP_FS_OUTPUT[0x1].REG: { REGID = r0.x }
8495 00000000 SP_FS_OUTPUT[0x2].REG: { REGID = r0.x }
8496 00000000 SP_FS_OUTPUT[0x3].REG: { REGID = r0.x }
8497 00000000 SP_FS_OUTPUT[0x4].REG: { REGID = r0.x }
8498 00000000 SP_FS_OUTPUT[0x5].REG: { REGID = r0.x }
8499 00000000 SP_FS_OUTPUT[0x6].REG: { REGID = r0.x }
8500 00000000 SP_FS_OUTPUT[0x7].REG: { REGID = r0.x }
8501 00000000 SP_FS_MRT[0].REG: { COLOR_FORMAT = 0 }
8502 00000000 SP_FS_MRT[0x1].REG: { COLOR_FORMAT = 0 }
8503 00000000 SP_FS_MRT[0x2].REG: { COLOR_FORMAT = 0 }
8504 00000000 SP_FS_MRT[0x3].REG: { COLOR_FORMAT = 0 }
8505 00000000 SP_FS_MRT[0x4].REG: { COLOR_FORMAT = 0 }
8506 00000000 SP_FS_MRT[0x5].REG: { COLOR_FORMAT = 0 }
8507 00000000 SP_FS_MRT[0x6].REG: { COLOR_FORMAT = 0 }
8508 00000000 SP_FS_MRT[0x7].REG: { COLOR_FORMAT = 0 }
8509 00000000 SP_FS_PREFETCH_CNTL: { COUNT = 0 }
8510 03c00000 SP_FS_PREFETCH[0].CMD: { SRC = 0 | SAMP_ID = 0 | TEX_ID = 0 | DST = r0.x | WRMASK = 0xf | CMD = 0 }
8511 03c00000 SP_FS_PREFETCH[0x1].CMD: { SRC = 0 | SAMP_ID = 0 | TEX_ID = 0 | DST = r0.x | WRMASK = 0xf | CMD = 0 }
8512 03c00000 SP_FS_PREFETCH[0x2].CMD: { SRC = 0 | SAMP_ID = 0 | TEX_ID = 0 | DST = r0.x | WRMASK = 0xf | CMD = 0 }
8513 03c00000 SP_FS_PREFETCH[0x3].CMD: { SRC = 0 | SAMP_ID = 0 | TEX_ID = 0 | DST = r0.x | WRMASK = 0xf | CMD = 0 }
8514 00000000 SP_FS_BINDLESS_PREFETCH[0].CMD: { SAMP_ID = 0 | TEX_ID = 0 }
8515 00000000 SP_FS_BINDLESS_PREFETCH[0x1].CMD: { SAMP_ID = 0 | TEX_ID = 0 }
8516 00000000 SP_FS_BINDLESS_PREFETCH[0x2].CMD: { SAMP_ID = 0 | TEX_ID = 0 }
8517 00000000 SP_FS_BINDLESS_PREFETCH[0x3].CMD: { SAMP_ID = 0 | TEX_ID = 0 }
8518 00000080 SP_FS_TEX_COUNT: 128
8519 0000f000 SP_UNKNOWN_A9A8: 0xf000
8520 00421800 SP_CS_CTRL_REG0: { HALFREGFOOTPRINT = 0 | FULLREGFOOTPRINT = 48 | BRANCHSTACK = 8 | THREADSIZE = TWO_QUADS | VARYING }
8521 0000001f SP_CS_UNKNOWN_A9B1: 31
8522 00000000 0xa9b2: 00000000
8523 00000000 SP_CS_UNKNOWN_A9B3: 0
8524 8c415420 SP_CS_OBJ_START_LO: 0x8c415420
8525 00000000 SP_CS_OBJ_START_HI: 0
8526 00000000 0xa9b6: 00000000
8527 00000000 0xa9b7: 00000000
8528 00000000 0xa9b8: 00000000
8529 00000000 0xa9b9: 00000000
8530 00000080 SP_CS_TEX_COUNT: 128
8531 00200100 SP_CS_CONFIG: { ENABLED | NTEX = 0 | NSAMP = 16 | NIBO = 0 }
8532 00000004 SP_CS_INSTRLEN: 4
8533 00000000 0xa9d0: 00000000
8534 00000000 0xa9d1: 00000000
8535 00000000 0xa9d2: 00000000
8536 00000000 0xa9d3: 00000000
8537 efea5306 SP_FS_TEX_SAMP_LO: 0xefea5306
8538 00005e1c SP_FS_TEX_SAMP_HI: 0x5e1c
8539 bf8b2a24 SP_CS_TEX_SAMP_LO: 0xbf8b2a24
8540 0001ef50 SP_CS_TEX_SAMP_HI: 0x1ef50
8541 693f2108 SP_FS_TEX_CONST_LO: 0x693f2108
8542 00001998 SP_FS_TEX_CONST_HI: 0x1998
8543 be19e77a SP_CS_TEX_CONST_LO: 0xbe19e77a
8544 0001b500 SP_CS_TEX_CONST_HI: 0x1b500
8545 7dd2a41c SP_CS_BINDLESS_BASE[0].ADDR: 0x7dd2a41c
8546 00012191 SP_CS_BINDLESS_BASE[0].ADDR+0x1: 0x12191
8547 7d568030 SP_CS_BINDLESS_BASE[0x1].ADDR: 0x7d568030
8548 0000f408 SP_CS_BINDLESS_BASE[0x1].ADDR+0x1: 0xf408
8549 6915b33d SP_CS_BINDLESS_BASE[0x2].ADDR: 0x6915b33d
8550 000076cd SP_CS_BINDLESS_BASE[0x2].ADDR+0x1: 0x76cd
8551 3cfd0197 SP_CS_BINDLESS_BASE[0x3].ADDR: 0x3cfd0197
8552 0001f233 SP_CS_BINDLESS_BASE[0x3].ADDR+0x1: 0x1f233
8553 a6b745da SP_CS_BINDLESS_BASE[0x4].ADDR: 0xa6b745da
8554 00016204 SP_CS_BINDLESS_BASE[0x4].ADDR+0x1: 0x16204
8555 fdfdd365 SP_CS_IBO_LO: 0xfdfdd365
8556 0001d693 SP_CS_IBO_HI: 0x1d693
8557 00000040 SP_CS_IBO_COUNT: 64
8558 00000000 0xaa30: 00000000
8559 00000000 0xaa31: 00000000
8560 - cluster-name: CLUSTER_SP_PS
8561 - context: 0
8562 00000000 SP_2D_DST_FORMAT: { COLOR_FORMAT = 0 | MASK = 0 }
8563 - context: 1
8564 00000000 SP_2D_DST_FORMAT: { COLOR_FORMAT = 0 | MASK = 0 }
8565 - cluster-name: CLUSTER_SP_PS
8566 - context: 0
8567 00000000 SP_PS_TP_BORDER_COLOR_BASE_ADDR: 0
8568 00000000 SP_PS_TP_BORDER_COLOR_BASE_ADDR+0x1: 0
8569 00000000 SP_UNKNOWN_B182: 0
8570 00000000 SP_UNKNOWN_B183: 0
8571 00000000 0xb190: 00000000
8572 00000000 0xb191: 00000000
8573 - context: 1
8574 00000000 SP_PS_TP_BORDER_COLOR_BASE_ADDR: 0
8575 00000000 SP_PS_TP_BORDER_COLOR_BASE_ADDR+0x1: 0
8576 00000000 SP_UNKNOWN_B182: 0
8577 00000000 SP_UNKNOWN_B183: 0
8578 00000000 0xb190: 00000000
8579 00000000 0xb191: 00000000
8580 - cluster-name: CLUSTER_SP_PS
8581 - context: 0
8582 00000000 SP_PS_2D_SRC_INFO: { COLOR_FORMAT = 0 | TILE_MODE = TILE6_LINEAR | COLOR_SWAP = WZYX | SAMPLES = MSAA_ONE }
8583 00000000 SP_PS_2D_SRC_SIZE: { WIDTH = 0 | HEIGHT = 0 }
8584 00000000 SP_PS_2D_SRC_LO: 0
8585 00000000 SP_PS_2D_SRC_HI: 0
8586 00000000 SP_PS_2D_SRC_PITCH: { PITCH = 0 }
8587 00000000 0xb4c5: 00000000
8588 00000000 0xb4c6: 00000000
8589 00000000 0xb4c7: 00000000
8590 00000000 0xb4c8: 00000000
8591 00000000 0xb4c9: 00000000
8592 00000000 SP_PS_2D_SRC_FLAGS_LO: 0
8593 00000000 SP_PS_2D_SRC_FLAGS_HI: 0
8594 00000000 SP_PS_2D_SRC_FLAGS_PITCH: { PITCH = 0 | ARRAY_PITCH = 0 }
8595 00000000 0xb4cd: 00000000
8596 00000000 0xb4ce: 00000000
8597 00000000 0xb4cf: 00000000
8598 00000000 0xb4d0: 00000000
8599 00000000 SP_WINDOW_OFFSET: { X = 0 | Y = 0 }
8600 - context: 1
8601 00000000 SP_PS_2D_SRC_INFO: { COLOR_FORMAT = 0 | TILE_MODE = TILE6_LINEAR | COLOR_SWAP = WZYX | SAMPLES = MSAA_ONE }
8602 00000000 SP_PS_2D_SRC_SIZE: { WIDTH = 0 | HEIGHT = 0 }
8603 00000000 SP_PS_2D_SRC_LO: 0
8604 00000000 SP_PS_2D_SRC_HI: 0
8605 00000000 SP_PS_2D_SRC_PITCH: { PITCH = 0 }
8606 00000000 0xb4c5: 00000000
8607 00000000 0xb4c6: 00000000
8608 00000000 0xb4c7: 00000000
8609 00000000 0xb4c8: 00000000
8610 00000000 0xb4c9: 00000000
8611 00000000 SP_PS_2D_SRC_FLAGS_LO: 0
8612 00000000 SP_PS_2D_SRC_FLAGS_HI: 0
8613 00000000 SP_PS_2D_SRC_FLAGS_PITCH: { PITCH = 0 | ARRAY_PITCH = 0 }
8614 00000000 0xb4cd: 00000000
8615 00000000 0xb4ce: 00000000
8616 00000000 0xb4cf: 00000000
8617 00000000 0xb4d0: 00000000
8618 00000000 SP_WINDOW_OFFSET: { X = 0 | Y = 0 }
8619 - cluster-name: CLUSTER_SP_PS
8620 - context: 0
8621 00000140 HLSQ_FS_CNTL: { CONSTLEN = 256 | ENABLED }
8622 00000000 HLSQ_SHARED_CONSTS: { 0 }
8623 00000000 HLSQ_BINDLESS_BASE[0].ADDR: 0
8624 00000000 HLSQ_BINDLESS_BASE[0].ADDR+0x1: 0
8625 00000000 HLSQ_BINDLESS_BASE[0x1].ADDR: 0
8626 00000000 HLSQ_BINDLESS_BASE[0x1].ADDR+0x1: 0
8627 00000000 HLSQ_BINDLESS_BASE[0x2].ADDR: 0
8628 00000000 HLSQ_BINDLESS_BASE[0x2].ADDR+0x1: 0
8629 00000000 HLSQ_BINDLESS_BASE[0x3].ADDR: 0
8630 00000000 HLSQ_BINDLESS_BASE[0x3].ADDR+0x1: 0
8631 00000000 HLSQ_BINDLESS_BASE[0x4].ADDR: 0
8632 00000000 HLSQ_BINDLESS_BASE[0x4].ADDR+0x1: 0
8633 - context: 1
8634 00000140 HLSQ_FS_CNTL: { CONSTLEN = 256 | ENABLED }
8635 00000000 HLSQ_SHARED_CONSTS: { 0 }
8636 00000000 HLSQ_BINDLESS_BASE[0].ADDR: 0
8637 00000000 HLSQ_BINDLESS_BASE[0].ADDR+0x1: 0
8638 00000000 HLSQ_BINDLESS_BASE[0x1].ADDR: 0
8639 00000000 HLSQ_BINDLESS_BASE[0x1].ADDR+0x1: 0
8640 00000000 HLSQ_BINDLESS_BASE[0x2].ADDR: 0
8641 00000000 HLSQ_BINDLESS_BASE[0x2].ADDR+0x1: 0
8642 00000000 HLSQ_BINDLESS_BASE[0x3].ADDR: 0
8643 00000000 HLSQ_BINDLESS_BASE[0x3].ADDR+0x1: 0
8644 00000000 HLSQ_BINDLESS_BASE[0x4].ADDR: 0
8645 00000000 HLSQ_BINDLESS_BASE[0x4].ADDR+0x1: 0
8646 - cluster-name: CLUSTER_SP_PS
8647 - context: 0
8648 00000000 SP_MODE_CONTROL: { 0 }
8649 00000100 SP_FS_CONFIG: { ENABLED | NTEX = 0 | NSAMP = 0 | NIBO = 0 }
8650 00000000 SP_FS_INSTRLEN: 0
8651 cdb94116 SP_BINDLESS_BASE[0].ADDR: 0xcdb94116
8652 000007b4 SP_BINDLESS_BASE[0].ADDR+0x1: 0x7b4
8653 6e5e07c3 SP_BINDLESS_BASE[0x1].ADDR: 0x6e5e07c3
8654 0001f54b SP_BINDLESS_BASE[0x1].ADDR+0x1: 0x1f54b
8655 5f979543 SP_BINDLESS_BASE[0x2].ADDR: 0x5f979543
8656 0001b455 SP_BINDLESS_BASE[0x2].ADDR+0x1: 0x1b455
8657 a4d3a8cc SP_BINDLESS_BASE[0x3].ADDR: 0xa4d3a8cc
8658 00013f8c SP_BINDLESS_BASE[0x3].ADDR+0x1: 0x13f8c
8659 1d337e76 SP_BINDLESS_BASE[0x4].ADDR: 0x1d337e76
8660 0001ff60 SP_BINDLESS_BASE[0x4].ADDR+0x1: 0x1ff60
8661 e0e8bc18 SP_IBO_LO: 0xe0e8bc18
8662 00010202 SP_IBO_HI: 0x10202
8663 00000040 SP_IBO_COUNT: 64
8664 - context: 1
8665 00000000 SP_MODE_CONTROL: { 0 }
8666 00000100 SP_FS_CONFIG: { ENABLED | NTEX = 0 | NSAMP = 0 | NIBO = 0 }
8667 00000000 SP_FS_INSTRLEN: 0
8668 cdb94116 SP_BINDLESS_BASE[0].ADDR: 0xcdb94116
8669 000007b4 SP_BINDLESS_BASE[0].ADDR+0x1: 0x7b4
8670 6e5e07c3 SP_BINDLESS_BASE[0x1].ADDR: 0x6e5e07c3
8671 0001f54b SP_BINDLESS_BASE[0x1].ADDR+0x1: 0x1f54b
8672 5f979543 SP_BINDLESS_BASE[0x2].ADDR: 0x5f979543
8673 0001b455 SP_BINDLESS_BASE[0x2].ADDR+0x1: 0x1b455
8674 a4d3a8cc SP_BINDLESS_BASE[0x3].ADDR: 0xa4d3a8cc
8675 00013f8c SP_BINDLESS_BASE[0x3].ADDR+0x1: 0x13f8c
8676 1d337e76 SP_BINDLESS_BASE[0x4].ADDR: 0x1d337e76
8677 0001ff60 SP_BINDLESS_BASE[0x4].ADDR+0x1: 0x1ff60
8678 e0e8bc18 SP_IBO_LO: 0xe0e8bc18
8679 00010202 SP_IBO_HI: 0x10202
8680 00000040 SP_IBO_COUNT: 64
8681 - cluster-name: CLUSTER_SP_PS
8682 - context: 0
8683 00000000 SP_TP_RAS_MSAA_CNTL: { SAMPLES = MSAA_ONE }
8684 00000000 SP_TP_DEST_MSAA_CNTL: { SAMPLES = MSAA_ONE }
8685 00000000 SP_TP_BORDER_COLOR_BASE_ADDR: 0
8686 00000000 SP_TP_BORDER_COLOR_BASE_ADDR+0x1: 0
8687 00000000 SP_TP_SAMPLE_CONFIG: { 0 }
8688 00000000 SP_TP_SAMPLE_LOCATION_0: { SAMPLE_0_X = 0.000000 | SAMPLE_0_Y = 0.000000 | SAMPLE_1_X = 0.000000 | SAMPLE_1_Y = 0.000000 | SAMPLE_2_X = 0.000000 | SAMPLE_2_Y = 0.000000 | SAMPLE_3_X = 0.000000 | SAMPLE_3_Y = 0.000000 }
8689 00000000 SP_TP_SAMPLE_LOCATION_1: { SAMPLE_0_X = 0.000000 | SAMPLE_0_Y = 0.000000 | SAMPLE_1_X = 0.000000 | SAMPLE_1_Y = 0.000000 | SAMPLE_2_X = 0.000000 | SAMPLE_2_Y = 0.000000 | SAMPLE_3_X = 0.000000 | SAMPLE_3_Y = 0.000000 }
8690 00000000 SP_TP_WINDOW_OFFSET: { X = 0 | Y = 0 }
8691 0000004c SP_TP_UNKNOWN_B309: 0x4c
8692 deadbeef 0xb380: deadbeef
8693 deadbeef 0xb381: deadbeef
8694 deadbeef 0xb382: deadbeef
8695 - context: 1
8696 00000000 SP_TP_RAS_MSAA_CNTL: { SAMPLES = MSAA_ONE }
8697 00000000 SP_TP_DEST_MSAA_CNTL: { SAMPLES = MSAA_ONE }
8698 00000000 SP_TP_BORDER_COLOR_BASE_ADDR: 0
8699 00000000 SP_TP_BORDER_COLOR_BASE_ADDR+0x1: 0
8700 00000000 SP_TP_SAMPLE_CONFIG: { 0 }
8701 00000000 SP_TP_SAMPLE_LOCATION_0: { SAMPLE_0_X = 0.000000 | SAMPLE_0_Y = 0.000000 | SAMPLE_1_X = 0.000000 | SAMPLE_1_Y = 0.000000 | SAMPLE_2_X = 0.000000 | SAMPLE_2_Y = 0.000000 | SAMPLE_3_X = 0.000000 | SAMPLE_3_Y = 0.000000 }
8702 00000000 SP_TP_SAMPLE_LOCATION_1: { SAMPLE_0_X = 0.000000 | SAMPLE_0_Y = 0.000000 | SAMPLE_1_X = 0.000000 | SAMPLE_1_Y = 0.000000 | SAMPLE_2_X = 0.000000 | SAMPLE_2_Y = 0.000000 | SAMPLE_3_X = 0.000000 | SAMPLE_3_Y = 0.000000 }
8703 00000000 SP_TP_WINDOW_OFFSET: { X = 0 | Y = 0 }
8704 0000004c SP_TP_UNKNOWN_B309: 0x4c
8705 deadbeef 0xb380: deadbeef
8706 deadbeef 0xb381: deadbeef
8707 deadbeef 0xb382: deadbeef
8708 debugbus:
8709 - debugbus-block: A6XX_DBGBUS_CP
8710 count: 512
8711 - debugbus-block: A6XX_DBGBUS_RBBM
8712 count: 512
8713 - debugbus-block: A6XX_DBGBUS_HLSQ
8714 count: 512
8715 - debugbus-block: A6XX_DBGBUS_UCHE
8716 count: 512
8717 - debugbus-block: A6XX_DBGBUS_DPM
8718 count: 512
8719 - debugbus-block: A6XX_DBGBUS_TESS
8720 count: 512
8721 - debugbus-block: A6XX_DBGBUS_PC
8722 count: 512
8723 - debugbus-block: A6XX_DBGBUS_VFDP
8724 count: 512
8725 - debugbus-block: A6XX_DBGBUS_VPC
8726 count: 512
8727 - debugbus-block: A6XX_DBGBUS_TSE
8728 count: 512
8729 - debugbus-block: A6XX_DBGBUS_RAS
8730 count: 512
8731 - debugbus-block: A6XX_DBGBUS_VSC
8732 count: 512
8733 - debugbus-block: A6XX_DBGBUS_COM
8734 count: 512
8735 - debugbus-block: A6XX_DBGBUS_LRZ
8736 count: 512
8737 - debugbus-block: A6XX_DBGBUS_A2D
8738 count: 512
8739 - debugbus-block: A6XX_DBGBUS_CCUFCHE
8740 count: 512
8741 - debugbus-block: A6XX_DBGBUS_RBP
8742 count: 512
8743 - debugbus-block: A6XX_DBGBUS_DCS
8744 count: 512
8745 - debugbus-block: A6XX_DBGBUS_DBGC
8746 count: 512
8747 - debugbus-block: A6XX_DBGBUS_GMU_GX
8748 count: 512
8749 - debugbus-block: A6XX_DBGBUS_TPFCHE
8750 count: 512
8751 - debugbus-block: A6XX_DBGBUS_GPC
8752 count: 512
8753 - debugbus-block: A6XX_DBGBUS_LARC
8754 count: 512
8755 - debugbus-block: A6XX_DBGBUS_HLSQ_SPTP
8756 count: 512
8757 - debugbus-block: A6XX_DBGBUS_RB_0
8758 count: 512
8759 - debugbus-block: A6XX_DBGBUS_RB_1
8760 count: 512
8761 - debugbus-block: A6XX_DBGBUS_UCHE_WRAPPER
8762 count: 512
8763 - debugbus-block: A6XX_DBGBUS_CCU_0
8764 count: 512
8765 - debugbus-block: A6XX_DBGBUS_CCU_1
8766 count: 512
8767 - debugbus-block: A6XX_DBGBUS_VFD_0
8768 count: 512
8769 - debugbus-block: A6XX_DBGBUS_VFD_1
8770 count: 512
8771 - debugbus-block: A6XX_DBGBUS_VFD_2
8772 count: 512
8773 - debugbus-block: A6XX_DBGBUS_VFD_3
8774 count: 512
8775 - debugbus-block: A6XX_DBGBUS_SP_0
8776 count: 512
8777 - debugbus-block: A6XX_DBGBUS_SP_1
8778 count: 512
8779 - debugbus-block: A6XX_DBGBUS_TPL1_0
8780 count: 512
8781 - debugbus-block: A6XX_DBGBUS_TPL1_1
8782 count: 512
8783 - debugbus-block: A6XX_DBGBUS_TPL1_2
8784 count: 512
8785 - debugbus-block: A6XX_DBGBUS_TPL1_3
8786 count: 512
8787 - debugbus-block: A6XX_DBGBUS_VBIF
8788 count: 170