gallium: Fix build when exiting CFLAGS contains a path with different gl.h
authorBenjamin Close <Benjamin.Close@clearchain.com>
Wed, 25 Feb 2009 04:51:11 +0000 (20:51 -0800)
committerBrian Paul <brianp@vmware.com>
Wed, 25 Feb 2009 14:55:27 +0000 (07:55 -0700)
commitafe139f629251f38afd5b477d5b00f47d17da60f
tree4329227de019675e8c1543a728729c8561afb735
parent9c0dea10e91752d5f43ed5ef9eac53248e5a05eb
gallium: Fix build when exiting CFLAGS contains a path with different gl.h

If a path is in CFLAGS when building and that path contains gl.h
then the wrong gl.h is used when building. This can lead to very
confusing errors. The solution is rather than postpend the CFLAGS
we prepend the paths as expected allowing compilation to occur
as intended

Signed-off-by: Benjamin Close <Benjamin.Close@clearchain.com>
src/gallium/state_trackers/egl/Makefile