From b7a6333ee400009e4a39d79c72088c37cc94aa0d Mon Sep 17 00:00:00 2001 From: =?utf8?q?Marek=20Ol=C5=A1=C3=A1k?= Date: Fri, 21 Aug 2020 08:09:58 -0400 Subject: [PATCH] amd/registers: switch to new generated register definitions Acked-by: Samuel Pitoiset Reviewed-by: Pierre-Eric Pelloux-Prayer Part-of: --- src/amd/Android.common.mk | 12 +- src/amd/common/ac_gpu_info.c | 2 +- src/amd/common/ac_shadowed_regs.c | 8 +- src/amd/common/meson.build | 22 +- src/amd/registers/amdgfxregs.json | 16176 ------------- src/amd/registers/gfx10-rsrc.json | 34 +- src/amd/registers/gfx10.json | 19988 ++++++---------- src/amd/registers/makeregheader.py | 4 +- src/amd/registers/pkt3.json | 42 +- src/amd/vulkan/radv_cmd_buffer.c | 22 +- src/amd/vulkan/radv_debug.c | 27 +- src/amd/vulkan/radv_device.c | 6 +- src/amd/vulkan/radv_image.c | 6 +- src/amd/vulkan/radv_pipeline.c | 42 +- src/amd/vulkan/radv_private.h | 2 +- src/amd/vulkan/radv_shader.c | 2 +- src/amd/vulkan/si_cmd_buffer.c | 2 +- src/gallium/drivers/radeonsi/si_perfcounter.c | 6 +- src/gallium/drivers/radeonsi/si_pipe.c | 4 +- src/gallium/drivers/radeonsi/si_state.c | 56 +- src/gallium/drivers/radeonsi/si_state_draw.c | 32 +- .../drivers/radeonsi/si_state_shaders.c | 8 +- 22 files changed, 7671 insertions(+), 28832 deletions(-) delete mode 100644 src/amd/registers/amdgfxregs.json diff --git a/src/amd/Android.common.mk b/src/amd/Android.common.mk index 982d8b4f6fd..6b83f39662f 100644 --- a/src/amd/Android.common.mk +++ b/src/amd/Android.common.mk @@ -59,10 +59,16 @@ $(intermediates)/common/sid_tables.h: $(SID_TABLES) $(SID_TABLES_INPUTS) AMDGFXREGS := $(LOCAL_PATH)/registers/makeregheader.py AMDGFXREGS_INPUTS := \ - $(LOCAL_PATH)/registers/amdgfxregs.json \ - $(LOCAL_PATH)/registers/pkt3.json \ + $(LOCAL_PATH)/registers/gfx6.json \ + $(LOCAL_PATH)/registers/gfx7.json \ + $(LOCAL_PATH)/registers/gfx8.json \ + $(LOCAL_PATH)/registers/gfx81.json \ + $(LOCAL_PATH)/registers/gfx9.json \ $(LOCAL_PATH)/registers/gfx10.json \ - $(LOCAL_PATH)/registers/gfx10-rsrc.json + $(LOCAL_PATH)/registers/gfx103.json \ + $(LOCAL_PATH)/registers/pkt3.json \ + $(LOCAL_PATH)/registers/gfx10-rsrc.json \ + $(LOCAL_PATH)/registers/registers-manually-defined.json $(intermediates)/common/amdgfxregs.h: $(AMDGFXREGS) $(AMDGFXREGS_INPUTS) @mkdir -p $(dir $@) diff --git a/src/amd/common/ac_gpu_info.c b/src/amd/common/ac_gpu_info.c index ba6f61ac0f9..e6ed816f74c 100644 --- a/src/amd/common/ac_gpu_info.c +++ b/src/amd/common/ac_gpu_info.c @@ -1305,7 +1305,7 @@ unsigned ac_get_compute_resource_limits(struct radeon_info *info, /* GFX6 */ if (max_waves_per_sh) { unsigned limit_div16 = DIV_ROUND_UP(max_waves_per_sh, 16); - compute_resource_limits |= S_00B854_WAVES_PER_SH_SI(limit_div16); + compute_resource_limits |= S_00B854_WAVES_PER_SH_GFX6(limit_div16); } } return compute_resource_limits; diff --git a/src/amd/common/ac_shadowed_regs.c b/src/amd/common/ac_shadowed_regs.c index c7db0f17d45..d08ccf0765f 100644 --- a/src/amd/common/ac_shadowed_regs.c +++ b/src/amd/common/ac_shadowed_regs.c @@ -637,8 +637,8 @@ static const struct ac_reg_range Gfx103ContextShadowRange[] = { R_028714_SPI_SHADER_COL_FORMAT - R_028644_SPI_PS_INPUT_CNTL_0 + 4, }, { - R_028750_SX_PS_DOWNCONVERT_CONTROL_GFX103, - R_02879C_CB_BLEND7_CONTROL - R_028750_SX_PS_DOWNCONVERT_CONTROL_GFX103 + 4, + R_028750_SX_PS_DOWNCONVERT_CONTROL, + R_02879C_CB_BLEND7_CONTROL - R_028750_SX_PS_DOWNCONVERT_CONTROL + 4, }, { R_0287FC_GE_MAX_OUTPUT_PER_SUBGROUP, @@ -787,7 +787,7 @@ static const struct ac_reg_range Gfx103NonShadowedRanges[] = { /* SQ thread trace registers are always not shadowed. */ { R_008D00_SQ_THREAD_TRACE_BUF0_BASE, - R_008D3C_SQ_THREAD_TRACE_STATUS2_GFX103 - R_008D00_SQ_THREAD_TRACE_BUF0_BASE + 4, + R_008D3C_SQ_THREAD_TRACE_STATUS2 - R_008D00_SQ_THREAD_TRACE_BUF0_BASE + 4, }, { R_030D00_SQ_THREAD_TRACE_USERDATA_0, @@ -2893,7 +2893,7 @@ static void gfx103_emulate_clear_state(struct radeon_cmdbuf *cs, unsigned num_re set_context_reg_seq_array(cs, R_0281E8_COHER_DEST_BASE_HI_0, SET(CoherDestBaseHi0Gfx103)); set_context_reg_seq_array(cs, R_02840C_VGT_MULTI_PRIM_IB_RESET_INDX, SET(VgtMultiPrimIbResetIndxGfx103)); set_context_reg_seq_array(cs, R_028644_SPI_PS_INPUT_CNTL_0, SET(SpiPsInputCntl0Gfx103)); - set_context_reg_seq_array(cs, R_028750_SX_PS_DOWNCONVERT_CONTROL_GFX103, SET(SxPsDownconvertControlGfx103)); + set_context_reg_seq_array(cs, R_028750_SX_PS_DOWNCONVERT_CONTROL, SET(SxPsDownconvertControlGfx103)); set_context_reg_seq_array(cs, R_0287FC_GE_MAX_OUTPUT_PER_SUBGROUP, SET(GeMaxOutputPerSubgroupGfx103)); set_context_reg_seq_array(cs, R_02882C_PA_SU_PRIM_FILTER_CNTL, SET(PaSuPrimFilterCntlGfx103)); set_context_reg_seq_array(cs, R_028A00_PA_SU_POINT_SIZE, SET(PaSuPointSizeGfx103)); diff --git a/src/amd/common/meson.build b/src/amd/common/meson.build index 04e0da3e13c..2df1a7b4d4d 100644 --- a/src/amd/common/meson.build +++ b/src/amd/common/meson.build @@ -18,10 +18,25 @@ # OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE # SOFTWARE. +amd_json_files = [ + # Generated: + '../registers/gfx6.json', + '../registers/gfx7.json', + '../registers/gfx8.json', + '../registers/gfx81.json', + '../registers/gfx9.json', + '../registers/gfx10.json', + '../registers/gfx103.json', + + # Manually written: + '../registers/pkt3.json', + '../registers/gfx10-rsrc.json', + '../registers/registers-manually-defined.json', +] + sid_tables_h = custom_target( 'sid_tables_h', - input : ['sid_tables.py', 'sid.h', '../registers/amdgfxregs.json', '../registers/pkt3.json', - '../registers/gfx10.json', '../registers/gfx10-rsrc.json'], + input : ['sid_tables.py', 'sid.h'] + amd_json_files, output : 'sid_tables.h', command : [prog_python, '@INPUT@'], capture : true, @@ -29,8 +44,7 @@ sid_tables_h = custom_target( amdgfxregs_h = custom_target( 'amdgfxregs_h', - input : ['../registers/makeregheader.py', '../registers/amdgfxregs.json', '../registers/pkt3.json', - '../registers/gfx10.json', '../registers/gfx10-rsrc.json'], + input : ['../registers/makeregheader.py'] + amd_json_files, output : 'amdgfxregs.h', command : [prog_python, '@INPUT@', '--sort', 'address', '--guard', 'AMDGFXREGS_H'], capture : true, diff --git a/src/amd/registers/amdgfxregs.json b/src/amd/registers/amdgfxregs.json deleted file mode 100644 index fb738545286..00000000000 --- a/src/amd/registers/amdgfxregs.json +++ /dev/null @@ -1,16176 +0,0 @@ -{ - "enums": { - "BinningMode": { - "entries": [ - {"name": "BINNING_ALLOWED", "value": 0}, - {"name": "FORCE_BINNING_ON", "value": 1}, - {"name": "DISABLE_BINNING_USE_NEW_SC", "value": 2}, - {"name": "DISABLE_BINNING_USE_LEGACY_SC", "value": 3} - ] - }, - "BlendOp": { - "entries": [ - {"name": "BLEND_ZERO", "value": 0}, - {"name": "BLEND_ONE", "value": 1}, - {"name": "BLEND_SRC_COLOR", "value": 2}, - {"name": "BLEND_ONE_MINUS_SRC_COLOR", "value": 3}, - {"name": "BLEND_SRC_ALPHA", "value": 4}, - {"name": "BLEND_ONE_MINUS_SRC_ALPHA", "value": 5}, - {"name": "BLEND_DST_ALPHA", "value": 6}, - {"name": "BLEND_ONE_MINUS_DST_ALPHA", "value": 7}, - {"name": "BLEND_DST_COLOR", "value": 8}, - {"name": "BLEND_ONE_MINUS_DST_COLOR", "value": 9}, - {"name": "BLEND_SRC_ALPHA_SATURATE", "value": 10}, - {"name": "BLEND_CONSTANT_COLOR", "value": 13}, - {"name": "BLEND_ONE_MINUS_CONSTANT_COLOR", "value": 14}, - {"name": "BLEND_SRC1_COLOR", "value": 15}, - {"name": "BLEND_INV_SRC1_COLOR", "value": 16}, - {"name": "BLEND_SRC1_ALPHA", "value": 17}, - {"name": "BLEND_INV_SRC1_ALPHA", "value": 18}, - {"name": "BLEND_CONSTANT_ALPHA", "value": 19}, - {"name": "BLEND_ONE_MINUS_CONSTANT_ALPHA", "value": 20} - ] - }, - "BlendOpt": { - "entries": [ - {"name": "FORCE_OPT_AUTO", "value": 0}, - {"name": "FORCE_OPT_DISABLE", "value": 1}, - {"name": "FORCE_OPT_ENABLE_IF_SRC_A_0", "value": 2}, - {"name": "FORCE_OPT_ENABLE_IF_SRC_RGB_0", "value": 3}, - {"name": "FORCE_OPT_ENABLE_IF_SRC_ARGB_0", "value": 4}, - {"name": "FORCE_OPT_ENABLE_IF_SRC_A_1", "value": 5}, - {"name": "FORCE_OPT_ENABLE_IF_SRC_RGB_1", "value": 6}, - {"name": "FORCE_OPT_ENABLE_IF_SRC_ARGB_1", "value": 7} - ] - }, - "CBMode": { - "entries": [ - {"name": "CB_DISABLE", "value": 0}, - {"name": "CB_NORMAL", "value": 1}, - {"name": "CB_ELIMINATE_FAST_CLEAR", "value": 2}, - {"name": "CB_RESOLVE", "value": 3}, - {"name": "CB_FMASK_DECOMPRESS", "value": 5}, - {"name": "CB_DCC_DECOMPRESS", "value": 6} - ] - }, - "CB_COLOR0_ATTRIB__RESOURCE_TYPE": { - "entries": [ - {"name": "1D", "value": 0}, - {"name": "2D", "value": 1}, - {"name": "3D", "value": 2}, - {"name": "RESERVED", "value": 3} - ] - }, - "CB_COLOR0_INFO__COMP_SWAP": { - "entries": [ - {"name": "SWAP_STD", "value": 0}, - {"name": "SWAP_ALT", "value": 1}, - {"name": "SWAP_STD_REV", "value": 2}, - {"name": "SWAP_ALT_REV", "value": 3} - ] - }, - "CB_COLOR0_INFO__ENDIAN": { - "entries": [ - {"name": "ENDIAN_NONE", "value": 0}, - {"name": "ENDIAN_8IN16", "value": 1}, - {"name": "ENDIAN_8IN32", "value": 2}, - {"name": "ENDIAN_8IN64", "value": 3} - ] - }, - "CB_COLOR0_INFO__FORMAT": { - "entries": [ - {"name": "COLOR_INVALID", "value": 0}, - {"name": "COLOR_8", "value": 1}, - {"name": "COLOR_16", "value": 2}, - {"name": "COLOR_8_8", "value": 3}, - {"name": "COLOR_32", "value": 4}, - {"name": "COLOR_16_16", "value": 5}, - {"name": "COLOR_10_11_11", "value": 6}, - {"name": "COLOR_11_11_10", "value": 7}, - {"name": "COLOR_10_10_10_2", "value": 8}, - {"name": "COLOR_2_10_10_10", "value": 9}, - {"name": "COLOR_8_8_8_8", "value": 10}, - {"name": "COLOR_32_32", "value": 11}, - {"name": "COLOR_16_16_16_16", "value": 12}, - {"name": "COLOR_32_32_32_32", "value": 14}, - {"name": "COLOR_5_6_5", "value": 16}, - {"name": "COLOR_1_5_5_5", "value": 17}, - {"name": "COLOR_5_5_5_1", "value": 18}, - {"name": "COLOR_4_4_4_4", "value": 19}, - {"name": "COLOR_8_24", "value": 20}, - {"name": "COLOR_24_8", "value": 21}, - {"name": "COLOR_X24_8_32_FLOAT", "value": 22} - ] - }, - "CB_COLOR0_INFO__NUMBER_TYPE": { - "entries": [ - {"name": "NUMBER_UNORM", "value": 0}, - {"name": "NUMBER_SNORM", "value": 1}, - {"name": "NUMBER_UINT", "value": 4}, - {"name": "NUMBER_SINT", "value": 5}, - {"name": "NUMBER_SRGB", "value": 6}, - {"name": "NUMBER_FLOAT", "value": 7} - ] - }, - "CB_COLOR_CONTROL__ROP3": { - "entries": [ - {"name": "ROP3_CLEAR", "value": 0}, - {"name": "X_0X05", "value": 5}, - {"name": "X_0X0A", "value": 10}, - {"name": "X_0X0F", "value": 15}, - {"name": "ROP3_NOR", "value": 17}, - {"name": "ROP3_AND_INVERTED", "value": 34}, - {"name": "ROP3_COPY_INVERTED", "value": 51}, - {"name": "ROP3_AND_REVERSE", "value": 68}, - {"name": "X_0X50", "value": 80}, - {"name": "ROP3_INVERT", "value": 85}, - {"name": "X_0X5A", "value": 90}, - {"name": "X_0X5F", "value": 95}, - {"name": "ROP3_XOR", "value": 102}, - {"name": "ROP3_NAND", "value": 119}, - {"name": "ROP3_AND", "value": 136}, - {"name": "ROP3_EQUIVALENT", "value": 153}, - {"name": "X_0XA0", "value": 160}, - {"name": "X_0XA5", "value": 165}, - {"name": "ROP3_NO_OP", "value": 170}, - {"name": "X_0XAF", "value": 175}, - {"name": "ROP3_OR_INVERTED", "value": 187}, - {"name": "ROP3_COPY", "value": 204}, - {"name": "ROP3_OR_REVERSE", "value": 221}, - {"name": "ROP3_OR", "value": 238}, - {"name": "X_0XF0", "value": 240}, - {"name": "X_0XF5", "value": 245}, - {"name": "X_0XFA", "value": 250}, - {"name": "ROP3_SET", "value": 255} - ] - }, - "CB_COLOR_DCC_CONTROL__MAX_UNCOMPRESSED_BLOCK_SIZE": { - "entries": [ - {"name": "MAX_BLOCK_SIZE_64B", "value": 0}, - {"name": "MAX_BLOCK_SIZE_128B", "value": 1}, - {"name": "MAX_BLOCK_SIZE_256B", "value": 2} - ] - }, - "CB_COLOR_DCC_CONTROL__MIN_COMPRESSED_BLOCK_SIZE": { - "entries": [ - {"name": "MIN_BLOCK_SIZE_32B", "value": 0}, - {"name": "MIN_BLOCK_SIZE_64B", "value": 1} - ] - }, - "CP_PERFMON_STATE": { - "entries": [ - {"name": "DISABLE_AND_RESET", "value": 0}, - {"name": "START_COUNTING", "value": 1}, - {"name": "STOP_COUNTING", "value": 2} - ] - }, - "CombFunc": { - "entries": [ - {"name": "COMB_DST_PLUS_SRC", "value": 0}, - {"name": "COMB_SRC_MINUS_DST", "value": 1}, - {"name": "COMB_MIN_DST_SRC", "value": 2}, - {"name": "COMB_MAX_DST_SRC", "value": 3}, - {"name": "COMB_DST_MINUS_SRC", "value": 4} - ] - }, - "CompareFrag": { - "entries": [ - {"name": "FRAG_NEVER", "value": 0}, - {"name": "FRAG_LESS", "value": 1}, - {"name": "FRAG_EQUAL", "value": 2}, - {"name": "FRAG_LEQUAL", "value": 3}, - {"name": "FRAG_GREATER", "value": 4}, - {"name": "FRAG_NOTEQUAL", "value": 5}, - {"name": "FRAG_GEQUAL", "value": 6}, - {"name": "FRAG_ALWAYS", "value": 7} - ] - }, - "ConservativeZExport": { - "entries": [ - {"name": "EXPORT_ANY_Z", "value": 0}, - {"name": "EXPORT_LESS_THAN_Z", "value": 1}, - {"name": "EXPORT_GREATER_THAN_Z", "value": 2}, - {"name": "EXPORT_RESERVED", "value": 3} - ] - }, - "DB_DEPTH_CONTROL__STENCILFUNC": { - "entries": [ - {"name": "REF_NEVER", "value": 0}, - {"name": "REF_LESS", "value": 1}, - {"name": "REF_EQUAL", "value": 2}, - {"name": "REF_LEQUAL", "value": 3}, - {"name": "REF_GREATER", "value": 4}, - {"name": "REF_NOTEQUAL", "value": 5}, - {"name": "REF_GEQUAL", "value": 6}, - {"name": "REF_ALWAYS", "value": 7} - ] - }, - "DB_DEPTH_INFO__ARRAY_MODE": { - "entries": [ - {"name": "ARRAY_LINEAR_GENERAL", "value": 0}, - {"name": "ARRAY_LINEAR_ALIGNED", "value": 1}, - {"name": "ARRAY_1D_TILED_THIN1", "value": 2}, - {"name": "ARRAY_2D_TILED_THIN1", "value": 4}, - {"name": "ARRAY_PRT_TILED_THIN1", "value": 5}, - {"name": "ARRAY_PRT_2D_TILED_THIN1", "value": 6} - ] - }, - "DB_DEPTH_INFO__PIPE_CONFIG": { - "entries": [ - {"name": "ADDR_SURF_P2", "value": 0}, - {"name": "X_ADDR_SURF_P4_8X16", "value": 4}, - {"name": "X_ADDR_SURF_P4_16X16", "value": 5}, - {"name": "X_ADDR_SURF_P4_16X32", "value": 6}, - {"name": "X_ADDR_SURF_P4_32X32", "value": 7}, - {"name": "X_ADDR_SURF_P8_16X16_8X16", "value": 8}, - {"name": "X_ADDR_SURF_P8_16X32_8X16", "value": 9}, - {"name": "X_ADDR_SURF_P8_32X32_8X16", "value": 10}, - {"name": "X_ADDR_SURF_P8_16X32_16X16", "value": 11}, - {"name": "X_ADDR_SURF_P8_32X32_16X16", "value": 12}, - {"name": "X_ADDR_SURF_P8_32X32_16X32", "value": 13}, - {"name": "X_ADDR_SURF_P8_32X64_32X32", "value": 14}, - {"name": "X_ADDR_SURF_P16_32X32_8X16", "value": 16}, - {"name": "X_ADDR_SURF_P16_32X32_16X16", "value": 17} - ] - }, - "DB_DFSM_CONTROL__PUNCHOUT_MODE": { - "entries": [ - {"name": "AUTO", "value": 0}, - {"name": "FORCE_ON", "value": 1}, - {"name": "FORCE_OFF", "value": 2}, - {"name": "RESERVED", "value": 3} - ] - }, - "DB_STENCIL_INFO__FORMAT": { - "entries": [ - {"name": "STENCIL_INVALID", "value": 0}, - {"name": "STENCIL_8", "value": 1} - ] - }, - "DB_Z_INFO__FORMAT": { - "entries": [ - {"name": "Z_INVALID", "value": 0}, - {"name": "Z_16", "value": 1}, - {"comment": "deprecated", "name": "Z_24", "value": 2}, - {"name": "Z_32_FLOAT", "value": 3} - ] - }, - "DbPSLControl": { - "entries": [ - {"name": "PSLC_AUTO", "value": 0}, - {"name": "PSLC_ON_HANG_ONLY", "value": 1}, - {"name": "PSLC_ASAP", "value": 2}, - {"name": "PSLC_COUNTDOWN", "value": 3} - ] - }, - "ForceControl": { - "entries": [ - {"name": "FORCE_OFF", "value": 0}, - {"name": "FORCE_ENABLE", "value": 1}, - {"name": "FORCE_DISABLE", "value": 2}, - {"name": "FORCE_RESERVED", "value": 3} - ] - }, - "GB_TILE_MODE0__ARRAY_MODE": { - "entries": [ - {"name": "ARRAY_LINEAR_GENERAL", "value": 0}, - {"name": "ARRAY_LINEAR_ALIGNED", "value": 1}, - {"name": "ARRAY_1D_TILED_THIN1", "value": 2}, - {"name": "ARRAY_1D_TILED_THICK", "value": 3}, - {"name": "ARRAY_2D_TILED_THIN1", "value": 4}, - {"name": "ARRAY_2D_TILED_THICK", "value": 7}, - {"name": "ARRAY_2D_TILED_XTHICK", "value": 8}, - {"name": "ARRAY_3D_TILED_THIN1", "value": 12}, - {"name": "ARRAY_3D_TILED_THICK", "value": 13}, - {"name": "ARRAY_3D_TILED_XTHICK", "value": 14}, - {"name": "ARRAY_POWER_SAVE", "value": 15} - ] - }, - "GB_TILE_MODE0__BANK_HEIGHT": { - "entries": [ - {"name": "ADDR_SURF_BANK_HEIGHT_1", "value": 0}, - {"name": "ADDR_SURF_BANK_HEIGHT_2", "value": 1}, - {"name": "ADDR_SURF_BANK_HEIGHT_4", "value": 2}, - {"name": "ADDR_SURF_BANK_HEIGHT_8", "value": 3} - ] - }, - "GB_TILE_MODE0__BANK_WIDTH": { - "entries": [ - {"name": "ADDR_SURF_BANK_WIDTH_1", "value": 0}, - {"name": "ADDR_SURF_BANK_WIDTH_2", "value": 1}, - {"name": "ADDR_SURF_BANK_WIDTH_4", "value": 2}, - {"name": "ADDR_SURF_BANK_WIDTH_8", "value": 3} - ] - }, - "GB_TILE_MODE0__MACRO_TILE_ASPECT": { - "entries": [ - {"name": "ADDR_SURF_MACRO_ASPECT_1", "value": 0}, - {"name": "ADDR_SURF_MACRO_ASPECT_2", "value": 1}, - {"name": "ADDR_SURF_MACRO_ASPECT_4", "value": 2}, - {"name": "ADDR_SURF_MACRO_ASPECT_8", "value": 3} - ] - }, - "GB_TILE_MODE0__MICRO_TILE_MODE": { - "entries": [ - {"name": "ADDR_SURF_DISPLAY_MICRO_TILING", "value": 0}, - {"name": "ADDR_SURF_THIN_MICRO_TILING", "value": 1}, - {"name": "ADDR_SURF_DEPTH_MICRO_TILING", "value": 2}, - {"name": "ADDR_SURF_THICK_MICRO_TILING", "value": 3} - ] - }, - "GB_TILE_MODE0__MICRO_TILE_MODE_NEW": { - "entries": [ - {"name": "ADDR_SURF_DISPLAY_MICRO_TILING", "value": 0}, - {"name": "ADDR_SURF_THIN_MICRO_TILING", "value": 1}, - {"name": "ADDR_SURF_DEPTH_MICRO_TILING", "value": 2}, - {"name": "ADDR_SURF_ROTATED_MICRO_TILING", "value": 3} - ] - }, - "GB_TILE_MODE0__NUM_BANKS": { - "entries": [ - {"name": "ADDR_SURF_2_BANK", "value": 0}, - {"name": "ADDR_SURF_4_BANK", "value": 1}, - {"name": "ADDR_SURF_8_BANK", "value": 2}, - {"name": "ADDR_SURF_16_BANK", "value": 3} - ] - }, - "GB_TILE_MODE0__PIPE_CONFIG": { - "entries": [ - {"name": "ADDR_SURF_P2", "value": 0}, - {"name": "ADDR_SURF_P2_RESERVED0", "value": 1}, - {"name": "ADDR_SURF_P2_RESERVED1", "value": 2}, - {"name": "ADDR_SURF_P2_RESERVED2", "value": 3}, - {"name": "X_ADDR_SURF_P4_8X16", "value": 4}, - {"name": "X_ADDR_SURF_P4_16X16", "value": 5}, - {"name": "X_ADDR_SURF_P4_16X32", "value": 6}, - {"name": "X_ADDR_SURF_P4_32X32", "value": 7}, - {"name": "X_ADDR_SURF_P8_16X16_8X16", "value": 8}, - {"name": "X_ADDR_SURF_P8_16X32_8X16", "value": 9}, - {"name": "X_ADDR_SURF_P8_32X32_8X16", "value": 10}, - {"name": "X_ADDR_SURF_P8_16X32_16X16", "value": 11}, - {"name": "X_ADDR_SURF_P8_32X32_16X16", "value": 12}, - {"name": "X_ADDR_SURF_P8_32X32_16X32", "value": 13}, - {"name": "X_ADDR_SURF_P8_32X64_32X32", "value": 14} - ] - }, - "GB_TILE_MODE0__TILE_SPLIT": { - "entries": [ - {"name": "ADDR_SURF_TILE_SPLIT_64B", "value": 0}, - {"name": "ADDR_SURF_TILE_SPLIT_128B", "value": 1}, - {"name": "ADDR_SURF_TILE_SPLIT_256B", "value": 2}, - {"name": "ADDR_SURF_TILE_SPLIT_512B", "value": 3}, - {"name": "ADDR_SURF_TILE_SPLIT_1KB", "value": 4}, - {"name": "ADDR_SURF_TILE_SPLIT_2KB", "value": 5}, - {"name": "ADDR_SURF_TILE_SPLIT_4KB", "value": 6} - ] - }, - "PA_SC_CLIPRECT_RULE__CLIP_RULE": { - "entries": [ - {"name": "OUT", "value": 1}, - {"name": "IN_0", "value": 2}, - {"name": "IN_1", "value": 4}, - {"name": "IN_10", "value": 8}, - {"name": "IN_2", "value": 16}, - {"name": "IN_20", "value": 32}, - {"name": "IN_21", "value": 64}, - {"name": "IN_210", "value": 128}, - {"name": "IN_3", "value": 256}, - {"name": "IN_30", "value": 512}, - {"name": "IN_31", "value": 1024}, - {"name": "IN_310", "value": 2048}, - {"name": "IN_32", "value": 4096}, - {"name": "IN_320", "value": 8192}, - {"name": "IN_321", "value": 16384}, - {"name": "IN_3210", "value": 32768} - ] - }, - "PA_SU_SC_MODE_CNTL__POLYMODE_FRONT_PTYPE": { - "entries": [ - {"name": "X_DRAW_POINTS", "value": 0}, - {"name": "X_DRAW_LINES", "value": 1}, - {"name": "X_DRAW_TRIANGLES", "value": 2} - ] - }, - "PA_SU_SC_MODE_CNTL__POLY_MODE": { - "entries": [ - {"name": "X_DISABLE_POLY_MODE", "value": 0}, - {"name": "X_DUAL_MODE", "value": 1} - ] - }, - "PA_SU_VTX_CNTL__QUANT_MODE": { - "entries": [ - {"name": "X_16_8_FIXED_POINT_1_16TH", "value": 0}, - {"name": "X_16_8_FIXED_POINT_1_8TH", "value": 1}, - {"name": "X_16_8_FIXED_POINT_1_4TH", "value": 2}, - {"name": "X_16_8_FIXED_POINT_1_2", "value": 3}, - {"name": "X_16_8_FIXED_POINT_1", "value": 4}, - {"name": "X_16_8_FIXED_POINT_1_256TH", "value": 5}, - {"name": "X_14_10_FIXED_POINT_1_1024TH", "value": 6}, - {"name": "X_12_12_FIXED_POINT_1_4096TH", "value": 7} - ] - }, - "PA_SU_VTX_CNTL__ROUND_MODE": { - "entries": [ - {"name": "X_TRUNCATE", "value": 0}, - {"name": "X_ROUND", "value": 1}, - {"name": "X_ROUND_TO_EVEN", "value": 2}, - {"name": "X_ROUND_TO_ODD", "value": 3} - ] - }, - "PkrMap": { - "entries": [ - {"name": "RASTER_CONFIG_PKR_MAP_0", "value": 0}, - {"name": "RASTER_CONFIG_PKR_MAP_1", "value": 1}, - {"name": "RASTER_CONFIG_PKR_MAP_2", "value": 2}, - {"name": "RASTER_CONFIG_PKR_MAP_3", "value": 3} - ] - }, - "PkrXsel": { - "entries": [ - {"name": "RASTER_CONFIG_PKR_XSEL_0", "value": 0}, - {"name": "RASTER_CONFIG_PKR_XSEL_1", "value": 1}, - {"name": "RASTER_CONFIG_PKR_XSEL_2", "value": 2}, - {"name": "RASTER_CONFIG_PKR_XSEL_3", "value": 3} - ] - }, - "PkrXsel2": { - "entries": [ - {"name": "RASTER_CONFIG_PKR_XSEL2_0", "value": 0}, - {"name": "RASTER_CONFIG_PKR_XSEL2_1", "value": 1}, - {"name": "RASTER_CONFIG_PKR_XSEL2_2", "value": 2}, - {"name": "RASTER_CONFIG_PKR_XSEL2_3", "value": 3} - ] - }, - "PkrYsel": { - "entries": [ - {"name": "RASTER_CONFIG_PKR_YSEL_0", "value": 0}, - {"name": "RASTER_CONFIG_PKR_YSEL_1", "value": 1}, - {"name": "RASTER_CONFIG_PKR_YSEL_2", "value": 2}, - {"name": "RASTER_CONFIG_PKR_YSEL_3", "value": 3} - ] - }, - "RbMap": { - "entries": [ - {"name": "RASTER_CONFIG_RB_MAP_0", "value": 0}, - {"name": "RASTER_CONFIG_RB_MAP_1", "value": 1}, - {"name": "RASTER_CONFIG_RB_MAP_2", "value": 2}, - {"name": "RASTER_CONFIG_RB_MAP_3", "value": 3} - ] - }, - "RbXsel2": { - "entries": [ - {"name": "RASTER_CONFIG_RB_XSEL2_0", "value": 0}, - {"name": "RASTER_CONFIG_RB_XSEL2_1", "value": 1}, - {"name": "RASTER_CONFIG_RB_XSEL2_2", "value": 2}, - {"name": "RASTER_CONFIG_RB_XSEL2_3", "value": 3} - ] - }, - "SPI_ARB_PRIORITY__RING_ORDER_TS0": { - "entries": [ - {"name": "X_R0", "value": 0} - ] - }, - "SPI_BARYC_CNTL__POS_FLOAT_LOCATION": { - "entries": [ - {"name": "X_CALCULATE_PER_PIXEL_FLOATING_POINT_POSITION_AT", "value": 0} - ] - }, - "SPI_CONFIG_CNTL_1__VTX_DONE_DELAY": { - "entries": [ - {"name": "X_DELAY_14_CLKS", "value": 0}, - {"name": "X_DELAY_16_CLKS", "value": 1}, - {"name": "X_DELAY_18_CLKS", "value": 2}, - {"name": "X_DELAY_20_CLKS", "value": 3}, - {"name": "X_DELAY_22_CLKS", "value": 4}, - {"name": "X_DELAY_24_CLKS", "value": 5}, - {"name": "X_DELAY_26_CLKS", "value": 6}, - {"name": "X_DELAY_28_CLKS", "value": 7}, - {"name": "X_DELAY_30_CLKS", "value": 8}, - {"name": "X_DELAY_32_CLKS", "value": 9}, - {"name": "X_DELAY_34_CLKS", "value": 10}, - {"name": "X_DELAY_4_CLKS", "value": 11}, - {"name": "X_DELAY_6_CLKS", "value": 12}, - {"name": "X_DELAY_8_CLKS", "value": 13}, - {"name": "X_DELAY_10_CLKS", "value": 14}, - {"name": "X_DELAY_12_CLKS", "value": 15} - ] - }, - "SPI_PNT_SPRITE_OVERRIDE": { - "entries": [ - {"name": "SPI_PNT_SPRITE_SEL_0", "value": 0}, - {"name": "SPI_PNT_SPRITE_SEL_1", "value": 1}, - {"name": "SPI_PNT_SPRITE_SEL_S", "value": 2}, - {"name": "SPI_PNT_SPRITE_SEL_T", "value": 3}, - {"name": "SPI_PNT_SPRITE_SEL_NONE", "value": 4} - ] - }, - "SPI_PS_INPUT_CNTL_0__DEFAULT_VAL": { - "entries": [ - {"name": "X_0_0F", "value": 0} - ] - }, - "SPI_SHADER_EX_FORMAT": { - "entries": [ - {"name": "SPI_SHADER_ZERO", "value": 0}, - {"name": "SPI_SHADER_32_R", "value": 1}, - {"name": "SPI_SHADER_32_GR", "value": 2}, - {"name": "SPI_SHADER_32_AR", "value": 3}, - {"name": "SPI_SHADER_FP16_ABGR", "value": 4}, - {"name": "SPI_SHADER_UNORM16_ABGR", "value": 5}, - {"name": "SPI_SHADER_SNORM16_ABGR", "value": 6}, - {"name": "SPI_SHADER_UINT16_ABGR", "value": 7}, - {"name": "SPI_SHADER_SINT16_ABGR", "value": 8}, - {"name": "SPI_SHADER_32_ABGR", "value": 9} - ] - }, - "SPI_SHADER_FORMAT": { - "entries": [ - {"name": "SPI_SHADER_NONE", "value": 0}, - {"name": "SPI_SHADER_1COMP", "value": 1}, - {"name": "SPI_SHADER_2COMP", "value": 2}, - {"name": "SPI_SHADER_4COMPRESS", "value": 3}, - {"name": "SPI_SHADER_4COMP", "value": 4} - ] - }, - "SPI_SHADER_PGM_RSRC1_PS__FLOAT_MODE": { - "entries": [ - {"name": "FP_32_DENORMS", "value": 48}, - {"name": "FP_64_DENORMS", "value": 192}, - {"name": "FP_ALL_DENORMS", "value": 240} - ] - }, - "SQ_BUF_RSRC_WORD3__DATA_FORMAT": { - "entries": [ - {"name": "BUF_DATA_FORMAT_INVALID", "value": 0}, - {"name": "BUF_DATA_FORMAT_8", "value": 1}, - {"name": "BUF_DATA_FORMAT_16", "value": 2}, - {"name": "BUF_DATA_FORMAT_8_8", "value": 3}, - {"name": "BUF_DATA_FORMAT_32", "value": 4}, - {"name": "BUF_DATA_FORMAT_16_16", "value": 5}, - {"name": "BUF_DATA_FORMAT_10_11_11", "value": 6}, - {"name": "BUF_DATA_FORMAT_11_11_10", "value": 7}, - {"name": "BUF_DATA_FORMAT_10_10_10_2", "value": 8}, - {"name": "BUF_DATA_FORMAT_2_10_10_10", "value": 9}, - {"name": "BUF_DATA_FORMAT_8_8_8_8", "value": 10}, - {"name": "BUF_DATA_FORMAT_32_32", "value": 11}, - {"name": "BUF_DATA_FORMAT_16_16_16_16", "value": 12}, - {"name": "BUF_DATA_FORMAT_32_32_32", "value": 13}, - {"name": "BUF_DATA_FORMAT_32_32_32_32", "value": 14}, - {"name": "BUF_DATA_FORMAT_RESERVED_15", "value": 15} - ] - }, - "SQ_BUF_RSRC_WORD3__DST_SEL_X": { - "entries": [ - {"name": "SQ_SEL_0", "value": 0}, - {"name": "SQ_SEL_1", "value": 1}, - {"name": "SQ_SEL_RESERVED_0", "value": 2}, - {"name": "SQ_SEL_RESERVED_1", "value": 3}, - {"name": "SQ_SEL_X", "value": 4}, - {"name": "SQ_SEL_Y", "value": 5}, - {"name": "SQ_SEL_Z", "value": 6}, - {"name": "SQ_SEL_W", "value": 7} - ] - }, - "SQ_BUF_RSRC_WORD3__NUM_FORMAT": { - "entries": [ - {"name": "BUF_NUM_FORMAT_UNORM", "value": 0}, - {"name": "BUF_NUM_FORMAT_SNORM", "value": 1}, - {"name": "BUF_NUM_FORMAT_USCALED", "value": 2}, - {"name": "BUF_NUM_FORMAT_SSCALED", "value": 3}, - {"name": "BUF_NUM_FORMAT_UINT", "value": 4}, - {"name": "BUF_NUM_FORMAT_SINT", "value": 5}, - {"name": "BUF_NUM_FORMAT_SNORM_OGL", "value": 6}, - {"name": "BUF_NUM_FORMAT_FLOAT", "value": 7} - ] - }, - "SQ_BUF_RSRC_WORD3__TYPE": { - "entries": [ - {"name": "SQ_RSRC_BUF", "value": 0}, - {"name": "SQ_RSRC_BUF_RSVD_1", "value": 1}, - {"name": "SQ_RSRC_BUF_RSVD_2", "value": 2}, - {"name": "SQ_RSRC_BUF_RSVD_3", "value": 3} - ] - }, - "SQ_EXP_0__ENCODING": { - "entries": [ - {"name": "SQ_ENC_EXP_FIELD", "value": 62} - ] - }, - "SQ_EXP_0__TGT": { - "entries": [ - {"name": "SQ_EXP_MRT", "value": 0}, - {"name": "SQ_EXP_MRTZ", "value": 8}, - {"name": "SQ_EXP_NULL", "value": 9}, - {"name": "SQ_EXP_POS", "value": 12}, - {"name": "SQ_EXP_PARAM", "value": 32} - ] - }, - "SQ_IMG_RSRC_WORD1__DATA_FORMAT": { - "entries": [ - {"name": "IMG_DATA_FORMAT_INVALID", "value": 0}, - {"name": "IMG_DATA_FORMAT_8", "value": 1}, - {"name": "IMG_DATA_FORMAT_16", "value": 2}, - {"name": "IMG_DATA_FORMAT_8_8", "value": 3}, - {"name": "IMG_DATA_FORMAT_32", "value": 4}, - {"name": "IMG_DATA_FORMAT_16_16", "value": 5}, - {"name": "IMG_DATA_FORMAT_10_11_11", "value": 6}, - {"name": "IMG_DATA_FORMAT_11_11_10", "value": 7}, - {"name": "IMG_DATA_FORMAT_10_10_10_2", "value": 8}, - {"name": "IMG_DATA_FORMAT_2_10_10_10", "value": 9}, - {"name": "IMG_DATA_FORMAT_8_8_8_8", "value": 10}, - {"name": "IMG_DATA_FORMAT_32_32", "value": 11}, - {"name": "IMG_DATA_FORMAT_16_16_16_16", "value": 12}, - {"name": "IMG_DATA_FORMAT_32_32_32", "value": 13}, - {"name": "IMG_DATA_FORMAT_32_32_32_32", "value": 14}, - {"name": "IMG_DATA_FORMAT_RESERVED_15", "value": 15}, - {"name": "IMG_DATA_FORMAT_5_6_5", "value": 16}, - {"name": "IMG_DATA_FORMAT_1_5_5_5", "value": 17}, - {"name": "IMG_DATA_FORMAT_5_5_5_1", "value": 18}, - {"name": "IMG_DATA_FORMAT_4_4_4_4", "value": 19}, - {"name": "IMG_DATA_FORMAT_8_24", "value": 20}, - {"name": "IMG_DATA_FORMAT_24_8", "value": 21}, - {"name": "IMG_DATA_FORMAT_X24_8_32", "value": 22}, - {"name": "IMG_DATA_FORMAT_RESERVED_29", "value": 29}, - {"name": "IMG_DATA_FORMAT_RESERVED_30", "value": 30}, - {"name": "IMG_DATA_FORMAT_RESERVED_31", "value": 31}, - {"name": "IMG_DATA_FORMAT_GB_GR", "value": 32}, - {"name": "IMG_DATA_FORMAT_BG_RG", "value": 33}, - {"name": "IMG_DATA_FORMAT_5_9_9_9", "value": 34}, - {"name": "IMG_DATA_FORMAT_BC1", "value": 35}, - {"name": "IMG_DATA_FORMAT_BC2", "value": 36}, - {"name": "IMG_DATA_FORMAT_BC3", "value": 37}, - {"name": "IMG_DATA_FORMAT_BC4", "value": 38}, - {"name": "IMG_DATA_FORMAT_BC5", "value": 39}, - {"name": "IMG_DATA_FORMAT_BC6", "value": 40}, - {"name": "IMG_DATA_FORMAT_BC7", "value": 41}, - {"name": "IMG_DATA_FORMAT_FMASK8_S2_F1", "value": 44}, - {"name": "IMG_DATA_FORMAT_FMASK8_S4_F1", "value": 45}, - {"name": "IMG_DATA_FORMAT_FMASK8_S8_F1", "value": 46}, - {"name": "IMG_DATA_FORMAT_FMASK8_S2_F2", "value": 47}, - {"name": "IMG_DATA_FORMAT_FMASK8_S4_F2", "value": 48}, - {"name": "IMG_DATA_FORMAT_FMASK8_S4_F4", "value": 49}, - {"name": "IMG_DATA_FORMAT_FMASK16_S16_F1", "value": 50}, - {"name": "IMG_DATA_FORMAT_FMASK16_S8_F2", "value": 51}, - {"name": "IMG_DATA_FORMAT_FMASK32_S16_F2", "value": 52}, - {"name": "IMG_DATA_FORMAT_FMASK32_S8_F4", "value": 53}, - {"name": "IMG_DATA_FORMAT_FMASK32_S8_F8", "value": 54}, - {"name": "IMG_DATA_FORMAT_FMASK64_S16_F4", "value": 55}, - {"name": "IMG_DATA_FORMAT_FMASK64_S16_F8", "value": 56}, - {"name": "IMG_DATA_FORMAT_4_4", "value": 57}, - {"name": "IMG_DATA_FORMAT_6_5_5", "value": 58}, - {"name": "IMG_DATA_FORMAT_1", "value": 59}, - {"name": "IMG_DATA_FORMAT_1_REVERSED", "value": 60}, - {"comment": "not on stoney", "name": "IMG_DATA_FORMAT_32_AS_8", "value": 61}, - {"comment": "not on stoney", "name": "IMG_DATA_FORMAT_32_AS_8_8", "value": 62}, - {"name": "IMG_DATA_FORMAT_32_AS_32_32_32_32", "value": 63} - ] - }, - "SQ_IMG_RSRC_WORD1__DATA_FORMAT_gfx9": { - "entries": [ - {"name": "IMG_DATA_FORMAT_INVALID", "value": 0}, - {"name": "IMG_DATA_FORMAT_8", "value": 1}, - {"name": "IMG_DATA_FORMAT_16", "value": 2}, - {"name": "IMG_DATA_FORMAT_8_8", "value": 3}, - {"name": "IMG_DATA_FORMAT_32", "value": 4}, - {"name": "IMG_DATA_FORMAT_16_16", "value": 5}, - {"name": "IMG_DATA_FORMAT_10_11_11", "value": 6}, - {"name": "IMG_DATA_FORMAT_11_11_10", "value": 7}, - {"name": "IMG_DATA_FORMAT_10_10_10_2", "value": 8}, - {"name": "IMG_DATA_FORMAT_2_10_10_10", "value": 9}, - {"name": "IMG_DATA_FORMAT_8_8_8_8", "value": 10}, - {"name": "IMG_DATA_FORMAT_32_32", "value": 11}, - {"name": "IMG_DATA_FORMAT_16_16_16_16", "value": 12}, - {"name": "IMG_DATA_FORMAT_32_32_32", "value": 13}, - {"name": "IMG_DATA_FORMAT_32_32_32_32", "value": 14}, - {"name": "IMG_DATA_FORMAT_RESERVED_15", "value": 15}, - {"name": "IMG_DATA_FORMAT_5_6_5", "value": 16}, - {"name": "IMG_DATA_FORMAT_1_5_5_5", "value": 17}, - {"name": "IMG_DATA_FORMAT_5_5_5_1", "value": 18}, - {"name": "IMG_DATA_FORMAT_4_4_4_4", "value": 19}, - {"name": "IMG_DATA_FORMAT_8_24", "value": 20}, - {"name": "IMG_DATA_FORMAT_24_8", "value": 21}, - {"name": "IMG_DATA_FORMAT_X24_8_32", "value": 22}, - {"name": "IMG_DATA_FORMAT_8_AS_8_8_8_8", "value": 23}, - {"name": "IMG_DATA_FORMAT_ETC2_RGB", "value": 24}, - {"name": "IMG_DATA_FORMAT_ETC2_RGBA", "value": 25}, - {"name": "IMG_DATA_FORMAT_ETC2_R", "value": 26}, - {"name": "IMG_DATA_FORMAT_ETC2_RG", "value": 27}, - {"name": "IMG_DATA_FORMAT_ETC2_RGBA1", "value": 28}, - {"name": "IMG_DATA_FORMAT_RESERVED_29", "value": 29}, - {"name": "IMG_DATA_FORMAT_RESERVED_30", "value": 30}, - {"name": "IMG_DATA_FORMAT_6E4", "value": 31}, - {"name": "IMG_DATA_FORMAT_GB_GR", "value": 32}, - {"name": "IMG_DATA_FORMAT_BG_RG", "value": 33}, - {"name": "IMG_DATA_FORMAT_5_9_9_9", "value": 34}, - {"name": "IMG_DATA_FORMAT_BC1", "value": 35}, - {"name": "IMG_DATA_FORMAT_BC2", "value": 36}, - {"name": "IMG_DATA_FORMAT_BC3", "value": 37}, - {"name": "IMG_DATA_FORMAT_BC4", "value": 38}, - {"name": "IMG_DATA_FORMAT_BC5", "value": 39}, - {"name": "IMG_DATA_FORMAT_BC6", "value": 40}, - {"name": "IMG_DATA_FORMAT_BC7", "value": 41}, - {"name": "IMG_DATA_FORMAT_16_AS_32_32", "value": 42}, - {"name": "IMG_DATA_FORMAT_16_AS_16_16_16_16", "value": 43}, - {"name": "IMG_DATA_FORMAT_16_AS_32_32_32_32", "value": 44}, - {"comment": "NUM_FORMAT selects the format", "name": "IMG_DATA_FORMAT_FMASK", "value": 45}, - {"name": "IMG_DATA_FORMAT_N_IN_16", "value": 52}, - {"name": "IMG_DATA_FORMAT_N_IN_16_16", "value": 53}, - {"name": "IMG_DATA_FORMAT_N_IN_16_16_16_16", "value": 54}, - {"name": "IMG_DATA_FORMAT_N_IN_16_AS_16_16_16_16", "value": 55}, - {"name": "IMG_DATA_FORMAT_RESERVED_56", "value": 56}, - {"name": "IMG_DATA_FORMAT_4_4", "value": 57}, - {"name": "IMG_DATA_FORMAT_6_5_5", "value": 58}, - {"name": "IMG_DATA_FORMAT_S8_16", "value": 59}, - {"name": "IMG_DATA_FORMAT_S8_32", "value": 60}, - {"name": "IMG_DATA_FORMAT_8_AS_32", "value": 61}, - {"name": "IMG_DATA_FORMAT_8_AS_32_32", "value": 62}, - {"name": "IMG_DATA_FORMAT_32_AS_32_32_32_32", "value": 63} - ] - }, - "SQ_IMG_RSRC_WORD1__DATA_FORMAT_stoney": { - "entries": [ - {"name": "IMG_DATA_FORMAT_INVALID", "value": 0}, - {"name": "IMG_DATA_FORMAT_8", "value": 1}, - {"name": "IMG_DATA_FORMAT_16", "value": 2}, - {"name": "IMG_DATA_FORMAT_8_8", "value": 3}, - {"name": "IMG_DATA_FORMAT_32", "value": 4}, - {"name": "IMG_DATA_FORMAT_16_16", "value": 5}, - {"name": "IMG_DATA_FORMAT_10_11_11", "value": 6}, - {"name": "IMG_DATA_FORMAT_11_11_10", "value": 7}, - {"name": "IMG_DATA_FORMAT_10_10_10_2", "value": 8}, - {"name": "IMG_DATA_FORMAT_2_10_10_10", "value": 9}, - {"name": "IMG_DATA_FORMAT_8_8_8_8", "value": 10}, - {"name": "IMG_DATA_FORMAT_32_32", "value": 11}, - {"name": "IMG_DATA_FORMAT_16_16_16_16", "value": 12}, - {"name": "IMG_DATA_FORMAT_32_32_32", "value": 13}, - {"name": "IMG_DATA_FORMAT_32_32_32_32", "value": 14}, - {"name": "IMG_DATA_FORMAT_RESERVED_15", "value": 15}, - {"name": "IMG_DATA_FORMAT_5_6_5", "value": 16}, - {"name": "IMG_DATA_FORMAT_1_5_5_5", "value": 17}, - {"name": "IMG_DATA_FORMAT_5_5_5_1", "value": 18}, - {"name": "IMG_DATA_FORMAT_4_4_4_4", "value": 19}, - {"name": "IMG_DATA_FORMAT_8_24", "value": 20}, - {"name": "IMG_DATA_FORMAT_24_8", "value": 21}, - {"name": "IMG_DATA_FORMAT_X24_8_32", "value": 22}, - {"comment": "stoney+", "name": "IMG_DATA_FORMAT_8_AS_8_8_8_8", "value": 23}, - {"comment": "stoney+", "name": "IMG_DATA_FORMAT_ETC2_RGB", "value": 24}, - {"comment": "stoney+", "name": "IMG_DATA_FORMAT_ETC2_RGBA", "value": 25}, - {"comment": "stoney+", "name": "IMG_DATA_FORMAT_ETC2_R", "value": 26}, - {"comment": "stoney+", "name": "IMG_DATA_FORMAT_ETC2_RG", "value": 27}, - {"comment": "stoney+", "name": "IMG_DATA_FORMAT_ETC2_RGBA1", "value": 28}, - {"name": "IMG_DATA_FORMAT_RESERVED_29", "value": 29}, - {"name": "IMG_DATA_FORMAT_RESERVED_30", "value": 30}, - {"name": "IMG_DATA_FORMAT_RESERVED_31", "value": 31}, - {"name": "IMG_DATA_FORMAT_GB_GR", "value": 32}, - {"name": "IMG_DATA_FORMAT_BG_RG", "value": 33}, - {"name": "IMG_DATA_FORMAT_5_9_9_9", "value": 34}, - {"name": "IMG_DATA_FORMAT_BC1", "value": 35}, - {"name": "IMG_DATA_FORMAT_BC2", "value": 36}, - {"name": "IMG_DATA_FORMAT_BC3", "value": 37}, - {"name": "IMG_DATA_FORMAT_BC4", "value": 38}, - {"name": "IMG_DATA_FORMAT_BC5", "value": 39}, - {"name": "IMG_DATA_FORMAT_BC6", "value": 40}, - {"name": "IMG_DATA_FORMAT_BC7", "value": 41}, - {"comment": "stoney+", "name": "IMG_DATA_FORMAT_16_AS_16_16_16_16", "value": 42}, - {"comment": "stoney+", "name": "IMG_DATA_FORMAT_16_AS_32_32_32_32", "value": 43}, - {"name": "IMG_DATA_FORMAT_FMASK8_S2_F1", "value": 44}, - {"name": "IMG_DATA_FORMAT_FMASK8_S4_F1", "value": 45}, - {"name": "IMG_DATA_FORMAT_FMASK8_S8_F1", "value": 46}, - {"name": "IMG_DATA_FORMAT_FMASK8_S2_F2", "value": 47}, - {"name": "IMG_DATA_FORMAT_FMASK8_S4_F2", "value": 48}, - {"name": "IMG_DATA_FORMAT_FMASK8_S4_F4", "value": 49}, - {"name": "IMG_DATA_FORMAT_FMASK16_S16_F1", "value": 50}, - {"name": "IMG_DATA_FORMAT_FMASK16_S8_F2", "value": 51}, - {"name": "IMG_DATA_FORMAT_FMASK32_S16_F2", "value": 52}, - {"name": "IMG_DATA_FORMAT_FMASK32_S8_F4", "value": 53}, - {"name": "IMG_DATA_FORMAT_FMASK32_S8_F8", "value": 54}, - {"name": "IMG_DATA_FORMAT_FMASK64_S16_F4", "value": 55}, - {"name": "IMG_DATA_FORMAT_FMASK64_S16_F8", "value": 56}, - {"name": "IMG_DATA_FORMAT_4_4", "value": 57}, - {"name": "IMG_DATA_FORMAT_6_5_5", "value": 58}, - {"name": "IMG_DATA_FORMAT_1", "value": 59}, - {"name": "IMG_DATA_FORMAT_1_REVERSED", "value": 60}, - {"name": "IMG_DATA_FORMAT_32_AS_32_32_32_32", "value": 63} - ] - }, - "SQ_IMG_RSRC_WORD1__NUM_FORMAT": { - "entries": [ - {"name": "IMG_NUM_FORMAT_UNORM", "value": 0}, - {"name": "IMG_NUM_FORMAT_SNORM", "value": 1}, - {"name": "IMG_NUM_FORMAT_USCALED", "value": 2}, - {"name": "IMG_NUM_FORMAT_SSCALED", "value": 3}, - {"name": "IMG_NUM_FORMAT_UINT", "value": 4}, - {"name": "IMG_NUM_FORMAT_SINT", "value": 5}, - {"name": "IMG_NUM_FORMAT_SNORM_OGL", "value": 6}, - {"name": "IMG_NUM_FORMAT_FLOAT", "value": 7}, - {"name": "IMG_NUM_FORMAT_RESERVED_8", "value": 8}, - {"name": "IMG_NUM_FORMAT_SRGB", "value": 9}, - {"comment": "not on VI+", "name": "IMG_NUM_FORMAT_UBNORM", "value": 10}, - {"comment": "not on VI+", "name": "IMG_NUM_FORMAT_UBNORM_OGL", "value": 11}, - {"comment": "not on VI+", "name": "IMG_NUM_FORMAT_UBINT", "value": 12}, - {"comment": "not on VI+", "name": "IMG_NUM_FORMAT_UBSCALED", "value": 13}, - {"name": "IMG_NUM_FORMAT_RESERVED_14", "value": 14}, - {"name": "IMG_NUM_FORMAT_RESERVED_15", "value": 15} - ] - }, - "SQ_IMG_RSRC_WORD1__NUM_FORMAT_ASTC_2D": { - "entries": [ - {"name": "IMG_ASTC_2D_4x4", "value": 0}, - {"name": "IMG_ASTC_2D_5x4", "value": 1}, - {"name": "IMG_ASTC_2D_5x5", "value": 2}, - {"name": "IMG_ASTC_2D_6x5", "value": 3}, - {"name": "IMG_ASTC_2D_6x6", "value": 4}, - {"name": "IMG_ASTC_2D_8x5", "value": 5}, - {"name": "IMG_ASTC_2D_8x6", "value": 6}, - {"name": "IMG_ASTC_2D_8x8", "value": 7}, - {"name": "IMG_ASTC_2D_10x5", "value": 8}, - {"name": "IMG_ASTC_2D_10x6", "value": 9}, - {"name": "IMG_ASTC_2D_10x8", "value": 10}, - {"name": "IMG_ASTC_2D_10x10", "value": 11}, - {"name": "IMG_ASTC_2D_12x10", "value": 12}, - {"name": "IMG_ASTC_2D_12x12", "value": 13} - ] - }, - "SQ_IMG_RSRC_WORD1__NUM_FORMAT_ASTC_3D": { - "entries": [ - {"name": "IMG_ASTC_3D_3x3x3", "value": 0}, - {"name": "IMG_ASTC_3D_4x3x3", "value": 1}, - {"name": "IMG_ASTC_3D_4x4x3", "value": 2}, - {"name": "IMG_ASTC_3D_4x4x4", "value": 3}, - {"name": "IMG_ASTC_3D_5x4x4", "value": 4}, - {"name": "IMG_ASTC_3D_5x5x4", "value": 5}, - {"name": "IMG_ASTC_3D_5x5x5", "value": 6}, - {"name": "IMG_ASTC_3D_6x5x5", "value": 7}, - {"name": "IMG_ASTC_3D_6x6x5", "value": 8}, - {"name": "IMG_ASTC_3D_6x6x6", "value": 9} - ] - }, - "SQ_IMG_RSRC_WORD1__NUM_FORMAT_FMASK": { - "entries": [ - {"name": "IMG_FMASK_8_2_1", "value": 0}, - {"name": "IMG_FMASK_8_4_1", "value": 1}, - {"name": "IMG_FMASK_8_8_1", "value": 2}, - {"name": "IMG_FMASK_8_2_2", "value": 3}, - {"name": "IMG_FMASK_8_4_2", "value": 4}, - {"name": "IMG_FMASK_8_4_4", "value": 5}, - {"name": "IMG_FMASK_16_16_1", "value": 6}, - {"name": "IMG_FMASK_16_8_2", "value": 7}, - {"name": "IMG_FMASK_32_16_2", "value": 8}, - {"name": "IMG_FMASK_32_8_4", "value": 9}, - {"name": "IMG_FMASK_32_8_8", "value": 10}, - {"name": "IMG_FMASK_64_16_4", "value": 11}, - {"name": "IMG_FMASK_64_16_8", "value": 12} - ] - }, - "SQ_IMG_RSRC_WORD1__NUM_FORMAT_gfx9": { - "entries": [ - {"name": "IMG_NUM_FORMAT_UNORM", "value": 0}, - {"name": "IMG_NUM_FORMAT_SNORM", "value": 1}, - {"name": "IMG_NUM_FORMAT_USCALED", "value": 2}, - {"name": "IMG_NUM_FORMAT_SSCALED", "value": 3}, - {"name": "IMG_NUM_FORMAT_UINT", "value": 4}, - {"name": "IMG_NUM_FORMAT_SINT", "value": 5}, - {"name": "IMG_NUM_FORMAT_RESERVED_6", "value": 6}, - {"name": "IMG_NUM_FORMAT_FLOAT", "value": 7}, - {"name": "IMG_NUM_FORMAT_METADATA", "value": 8}, - {"name": "IMG_NUM_FORMAT_SRGB", "value": 9}, - {"name": "IMG_NUM_FORMAT_UNORM_UINT", "value": 10} - ] - }, - "SQ_IMG_RSRC_WORD1__NUM_FORMAT_vi": { - "entries": [ - {"name": "IMG_NUM_FORMAT_UNORM", "value": 0}, - {"name": "IMG_NUM_FORMAT_SNORM", "value": 1}, - {"name": "IMG_NUM_FORMAT_USCALED", "value": 2}, - {"name": "IMG_NUM_FORMAT_SSCALED", "value": 3}, - {"name": "IMG_NUM_FORMAT_UINT", "value": 4}, - {"name": "IMG_NUM_FORMAT_SINT", "value": 5}, - {"name": "IMG_NUM_FORMAT_SNORM_OGL", "value": 6}, - {"name": "IMG_NUM_FORMAT_FLOAT", "value": 7}, - {"name": "IMG_NUM_FORMAT_RESERVED_8", "value": 8}, - {"name": "IMG_NUM_FORMAT_SRGB", "value": 9}, - {"name": "IMG_NUM_FORMAT_RESERVED_14", "value": 14}, - {"name": "IMG_NUM_FORMAT_RESERVED_15", "value": 15} - ] - }, - "SQ_IMG_RSRC_WORD3__TYPE": { - "entries": [ - {"name": "SQ_RSRC_IMG_RSVD_0", "value": 0}, - {"name": "SQ_RSRC_IMG_RSVD_1", "value": 1}, - {"name": "SQ_RSRC_IMG_RSVD_2", "value": 2}, - {"name": "SQ_RSRC_IMG_RSVD_3", "value": 3}, - {"name": "SQ_RSRC_IMG_RSVD_4", "value": 4}, - {"name": "SQ_RSRC_IMG_RSVD_5", "value": 5}, - {"name": "SQ_RSRC_IMG_RSVD_6", "value": 6}, - {"name": "SQ_RSRC_IMG_RSVD_7", "value": 7}, - {"name": "SQ_RSRC_IMG_1D", "value": 8}, - {"name": "SQ_RSRC_IMG_2D", "value": 9}, - {"name": "SQ_RSRC_IMG_3D", "value": 10}, - {"name": "SQ_RSRC_IMG_CUBE", "value": 11}, - {"name": "SQ_RSRC_IMG_1D_ARRAY", "value": 12}, - {"name": "SQ_RSRC_IMG_2D_ARRAY", "value": 13}, - {"name": "SQ_RSRC_IMG_2D_MSAA", "value": 14}, - {"name": "SQ_RSRC_IMG_2D_MSAA_ARRAY", "value": 15} - ] - }, - "SQ_IMG_RSRC_WORD4__BC_SWIZZLE": { - "entries": [ - {"name": "BC_SWIZZLE_XYZW", "value": 0}, - {"name": "BC_SWIZZLE_XWYZ", "value": 1}, - {"name": "BC_SWIZZLE_WZYX", "value": 2}, - {"name": "BC_SWIZZLE_WXYZ", "value": 3}, - {"name": "BC_SWIZZLE_ZYXW", "value": 4}, - {"name": "BC_SWIZZLE_YXWZ", "value": 5} - ] - }, - "SQ_IMG_SAMP_WORD0__CLAMP_X": { - "entries": [ - {"name": "SQ_TEX_WRAP", "value": 0}, - {"name": "SQ_TEX_MIRROR", "value": 1}, - {"name": "SQ_TEX_CLAMP_LAST_TEXEL", "value": 2}, - {"name": "SQ_TEX_MIRROR_ONCE_LAST_TEXEL", "value": 3}, - {"name": "SQ_TEX_CLAMP_HALF_BORDER", "value": 4}, - {"name": "SQ_TEX_MIRROR_ONCE_HALF_BORDER", "value": 5}, - {"name": "SQ_TEX_CLAMP_BORDER", "value": 6}, - {"name": "SQ_TEX_MIRROR_ONCE_BORDER", "value": 7} - ] - }, - "SQ_IMG_SAMP_WORD0__DEPTH_COMPARE_FUNC": { - "entries": [ - {"name": "SQ_TEX_DEPTH_COMPARE_NEVER", "value": 0}, - {"name": "SQ_TEX_DEPTH_COMPARE_LESS", "value": 1}, - {"name": "SQ_TEX_DEPTH_COMPARE_EQUAL", "value": 2}, - {"name": "SQ_TEX_DEPTH_COMPARE_LESSEQUAL", "value": 3}, - {"name": "SQ_TEX_DEPTH_COMPARE_GREATER", "value": 4}, - {"name": "SQ_TEX_DEPTH_COMPARE_NOTEQUAL", "value": 5}, - {"name": "SQ_TEX_DEPTH_COMPARE_GREATEREQUAL", "value": 6}, - {"name": "SQ_TEX_DEPTH_COMPARE_ALWAYS", "value": 7} - ] - }, - "SQ_IMG_SAMP_WORD0__FILTER_MODE": { - "entries": [ - {"name": "SQ_IMG_FILTER_MODE_BLEND", "value": 0}, - {"name": "SQ_IMG_FILTER_MODE_MIN", "value": 1}, - {"name": "SQ_IMG_FILTER_MODE_MAX", "value": 2} - ] - }, - "SQ_IMG_SAMP_WORD2__XY_MAG_FILTER": { - "entries": [ - {"name": "SQ_TEX_XY_FILTER_POINT", "value": 0}, - {"name": "SQ_TEX_XY_FILTER_BILINEAR", "value": 1} - ] - }, - "SQ_IMG_SAMP_WORD2__XY_MIN_FILTER": { - "entries": [ - {"name": "SQ_TEX_XY_FILTER_POINT", "value": 0}, - {"name": "SQ_TEX_XY_FILTER_BILINEAR", "value": 1}, - {"name": "SQ_TEX_XY_FILTER_ANISO_POINT", "value": 2}, - {"name": "SQ_TEX_XY_FILTER_ANISO_BILINEAR", "value": 3} - ] - }, - "SQ_IMG_SAMP_WORD2__Z_FILTER": { - "entries": [ - {"name": "SQ_TEX_Z_FILTER_NONE", "value": 0}, - {"name": "SQ_TEX_Z_FILTER_POINT", "value": 1}, - {"name": "SQ_TEX_Z_FILTER_LINEAR", "value": 2} - ] - }, - "SQ_IMG_SAMP_WORD3__BORDER_COLOR_TYPE": { - "entries": [ - {"name": "SQ_TEX_BORDER_COLOR_TRANS_BLACK", "value": 0}, - {"name": "SQ_TEX_BORDER_COLOR_OPAQUE_BLACK", "value": 1}, - {"name": "SQ_TEX_BORDER_COLOR_OPAQUE_WHITE", "value": 2}, - {"name": "SQ_TEX_BORDER_COLOR_REGISTER", "value": 3} - ] - }, - "SX_BLEND_OPT": { - "entries": [ - {"name": "BLEND_OPT_PRESERVE_NONE_IGNORE_ALL", "value": 0}, - {"name": "BLEND_OPT_PRESERVE_ALL_IGNORE_NONE", "value": 1}, - {"name": "BLEND_OPT_PRESERVE_C1_IGNORE_C0", "value": 2}, - {"name": "BLEND_OPT_PRESERVE_C0_IGNORE_C1", "value": 3}, - {"name": "BLEND_OPT_PRESERVE_A1_IGNORE_A0", "value": 4}, - {"name": "BLEND_OPT_PRESERVE_A0_IGNORE_A1", "value": 5}, - {"name": "BLEND_OPT_PRESERVE_NONE_IGNORE_A0", "value": 6}, - {"name": "BLEND_OPT_PRESERVE_NONE_IGNORE_NONE", "value": 7} - ] - }, - "SX_BLEND_OPT_EPSILON__MRT0_EPSILON": { - "entries": [ - {"name": "EXACT", "value": 0}, - {"name": "11BIT_FORMAT", "value": 1}, - {"name": "10BIT_FORMAT", "value": 3}, - {"name": "8BIT_FORMAT", "value": 6}, - {"name": "6BIT_FORMAT", "value": 11}, - {"name": "5BIT_FORMAT", "value": 13}, - {"name": "4BIT_FORMAT", "value": 15} - ] - }, - "SX_DOWNCONVERT_FORMAT": { - "entries": [ - {"name": "SX_RT_EXPORT_NO_CONVERSION", "value": 0}, - {"name": "SX_RT_EXPORT_32_R", "value": 1}, - {"name": "SX_RT_EXPORT_32_A", "value": 2}, - {"name": "SX_RT_EXPORT_10_11_11", "value": 3}, - {"name": "SX_RT_EXPORT_2_10_10_10", "value": 4}, - {"name": "SX_RT_EXPORT_8_8_8_8", "value": 5}, - {"name": "SX_RT_EXPORT_5_6_5", "value": 6}, - {"name": "SX_RT_EXPORT_1_5_5_5", "value": 7}, - {"name": "SX_RT_EXPORT_4_4_4_4", "value": 8}, - {"name": "SX_RT_EXPORT_16_16_GR", "value": 9}, - {"name": "SX_RT_EXPORT_16_16_AR", "value": 10} - ] - }, - "SX_OPT_COMB_FCN": { - "entries": [ - {"name": "OPT_COMB_NONE", "value": 0}, - {"name": "OPT_COMB_ADD", "value": 1}, - {"name": "OPT_COMB_SUBTRACT", "value": 2}, - {"name": "OPT_COMB_MIN", "value": 3}, - {"name": "OPT_COMB_MAX", "value": 4}, - {"name": "OPT_COMB_REVSUBTRACT", "value": 5}, - {"name": "OPT_COMB_BLEND_DISABLED", "value": 6}, - {"name": "OPT_COMB_SAFE_ADD", "value": 7} - ] - }, - "ScMap": { - "entries": [ - {"name": "RASTER_CONFIG_SC_MAP_0", "value": 0}, - {"name": "RASTER_CONFIG_SC_MAP_1", "value": 1}, - {"name": "RASTER_CONFIG_SC_MAP_2", "value": 2}, - {"name": "RASTER_CONFIG_SC_MAP_3", "value": 3} - ] - }, - "ScXsel": { - "entries": [ - {"name": "RASTER_CONFIG_SC_XSEL_8_WIDE_TILE", "value": 0}, - {"name": "RASTER_CONFIG_SC_XSEL_16_WIDE_TILE", "value": 1}, - {"name": "RASTER_CONFIG_SC_XSEL_32_WIDE_TILE", "value": 2}, - {"name": "RASTER_CONFIG_SC_XSEL_64_WIDE_TILE", "value": 3} - ] - }, - "ScYsel": { - "entries": [ - {"name": "RASTER_CONFIG_SC_YSEL_8_WIDE_TILE", "value": 0}, - {"name": "RASTER_CONFIG_SC_YSEL_16_WIDE_TILE", "value": 1}, - {"name": "RASTER_CONFIG_SC_YSEL_32_WIDE_TILE", "value": 2}, - {"name": "RASTER_CONFIG_SC_YSEL_64_WIDE_TILE", "value": 3} - ] - }, - "SeMap": { - "entries": [ - {"name": "RASTER_CONFIG_SE_MAP_0", "value": 0}, - {"name": "RASTER_CONFIG_SE_MAP_1", "value": 1}, - {"name": "RASTER_CONFIG_SE_MAP_2", "value": 2}, - {"name": "RASTER_CONFIG_SE_MAP_3", "value": 3} - ] - }, - "SePairMap": { - "entries": [ - {"name": "RASTER_CONFIG_SE_PAIR_MAP_0", "value": 0}, - {"name": "RASTER_CONFIG_SE_PAIR_MAP_1", "value": 1}, - {"name": "RASTER_CONFIG_SE_PAIR_MAP_2", "value": 2}, - {"name": "RASTER_CONFIG_SE_PAIR_MAP_3", "value": 3} - ] - }, - "SePairXsel": { - "entries": [ - {"name": "RASTER_CONFIG_SE_PAIR_XSEL_8_WIDE_TILE", "value": 0}, - {"name": "RASTER_CONFIG_SE_PAIR_XSEL_16_WIDE_TILE", "value": 1}, - {"name": "RASTER_CONFIG_SE_PAIR_XSEL_32_WIDE_TILE", "value": 2}, - {"name": "RASTER_CONFIG_SE_PAIR_XSEL_64_WIDE_TILE", "value": 3} - ] - }, - "SePairYsel": { - "entries": [ - {"name": "RASTER_CONFIG_SE_PAIR_YSEL_8_WIDE_TILE", "value": 0}, - {"name": "RASTER_CONFIG_SE_PAIR_YSEL_16_WIDE_TILE", "value": 1}, - {"name": "RASTER_CONFIG_SE_PAIR_YSEL_32_WIDE_TILE", "value": 2}, - {"name": "RASTER_CONFIG_SE_PAIR_YSEL_64_WIDE_TILE", "value": 3} - ] - }, - "SeXsel": { - "entries": [ - {"name": "RASTER_CONFIG_SE_XSEL_8_WIDE_TILE", "value": 0}, - {"name": "RASTER_CONFIG_SE_XSEL_16_WIDE_TILE", "value": 1}, - {"name": "RASTER_CONFIG_SE_XSEL_32_WIDE_TILE", "value": 2}, - {"name": "RASTER_CONFIG_SE_XSEL_64_WIDE_TILE", "value": 3} - ] - }, - "SeYsel": { - "entries": [ - {"name": "RASTER_CONFIG_SE_YSEL_8_WIDE_TILE", "value": 0}, - {"name": "RASTER_CONFIG_SE_YSEL_16_WIDE_TILE", "value": 1}, - {"name": "RASTER_CONFIG_SE_YSEL_32_WIDE_TILE", "value": 2}, - {"name": "RASTER_CONFIG_SE_YSEL_64_WIDE_TILE", "value": 3} - ] - }, - "StencilOp": { - "entries": [ - {"name": "STENCIL_KEEP", "value": 0}, - {"name": "STENCIL_ZERO", "value": 1}, - {"name": "STENCIL_ONES", "value": 2}, - {"name": "STENCIL_REPLACE_TEST", "value": 3}, - {"name": "STENCIL_REPLACE_OP", "value": 4}, - {"name": "STENCIL_ADD_CLAMP", "value": 5}, - {"name": "STENCIL_SUB_CLAMP", "value": 6}, - {"name": "STENCIL_INVERT", "value": 7}, - {"name": "STENCIL_ADD_WRAP", "value": 8}, - {"name": "STENCIL_SUB_WRAP", "value": 9}, - {"name": "STENCIL_AND", "value": 10}, - {"name": "STENCIL_OR", "value": 11}, - {"name": "STENCIL_XOR", "value": 12}, - {"name": "STENCIL_NAND", "value": 13}, - {"name": "STENCIL_NOR", "value": 14}, - {"name": "STENCIL_XNOR", "value": 15} - ] - }, - "VGT_DIST_MODE": { - "entries": [ - {"name": "DISTRIBUTION_MODE_NO_DIST", "value": 0}, - {"name": "DISTRIBUTION_MODE_PATCHES", "value": 1}, - {"name": "DISTRIBUTION_MODE_DONUTS", "value": 2} - ] - }, - "VGT_DIST_MODE_fiji": { - "entries": [ - {"name": "DISTRIBUTION_MODE_NO_DIST", "value": 0}, - {"name": "DISTRIBUTION_MODE_PATCHES", "value": 1}, - {"name": "DISTRIBUTION_MODE_DONUTS", "value": 2}, - {"comment": "Fiji+", "name": "DISTRIBUTION_MODE_TRAPEZOIDS", "value": 3} - ] - }, - "VGT_DI_INDEX_SIZE": { - "entries": [ - {"name": "DI_INDEX_SIZE_16_BIT", "value": 0}, - {"name": "DI_INDEX_SIZE_32_BIT", "value": 1} - ] - }, - "VGT_DI_MAJOR_MODE_SELECT": { - "entries": [ - {"name": "DI_MAJOR_MODE_0", "value": 0}, - {"name": "DI_MAJOR_MODE_1", "value": 1} - ] - }, - "VGT_DI_PRIM_TYPE": { - "entries": [ - {"name": "DI_PT_NONE", "value": 0}, - {"name": "DI_PT_POINTLIST", "value": 1}, - {"name": "DI_PT_LINELIST", "value": 2}, - {"name": "DI_PT_LINESTRIP", "value": 3}, - {"name": "DI_PT_TRILIST", "value": 4}, - {"name": "DI_PT_TRIFAN", "value": 5}, - {"name": "DI_PT_TRISTRIP", "value": 6}, - {"name": "DI_PT_UNUSED_0", "value": 7}, - {"name": "DI_PT_UNUSED_1", "value": 8}, - {"name": "DI_PT_PATCH", "value": 9}, - {"name": "DI_PT_LINELIST_ADJ", "value": 10}, - {"name": "DI_PT_LINESTRIP_ADJ", "value": 11}, - {"name": "DI_PT_TRILIST_ADJ", "value": 12}, - {"name": "DI_PT_TRISTRIP_ADJ", "value": 13}, - {"name": "DI_PT_UNUSED_3", "value": 14}, - {"name": "DI_PT_UNUSED_4", "value": 15}, - {"name": "DI_PT_TRI_WITH_WFLAGS", "value": 16}, - {"name": "DI_PT_RECTLIST", "value": 17}, - {"name": "DI_PT_LINELOOP", "value": 18}, - {"name": "DI_PT_QUADLIST", "value": 19}, - {"name": "DI_PT_QUADSTRIP", "value": 20}, - {"name": "DI_PT_POLYGON", "value": 21}, - {"name": "DI_PT_2D_COPY_RECT_LIST_V0", "value": 22}, - {"name": "DI_PT_2D_COPY_RECT_LIST_V1", "value": 23}, - {"name": "DI_PT_2D_COPY_RECT_LIST_V2", "value": 24}, - {"name": "DI_PT_2D_COPY_RECT_LIST_V3", "value": 25}, - {"name": "DI_PT_2D_FILL_RECT_LIST", "value": 26}, - {"name": "DI_PT_2D_LINE_STRIP", "value": 27}, - {"name": "DI_PT_2D_TRI_STRIP", "value": 28} - ] - }, - "VGT_DI_PRIM_TYPE_cik": { - "entries": [ - {"name": "DI_PT_NONE", "value": 0}, - {"name": "DI_PT_POINTLIST", "value": 1}, - {"name": "DI_PT_LINELIST", "value": 2}, - {"name": "DI_PT_LINESTRIP", "value": 3}, - {"name": "DI_PT_TRILIST", "value": 4}, - {"name": "DI_PT_TRIFAN", "value": 5}, - {"name": "DI_PT_TRISTRIP", "value": 6}, - {"name": "DI_PT_PATCH", "value": 9}, - {"name": "DI_PT_LINELIST_ADJ", "value": 10}, - {"name": "DI_PT_LINESTRIP_ADJ", "value": 11}, - {"name": "DI_PT_TRILIST_ADJ", "value": 12}, - {"name": "DI_PT_TRISTRIP_ADJ", "value": 13}, - {"name": "DI_PT_TRI_WITH_WFLAGS", "value": 16}, - {"name": "DI_PT_RECTLIST", "value": 17}, - {"name": "DI_PT_LINELOOP", "value": 18}, - {"name": "DI_PT_QUADLIST", "value": 19}, - {"name": "DI_PT_QUADSTRIP", "value": 20}, - {"name": "DI_PT_POLYGON", "value": 21}, - {"name": "DI_PT_2D_COPY_RECT_LIST_V0", "value": 22}, - {"name": "DI_PT_2D_COPY_RECT_LIST_V1", "value": 23}, - {"name": "DI_PT_2D_COPY_RECT_LIST_V2", "value": 24}, - {"name": "DI_PT_2D_COPY_RECT_LIST_V3", "value": 25}, - {"name": "DI_PT_2D_FILL_RECT_LIST", "value": 26}, - {"name": "DI_PT_2D_LINE_STRIP", "value": 27}, - {"name": "DI_PT_2D_TRI_STRIP", "value": 28} - ] - }, - "VGT_DI_SOURCE_SELECT": { - "entries": [ - {"name": "DI_SRC_SEL_DMA", "value": 0}, - {"comment": "not on CIK", "name": "DI_SRC_SEL_IMMEDIATE", "value": 1}, - {"name": "DI_SRC_SEL_AUTO_INDEX", "value": 2}, - {"name": "DI_SRC_SEL_RESERVED", "value": 3} - ] - }, - "VGT_DI_SOURCE_SELECT_cik": { - "entries": [ - {"name": "DI_SRC_SEL_DMA", "value": 0}, - {"name": "DI_SRC_SEL_AUTO_INDEX", "value": 2}, - {"name": "DI_SRC_SEL_RESERVED", "value": 3} - ] - }, - "VGT_DMA_BUF_TYPE": { - "entries": [ - {"name": "VGT_DMA_BUF_MEM", "value": 0}, - {"name": "VGT_DMA_BUF_RING", "value": 1}, - {"name": "VGT_DMA_BUF_SETUP", "value": 2} - ] - }, - "VGT_DMA_INDEX_TYPE__RDREQ_POLICY_CIK": { - "entries": [ - {"name": "VGT_POLICY_LRU", "value": 0}, - {"name": "VGT_POLICY_STREAM", "value": 1} - ] - }, - "VGT_DMA_SWAP_MODE": { - "entries": [ - {"name": "VGT_DMA_SWAP_NONE", "value": 0}, - {"name": "VGT_DMA_SWAP_16_BIT", "value": 1}, - {"name": "VGT_DMA_SWAP_32_BIT", "value": 2}, - {"name": "VGT_DMA_SWAP_WORD", "value": 3} - ] - }, - "VGT_EVENT_TYPE": { - "entries": [ - {"name": "SAMPLE_STREAMOUTSTATS1", "value": 1}, - {"name": "SAMPLE_STREAMOUTSTATS2", "value": 2}, - {"name": "SAMPLE_STREAMOUTSTATS3", "value": 3}, - {"name": "CACHE_FLUSH_TS", "value": 4}, - {"name": "CONTEXT_DONE", "value": 5}, - {"name": "CACHE_FLUSH", "value": 6}, - {"name": "CS_PARTIAL_FLUSH", "value": 7}, - {"name": "VGT_STREAMOUT_SYNC", "value": 8}, - {"name": "VGT_STREAMOUT_RESET", "value": 10}, - {"name": "END_OF_PIPE_INCR_DE", "value": 11}, - {"name": "END_OF_PIPE_IB_END", "value": 12}, - {"name": "RST_PIX_CNT", "value": 13}, - {"name": "VS_PARTIAL_FLUSH", "value": 15}, - {"name": "PS_PARTIAL_FLUSH", "value": 16}, - {"name": "FLUSH_HS_OUTPUT", "value": 17}, - {"name": "FLUSH_LS_OUTPUT", "value": 18}, - {"name": "CACHE_FLUSH_AND_INV_TS_EVENT", "value": 20}, - {"name": "ZPASS_DONE", "value": 21}, - {"name": "CACHE_FLUSH_AND_INV_EVENT", "value": 22}, - {"name": "PERFCOUNTER_START", "value": 23}, - {"name": "PERFCOUNTER_STOP", "value": 24}, - {"name": "PIPELINESTAT_START", "value": 25}, - {"name": "PIPELINESTAT_STOP", "value": 26}, - {"name": "PERFCOUNTER_SAMPLE", "value": 27}, - {"name": "FLUSH_ES_OUTPUT", "value": 28}, - {"name": "FLUSH_GS_OUTPUT", "value": 29}, - {"name": "SAMPLE_PIPELINESTAT", "value": 30}, - {"name": "SO_VGTSTREAMOUT_FLUSH", "value": 31}, - {"name": "SAMPLE_STREAMOUTSTATS", "value": 32}, - {"name": "RESET_VTX_CNT", "value": 33}, - {"name": "BLOCK_CONTEXT_DONE", "value": 34}, - {"name": "CS_CONTEXT_DONE", "value": 35}, - {"name": "VGT_FLUSH", "value": 36}, - {"name": "SC_SEND_DB_VPZ", "value": 39}, - {"name": "BOTTOM_OF_PIPE_TS", "value": 40}, - {"name": "DB_CACHE_FLUSH_AND_INV", "value": 42}, - {"name": "FLUSH_AND_INV_DB_DATA_TS", "value": 43}, - {"name": "FLUSH_AND_INV_DB_META", "value": 44}, - {"name": "FLUSH_AND_INV_CB_DATA_TS", "value": 45}, - {"name": "FLUSH_AND_INV_CB_META", "value": 46}, - {"name": "CS_DONE", "value": 47}, - {"name": "PS_DONE", "value": 48}, - {"name": "FLUSH_AND_INV_CB_PIXEL_DATA", "value": 49}, - {"name": "THREAD_TRACE_START", "value": 51}, - {"name": "THREAD_TRACE_STOP", "value": 52}, - {"name": "THREAD_TRACE_MARKER", "value": 53}, - {"name": "THREAD_TRACE_FLUSH", "value": 54}, - {"name": "THREAD_TRACE_FINISH", "value": 55} - ] - }, - "VGT_EVENT_TYPE_gfx9": { - "entries": [ - {"name": "SAMPLE_STREAMOUTSTATS1", "value": 1}, - {"name": "SAMPLE_STREAMOUTSTATS2", "value": 2}, - {"name": "SAMPLE_STREAMOUTSTATS3", "value": 3}, - {"name": "CACHE_FLUSH_TS", "value": 4}, - {"name": "CONTEXT_DONE", "value": 5}, - {"name": "CACHE_FLUSH", "value": 6}, - {"name": "CS_PARTIAL_FLUSH", "value": 7}, - {"name": "VGT_STREAMOUT_SYNC", "value": 8}, - {"name": "VGT_STREAMOUT_RESET", "value": 10}, - {"name": "END_OF_PIPE_INCR_DE", "value": 11}, - {"name": "END_OF_PIPE_IB_END", "value": 12}, - {"name": "RST_PIX_CNT", "value": 13}, - {"comment": "new", "name": "BREAK_BATCH", "value": 14}, - {"name": "VS_PARTIAL_FLUSH", "value": 15}, - {"name": "PS_PARTIAL_FLUSH", "value": 16}, - {"name": "FLUSH_HS_OUTPUT", "value": 17}, - {"comment": "new", "name": "FLUSH_DFSM", "value": 18}, - {"comment": "new", "name": "RESET_TO_LOWEST_VGT", "value": 19}, - {"name": "CACHE_FLUSH_AND_INV_TS_EVENT", "value": 20}, - {"name": "ZPASS_DONE", "value": 21}, - {"name": "CACHE_FLUSH_AND_INV_EVENT", "value": 22}, - {"name": "PERFCOUNTER_START", "value": 23}, - {"name": "PERFCOUNTER_STOP", "value": 24}, - {"name": "PIPELINESTAT_START", "value": 25}, - {"name": "PIPELINESTAT_STOP", "value": 26}, - {"name": "PERFCOUNTER_SAMPLE", "value": 27}, - {"name": "SAMPLE_PIPELINESTAT", "value": 30}, - {"name": "SO_VGTSTREAMOUT_FLUSH", "value": 31}, - {"name": "SAMPLE_STREAMOUTSTATS", "value": 32}, - {"name": "RESET_VTX_CNT", "value": 33}, - {"name": "BLOCK_CONTEXT_DONE", "value": 34}, - {"name": "CS_CONTEXT_DONE", "value": 35}, - {"name": "VGT_FLUSH", "value": 36}, - {"name": "TGID_ROLLOVER", "value": 37}, - {"name": "SC_SEND_DB_VPZ", "value": 39}, - {"name": "BOTTOM_OF_PIPE_TS", "value": 40}, - {"name": "DB_CACHE_FLUSH_AND_INV", "value": 42}, - {"name": "FLUSH_AND_INV_DB_DATA_TS", "value": 43}, - {"name": "FLUSH_AND_INV_DB_META", "value": 44}, - {"name": "FLUSH_AND_INV_CB_DATA_TS", "value": 45}, - {"name": "FLUSH_AND_INV_CB_META", "value": 46}, - {"name": "CS_DONE", "value": 47}, - {"name": "PS_DONE", "value": 48}, - {"name": "FLUSH_AND_INV_CB_PIXEL_DATA", "value": 49}, - {"name": "THREAD_TRACE_START", "value": 51}, - {"name": "THREAD_TRACE_STOP", "value": 52}, - {"name": "THREAD_TRACE_MARKER", "value": 53}, - {"name": "THREAD_TRACE_FLUSH", "value": 54}, - {"name": "THREAD_TRACE_FINISH", "value": 55}, - {"name": "PIXEL_PIPE_STAT_CONTROL", "value": 56}, - {"name": "PIXEL_PIPE_STAT_DUMP", "value": 57}, - {"name": "PIXEL_PIPE_STAT_RESET", "value": 58}, - {"comment": "new", "name": "ENABLE_NGG_PIPELINE", "value": 61}, - {"comment": "new", "name": "ENABLE_LEGACY_PIPELINE", "value": 62} - ] - }, - "VGT_GS_CUT_MODE": { - "entries": [ - {"name": "GS_CUT_1024", "value": 0}, - {"name": "GS_CUT_512", "value": 1}, - {"name": "GS_CUT_256", "value": 2}, - {"name": "GS_CUT_128", "value": 3} - ] - }, - "VGT_GS_MODE_TYPE": { - "entries": [ - {"name": "GS_OFF", "value": 0}, - {"name": "GS_SCENARIO_A", "value": 1}, - {"name": "GS_SCENARIO_B", "value": 2}, - {"name": "GS_SCENARIO_G", "value": 3}, - {"name": "GS_SCENARIO_C", "value": 4}, - {"name": "SPRITE_EN", "value": 5} - ] - }, - "VGT_GS_MODE__ONCHIP": { - "entries": [ - {"name": "X_0_OFFCHIP_GS", "value": 0}, - {"name": "X_3_ES_AND_GS_ARE_ONCHIP", "value": 3} - ] - }, - "VGT_GS_OUT_PRIM_TYPE__OUTPRIM_TYPE": { - "entries": [ - {"name": "OUTPRIM_TYPE_POINTLIST", "value": 0}, - {"name": "OUTPRIM_TYPE_LINESTRIP", "value": 1}, - {"name": "OUTPRIM_TYPE_TRISTRIP", "value": 2} - ] - }, - "VGT_HS_OFFCHIP_PARAM__OFFCHIP_GRANULARITY": { - "entries": [ - {"name": "X_8K_DWORDS", "value": 0}, - {"name": "X_4K_DWORDS", "value": 1}, - {"name": "X_2K_DWORDS", "value": 2}, - {"name": "X_1K_DWORDS", "value": 3} - ] - }, - "VGT_INDEX_TYPE_MODE": { - "entries": [ - {"name": "VGT_INDEX_16", "value": 0}, - {"name": "VGT_INDEX_32", "value": 1} - ] - }, - "VGT_INDEX_TYPE_MODE_vi": { - "entries": [ - {"name": "VGT_INDEX_16", "value": 0}, - {"name": "VGT_INDEX_32", "value": 1}, - {"comment": "VI", "name": "VGT_INDEX_8", "value": 2} - ] - }, - "VGT_OUTPUT_PATH_CNTL__PATH_SELECT": { - "entries": [ - {"name": "VGT_OUTPATH_VTX_REUSE", "value": 0}, - {"name": "VGT_OUTPATH_TESS_EN", "value": 1}, - {"name": "VGT_OUTPATH_PASSTHRU", "value": 2}, - {"name": "VGT_OUTPATH_GS_BLOCK", "value": 3}, - {"name": "VGT_OUTPATH_HS_BLOCK", "value": 4} - ] - }, - "VGT_STAGES_ES_EN": { - "entries": [ - {"name": "ES_STAGE_OFF", "value": 0}, - {"name": "ES_STAGE_DS", "value": 1}, - {"name": "ES_STAGE_REAL", "value": 2} - ] - }, - "VGT_STAGES_LS_EN": { - "entries": [ - {"name": "LS_STAGE_OFF", "value": 0}, - {"name": "LS_STAGE_ON", "value": 1}, - {"name": "CS_STAGE_ON", "value": 2} - ] - }, - "VGT_STAGES_VS_EN": { - "entries": [ - {"name": "VS_STAGE_REAL", "value": 0}, - {"name": "VS_STAGE_DS", "value": 1}, - {"name": "VS_STAGE_COPY_SHADER", "value": 2} - ] - }, - "VGT_TESS_PARTITION": { - "entries": [ - {"name": "PART_INTEGER", "value": 0}, - {"name": "PART_POW2", "value": 1}, - {"name": "PART_FRAC_ODD", "value": 2}, - {"name": "PART_FRAC_EVEN", "value": 3} - ] - }, - "VGT_TESS_TOPOLOGY": { - "entries": [ - {"name": "OUTPUT_POINT", "value": 0}, - {"name": "OUTPUT_LINE", "value": 1}, - {"name": "OUTPUT_TRIANGLE_CW", "value": 2}, - {"name": "OUTPUT_TRIANGLE_CCW", "value": 3} - ] - }, - "VGT_TESS_TYPE": { - "entries": [ - {"name": "TESS_ISOLINE", "value": 0}, - {"name": "TESS_TRIANGLE", "value": 1}, - {"name": "TESS_QUAD", "value": 2} - ] - }, - "VGT_TF_PARAM__RDREQ_POLICY_CIK": { - "entries": [ - {"name": "VGT_POLICY_LRU", "value": 0}, - {"name": "VGT_POLICY_STREAM", "value": 1}, - {"name": "VGT_POLICY_BYPASS", "value": 2} - ] - }, - "ZLimitSumm": { - "entries": [ - {"name": "FORCE_SUMM_OFF", "value": 0}, - {"name": "FORCE_SUMM_MINZ", "value": 1}, - {"name": "FORCE_SUMM_MAXZ", "value": 2}, - {"name": "FORCE_SUMM_BOTH", "value": 3} - ] - }, - "ZOrder": { - "entries": [ - {"name": "LATE_Z", "value": 0}, - {"name": "EARLY_Z_THEN_LATE_Z", "value": 1}, - {"name": "RE_Z", "value": 2}, - {"name": "EARLY_Z_THEN_RE_Z", "value": 3} - ] - }, - "ExcpEn": { - "entries": [ - {"name": "INVALID", "value": 1}, - {"name": "INPUT_DENORMAL", "value": 2}, - {"name": "DIVIDE_BY_ZERO", "value": 4}, - {"name": "OVERFLOW", "value": 8}, - {"name": "UNDERFLOW", "value": 16}, - {"name": "INEXACT", "value": 32}, - {"name": "INT_DIVIDE_BY_ZERO", "value": 64}, - {"name": "ADDRESS_WATCH", "value": 128}, - {"name": "MEMORY_VIOLATION", "value": 256} - ] - } - }, - "register_mappings": [ - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165760, "to": "mm"}, - "name": "CB_BLEND0_CONTROL", - "type_ref": "CB_BLEND0_CONTROL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165764, "to": "mm"}, - "name": "CB_BLEND1_CONTROL", - "type_ref": "CB_BLEND0_CONTROL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165768, "to": "mm"}, - "name": "CB_BLEND2_CONTROL", - "type_ref": "CB_BLEND0_CONTROL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165772, "to": "mm"}, - "name": "CB_BLEND3_CONTROL", - "type_ref": "CB_BLEND0_CONTROL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165776, "to": "mm"}, - "name": "CB_BLEND4_CONTROL", - "type_ref": "CB_BLEND0_CONTROL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165780, "to": "mm"}, - "name": "CB_BLEND5_CONTROL", - "type_ref": "CB_BLEND0_CONTROL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165784, "to": "mm"}, - "name": "CB_BLEND6_CONTROL", - "type_ref": "CB_BLEND0_CONTROL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165788, "to": "mm"}, - "name": "CB_BLEND7_CONTROL", - "type_ref": "CB_BLEND0_CONTROL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164896, "to": "mm"}, - "name": "CB_BLEND_ALPHA" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164892, "to": "mm"}, - "name": "CB_BLEND_BLUE" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164888, "to": "mm"}, - "name": "CB_BLEND_GREEN" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164884, "to": "mm"}, - "name": "CB_BLEND_RED" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 167028, "to": "mm"}, - "name": "CB_COLOR0_ATTRIB", - "type_ref": "CB_COLOR0_ATTRIB" - }, - { - "chips": ["gfx9"], - "map": {"at": 167028, "to": "mm"}, - "name": "CB_COLOR0_ATTRIB", - "type_ref": "CB_COLOR0_ATTRIB_gfx9" - }, - { - "chips": ["gfx9"], - "map": {"at": 167016, "to": "mm"}, - "name": "CB_COLOR0_ATTRIB2", - "type_ref": "CB_COLOR0_ATTRIB2" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 167008, "to": "mm"}, - "name": "CB_COLOR0_BASE" - }, - { - "chips": ["gfx9"], - "map": {"at": 167012, "to": "mm"}, - "name": "CB_COLOR0_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 167052, "to": "mm"}, - "name": "CB_COLOR0_CLEAR_WORD0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 167056, "to": "mm"}, - "name": "CB_COLOR0_CLEAR_WORD1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 167036, "to": "mm"}, - "name": "CB_COLOR0_CMASK" - }, - { - "chips": ["gfx9"], - "map": {"at": 167040, "to": "mm"}, - "name": "CB_COLOR0_CMASK_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 167040, "to": "mm"}, - "name": "CB_COLOR0_CMASK_SLICE", - "type_ref": "CB_COLOR0_CMASK_SLICE" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 167060, "to": "mm"}, - "name": "CB_COLOR0_DCC_BASE" - }, - { - "chips": ["gfx9"], - "map": {"at": 167064, "to": "mm"}, - "name": "CB_COLOR0_DCC_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 167032, "to": "mm"}, - "name": "CB_COLOR0_DCC_CONTROL", - "type_ref": "CB_COLOR0_DCC_CONTROL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 167044, "to": "mm"}, - "name": "CB_COLOR0_FMASK" - }, - { - "chips": ["gfx9"], - "map": {"at": 167048, "to": "mm"}, - "name": "CB_COLOR0_FMASK_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 167048, "to": "mm"}, - "name": "CB_COLOR0_FMASK_SLICE", - "type_ref": "CB_COLOR0_SLICE" - }, - { - "chips": ["gfx6"], - "map": {"at": 167024, "to": "mm"}, - "name": "CB_COLOR0_INFO", - "type_ref": "CB_COLOR0_INFO" - }, - { - "chips": ["gfx7"], - "map": {"at": 167024, "to": "mm"}, - "name": "CB_COLOR0_INFO", - "type_ref": "CB_COLOR0_INFO_cik" - }, - { - "chips": ["fiji", "stoney", "gfx8"], - "map": {"at": 167024, "to": "mm"}, - "name": "CB_COLOR0_INFO", - "type_ref": "CB_COLOR0_INFO_vi" - }, - { - "chips": ["gfx9"], - "map": {"at": 167024, "to": "mm"}, - "name": "CB_COLOR0_INFO", - "type_ref": "CB_COLOR0_INFO_gfx9" - }, - { - "chips": ["gfx6"], - "map": {"at": 167012, "to": "mm"}, - "name": "CB_COLOR0_PITCH", - "type_ref": "CB_COLOR0_PITCH" - }, - { - "chips": ["gfx7", "fiji", "stoney", "gfx8"], - "map": {"at": 167012, "to": "mm"}, - "name": "CB_COLOR0_PITCH", - "type_ref": "CB_COLOR0_PITCH_cik" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 167016, "to": "mm"}, - "name": "CB_COLOR0_SLICE", - "type_ref": "CB_COLOR0_SLICE" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 167020, "to": "mm"}, - "name": "CB_COLOR0_VIEW", - "type_ref": "CB_COLOR0_VIEW" - }, - { - "chips": ["gfx9"], - "map": {"at": 167020, "to": "mm"}, - "name": "CB_COLOR0_VIEW", - "type_ref": "CB_COLOR0_VIEW_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 167088, "to": "mm"}, - "name": "CB_COLOR1_ATTRIB", - "type_ref": "CB_COLOR0_ATTRIB" - }, - { - "chips": ["gfx9"], - "map": {"at": 167088, "to": "mm"}, - "name": "CB_COLOR1_ATTRIB", - "type_ref": "CB_COLOR0_ATTRIB_gfx9" - }, - { - "chips": ["gfx9"], - "map": {"at": 167076, "to": "mm"}, - "name": "CB_COLOR1_ATTRIB2", - "type_ref": "CB_COLOR0_ATTRIB2" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 167068, "to": "mm"}, - "name": "CB_COLOR1_BASE" - }, - { - "chips": ["gfx9"], - "map": {"at": 167072, "to": "mm"}, - "name": "CB_COLOR1_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 167112, "to": "mm"}, - "name": "CB_COLOR1_CLEAR_WORD0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 167116, "to": "mm"}, - "name": "CB_COLOR1_CLEAR_WORD1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 167096, "to": "mm"}, - "name": "CB_COLOR1_CMASK" - }, - { - "chips": ["gfx9"], - "map": {"at": 167100, "to": "mm"}, - "name": "CB_COLOR1_CMASK_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 167100, "to": "mm"}, - "name": "CB_COLOR1_CMASK_SLICE", - "type_ref": "CB_COLOR0_CMASK_SLICE" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 167120, "to": "mm"}, - "name": "CB_COLOR1_DCC_BASE" - }, - { - "chips": ["gfx9"], - "map": {"at": 167124, "to": "mm"}, - "name": "CB_COLOR1_DCC_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 167092, "to": "mm"}, - "name": "CB_COLOR1_DCC_CONTROL", - "type_ref": "CB_COLOR0_DCC_CONTROL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 167104, "to": "mm"}, - "name": "CB_COLOR1_FMASK" - }, - { - "chips": ["gfx9"], - "map": {"at": 167108, "to": "mm"}, - "name": "CB_COLOR1_FMASK_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 167108, "to": "mm"}, - "name": "CB_COLOR1_FMASK_SLICE", - "type_ref": "CB_COLOR0_SLICE" - }, - { - "chips": ["gfx6"], - "map": {"at": 167084, "to": "mm"}, - "name": "CB_COLOR1_INFO", - "type_ref": "CB_COLOR0_INFO" - }, - { - "chips": ["gfx7"], - "map": {"at": 167084, "to": "mm"}, - "name": "CB_COLOR1_INFO", - "type_ref": "CB_COLOR0_INFO_cik" - }, - { - "chips": ["fiji", "stoney", "gfx8"], - "map": {"at": 167084, "to": "mm"}, - "name": "CB_COLOR1_INFO", - "type_ref": "CB_COLOR0_INFO_vi" - }, - { - "chips": ["gfx9"], - "map": {"at": 167084, "to": "mm"}, - "name": "CB_COLOR1_INFO", - "type_ref": "CB_COLOR0_INFO_gfx9" - }, - { - "chips": ["gfx6"], - "map": {"at": 167072, "to": "mm"}, - "name": "CB_COLOR1_PITCH", - "type_ref": "CB_COLOR0_PITCH" - }, - { - "chips": ["gfx7", "fiji", "stoney", "gfx8"], - "map": {"at": 167072, "to": "mm"}, - "name": "CB_COLOR1_PITCH", - "type_ref": "CB_COLOR0_PITCH_cik" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 167076, "to": "mm"}, - "name": "CB_COLOR1_SLICE", - "type_ref": "CB_COLOR0_SLICE" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 167080, "to": "mm"}, - "name": "CB_COLOR1_VIEW", - "type_ref": "CB_COLOR0_VIEW" - }, - { - "chips": ["gfx9"], - "map": {"at": 167080, "to": "mm"}, - "name": "CB_COLOR1_VIEW", - "type_ref": "CB_COLOR0_VIEW_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 167148, "to": "mm"}, - "name": "CB_COLOR2_ATTRIB", - "type_ref": "CB_COLOR0_ATTRIB" - }, - { - "chips": ["gfx9"], - "map": {"at": 167148, "to": "mm"}, - "name": "CB_COLOR2_ATTRIB", - "type_ref": "CB_COLOR0_ATTRIB_gfx9" - }, - { - "chips": ["gfx9"], - "map": {"at": 167136, "to": "mm"}, - "name": "CB_COLOR2_ATTRIB2", - "type_ref": "CB_COLOR0_ATTRIB2" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 167128, "to": "mm"}, - "name": "CB_COLOR2_BASE" - }, - { - "chips": ["gfx9"], - "map": {"at": 167132, "to": "mm"}, - "name": "CB_COLOR2_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 167172, "to": "mm"}, - "name": "CB_COLOR2_CLEAR_WORD0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 167176, "to": "mm"}, - "name": "CB_COLOR2_CLEAR_WORD1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 167156, "to": "mm"}, - "name": "CB_COLOR2_CMASK" - }, - { - "chips": ["gfx9"], - "map": {"at": 167160, "to": "mm"}, - "name": "CB_COLOR2_CMASK_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 167160, "to": "mm"}, - "name": "CB_COLOR2_CMASK_SLICE", - "type_ref": "CB_COLOR0_CMASK_SLICE" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 167180, "to": "mm"}, - "name": "CB_COLOR2_DCC_BASE" - }, - { - "chips": ["gfx9"], - "map": {"at": 167184, "to": "mm"}, - "name": "CB_COLOR2_DCC_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 167152, "to": "mm"}, - "name": "CB_COLOR2_DCC_CONTROL", - "type_ref": "CB_COLOR0_DCC_CONTROL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 167164, "to": "mm"}, - "name": "CB_COLOR2_FMASK" - }, - { - "chips": ["gfx9"], - "map": {"at": 167168, "to": "mm"}, - "name": "CB_COLOR2_FMASK_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 167168, "to": "mm"}, - "name": "CB_COLOR2_FMASK_SLICE", - "type_ref": "CB_COLOR0_SLICE" - }, - { - "chips": ["gfx6"], - "map": {"at": 167144, "to": "mm"}, - "name": "CB_COLOR2_INFO", - "type_ref": "CB_COLOR0_INFO" - }, - { - "chips": ["gfx7"], - "map": {"at": 167144, "to": "mm"}, - "name": "CB_COLOR2_INFO", - "type_ref": "CB_COLOR0_INFO_cik" - }, - { - "chips": ["fiji", "stoney", "gfx8"], - "map": {"at": 167144, "to": "mm"}, - "name": "CB_COLOR2_INFO", - "type_ref": "CB_COLOR0_INFO_vi" - }, - { - "chips": ["gfx9"], - "map": {"at": 167144, "to": "mm"}, - "name": "CB_COLOR2_INFO", - "type_ref": "CB_COLOR0_INFO_gfx9" - }, - { - "chips": ["gfx6"], - "map": {"at": 167132, "to": "mm"}, - "name": "CB_COLOR2_PITCH", - "type_ref": "CB_COLOR0_PITCH" - }, - { - "chips": ["gfx7", "fiji", "stoney", "gfx8"], - "map": {"at": 167132, "to": "mm"}, - "name": "CB_COLOR2_PITCH", - "type_ref": "CB_COLOR0_PITCH_cik" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 167136, "to": "mm"}, - "name": "CB_COLOR2_SLICE", - "type_ref": "CB_COLOR0_SLICE" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 167140, "to": "mm"}, - "name": "CB_COLOR2_VIEW", - "type_ref": "CB_COLOR0_VIEW" - }, - { - "chips": ["gfx9"], - "map": {"at": 167140, "to": "mm"}, - "name": "CB_COLOR2_VIEW", - "type_ref": "CB_COLOR0_VIEW_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 167208, "to": "mm"}, - "name": "CB_COLOR3_ATTRIB", - "type_ref": "CB_COLOR0_ATTRIB" - }, - { - "chips": ["gfx9"], - "map": {"at": 167208, "to": "mm"}, - "name": "CB_COLOR3_ATTRIB", - "type_ref": "CB_COLOR0_ATTRIB_gfx9" - }, - { - "chips": ["gfx9"], - "map": {"at": 167196, "to": "mm"}, - "name": "CB_COLOR3_ATTRIB2", - "type_ref": "CB_COLOR0_ATTRIB2" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 167188, "to": "mm"}, - "name": "CB_COLOR3_BASE" - }, - { - "chips": ["gfx9"], - "map": {"at": 167192, "to": "mm"}, - "name": "CB_COLOR3_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 167232, "to": "mm"}, - "name": "CB_COLOR3_CLEAR_WORD0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 167236, "to": "mm"}, - "name": "CB_COLOR3_CLEAR_WORD1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 167216, "to": "mm"}, - "name": "CB_COLOR3_CMASK" - }, - { - "chips": ["gfx9"], - "map": {"at": 167220, "to": "mm"}, - "name": "CB_COLOR3_CMASK_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 167220, "to": "mm"}, - "name": "CB_COLOR3_CMASK_SLICE", - "type_ref": "CB_COLOR0_CMASK_SLICE" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 167240, "to": "mm"}, - "name": "CB_COLOR3_DCC_BASE" - }, - { - "chips": ["gfx9"], - "map": {"at": 167244, "to": "mm"}, - "name": "CB_COLOR3_DCC_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 167212, "to": "mm"}, - "name": "CB_COLOR3_DCC_CONTROL", - "type_ref": "CB_COLOR0_DCC_CONTROL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 167224, "to": "mm"}, - "name": "CB_COLOR3_FMASK" - }, - { - "chips": ["gfx9"], - "map": {"at": 167228, "to": "mm"}, - "name": "CB_COLOR3_FMASK_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 167228, "to": "mm"}, - "name": "CB_COLOR3_FMASK_SLICE", - "type_ref": "CB_COLOR0_SLICE" - }, - { - "chips": ["gfx6"], - "map": {"at": 167204, "to": "mm"}, - "name": "CB_COLOR3_INFO", - "type_ref": "CB_COLOR0_INFO" - }, - { - "chips": ["gfx7"], - "map": {"at": 167204, "to": "mm"}, - "name": "CB_COLOR3_INFO", - "type_ref": "CB_COLOR0_INFO_cik" - }, - { - "chips": ["fiji", "stoney", "gfx8"], - "map": {"at": 167204, "to": "mm"}, - "name": "CB_COLOR3_INFO", - "type_ref": "CB_COLOR0_INFO_vi" - }, - { - "chips": ["gfx9"], - "map": {"at": 167204, "to": "mm"}, - "name": "CB_COLOR3_INFO", - "type_ref": "CB_COLOR0_INFO_gfx9" - }, - { - "chips": ["gfx6"], - "map": {"at": 167192, "to": "mm"}, - "name": "CB_COLOR3_PITCH", - "type_ref": "CB_COLOR0_PITCH" - }, - { - "chips": ["gfx7", "fiji", "stoney", "gfx8"], - "map": {"at": 167192, "to": "mm"}, - "name": "CB_COLOR3_PITCH", - "type_ref": "CB_COLOR0_PITCH_cik" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 167196, "to": "mm"}, - "name": "CB_COLOR3_SLICE", - "type_ref": "CB_COLOR0_SLICE" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 167200, "to": "mm"}, - "name": "CB_COLOR3_VIEW", - "type_ref": "CB_COLOR0_VIEW" - }, - { - "chips": ["gfx9"], - "map": {"at": 167200, "to": "mm"}, - "name": "CB_COLOR3_VIEW", - "type_ref": "CB_COLOR0_VIEW_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 167268, "to": "mm"}, - "name": "CB_COLOR4_ATTRIB", - "type_ref": "CB_COLOR0_ATTRIB" - }, - { - "chips": ["gfx9"], - "map": {"at": 167268, "to": "mm"}, - "name": "CB_COLOR4_ATTRIB", - "type_ref": "CB_COLOR0_ATTRIB_gfx9" - }, - { - "chips": ["gfx9"], - "map": {"at": 167256, "to": "mm"}, - "name": "CB_COLOR4_ATTRIB2", - "type_ref": "CB_COLOR0_ATTRIB2" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 167248, "to": "mm"}, - "name": "CB_COLOR4_BASE" - }, - { - "chips": ["gfx9"], - "map": {"at": 167252, "to": "mm"}, - "name": "CB_COLOR4_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 167292, "to": "mm"}, - "name": "CB_COLOR4_CLEAR_WORD0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 167296, "to": "mm"}, - "name": "CB_COLOR4_CLEAR_WORD1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 167276, "to": "mm"}, - "name": "CB_COLOR4_CMASK" - }, - { - "chips": ["gfx9"], - "map": {"at": 167280, "to": "mm"}, - "name": "CB_COLOR4_CMASK_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 167280, "to": "mm"}, - "name": "CB_COLOR4_CMASK_SLICE", - "type_ref": "CB_COLOR0_CMASK_SLICE" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 167300, "to": "mm"}, - "name": "CB_COLOR4_DCC_BASE" - }, - { - "chips": ["gfx9"], - "map": {"at": 167304, "to": "mm"}, - "name": "CB_COLOR4_DCC_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 167272, "to": "mm"}, - "name": "CB_COLOR4_DCC_CONTROL", - "type_ref": "CB_COLOR0_DCC_CONTROL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 167284, "to": "mm"}, - "name": "CB_COLOR4_FMASK" - }, - { - "chips": ["gfx9"], - "map": {"at": 167288, "to": "mm"}, - "name": "CB_COLOR4_FMASK_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 167288, "to": "mm"}, - "name": "CB_COLOR4_FMASK_SLICE", - "type_ref": "CB_COLOR0_SLICE" - }, - { - "chips": ["gfx6"], - "map": {"at": 167264, "to": "mm"}, - "name": "CB_COLOR4_INFO", - "type_ref": "CB_COLOR0_INFO" - }, - { - "chips": ["gfx7"], - "map": {"at": 167264, "to": "mm"}, - "name": "CB_COLOR4_INFO", - "type_ref": "CB_COLOR0_INFO_cik" - }, - { - "chips": ["fiji", "stoney", "gfx8"], - "map": {"at": 167264, "to": "mm"}, - "name": "CB_COLOR4_INFO", - "type_ref": "CB_COLOR0_INFO_vi" - }, - { - "chips": ["gfx9"], - "map": {"at": 167264, "to": "mm"}, - "name": "CB_COLOR4_INFO", - "type_ref": "CB_COLOR0_INFO_gfx9" - }, - { - "chips": ["gfx6"], - "map": {"at": 167252, "to": "mm"}, - "name": "CB_COLOR4_PITCH", - "type_ref": "CB_COLOR0_PITCH" - }, - { - "chips": ["gfx7", "fiji", "stoney", "gfx8"], - "map": {"at": 167252, "to": "mm"}, - "name": "CB_COLOR4_PITCH", - "type_ref": "CB_COLOR0_PITCH_cik" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 167256, "to": "mm"}, - "name": "CB_COLOR4_SLICE", - "type_ref": "CB_COLOR0_SLICE" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 167260, "to": "mm"}, - "name": "CB_COLOR4_VIEW", - "type_ref": "CB_COLOR0_VIEW" - }, - { - "chips": ["gfx9"], - "map": {"at": 167260, "to": "mm"}, - "name": "CB_COLOR4_VIEW", - "type_ref": "CB_COLOR0_VIEW_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 167328, "to": "mm"}, - "name": "CB_COLOR5_ATTRIB", - "type_ref": "CB_COLOR0_ATTRIB" - }, - { - "chips": ["gfx9"], - "map": {"at": 167328, "to": "mm"}, - "name": "CB_COLOR5_ATTRIB", - "type_ref": "CB_COLOR0_ATTRIB_gfx9" - }, - { - "chips": ["gfx9"], - "map": {"at": 167316, "to": "mm"}, - "name": "CB_COLOR5_ATTRIB2", - "type_ref": "CB_COLOR0_ATTRIB2" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 167308, "to": "mm"}, - "name": "CB_COLOR5_BASE" - }, - { - "chips": ["gfx9"], - "map": {"at": 167312, "to": "mm"}, - "name": "CB_COLOR5_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 167352, "to": "mm"}, - "name": "CB_COLOR5_CLEAR_WORD0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 167356, "to": "mm"}, - "name": "CB_COLOR5_CLEAR_WORD1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 167336, "to": "mm"}, - "name": "CB_COLOR5_CMASK" - }, - { - "chips": ["gfx9"], - "map": {"at": 167340, "to": "mm"}, - "name": "CB_COLOR5_CMASK_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 167340, "to": "mm"}, - "name": "CB_COLOR5_CMASK_SLICE", - "type_ref": "CB_COLOR0_CMASK_SLICE" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 167360, "to": "mm"}, - "name": "CB_COLOR5_DCC_BASE" - }, - { - "chips": ["gfx9"], - "map": {"at": 167364, "to": "mm"}, - "name": "CB_COLOR5_DCC_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 167332, "to": "mm"}, - "name": "CB_COLOR5_DCC_CONTROL", - "type_ref": "CB_COLOR0_DCC_CONTROL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 167344, "to": "mm"}, - "name": "CB_COLOR5_FMASK" - }, - { - "chips": ["gfx9"], - "map": {"at": 167348, "to": "mm"}, - "name": "CB_COLOR5_FMASK_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 167348, "to": "mm"}, - "name": "CB_COLOR5_FMASK_SLICE", - "type_ref": "CB_COLOR0_SLICE" - }, - { - "chips": ["gfx6"], - "map": {"at": 167324, "to": "mm"}, - "name": "CB_COLOR5_INFO", - "type_ref": "CB_COLOR0_INFO" - }, - { - "chips": ["gfx7"], - "map": {"at": 167324, "to": "mm"}, - "name": "CB_COLOR5_INFO", - "type_ref": "CB_COLOR0_INFO_cik" - }, - { - "chips": ["fiji", "stoney", "gfx8"], - "map": {"at": 167324, "to": "mm"}, - "name": "CB_COLOR5_INFO", - "type_ref": "CB_COLOR0_INFO_vi" - }, - { - "chips": ["gfx9"], - "map": {"at": 167324, "to": "mm"}, - "name": "CB_COLOR5_INFO", - "type_ref": "CB_COLOR0_INFO_gfx9" - }, - { - "chips": ["gfx6"], - "map": {"at": 167312, "to": "mm"}, - "name": "CB_COLOR5_PITCH", - "type_ref": "CB_COLOR0_PITCH" - }, - { - "chips": ["gfx7", "fiji", "stoney", "gfx8"], - "map": {"at": 167312, "to": "mm"}, - "name": "CB_COLOR5_PITCH", - "type_ref": "CB_COLOR0_PITCH_cik" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 167316, "to": "mm"}, - "name": "CB_COLOR5_SLICE", - "type_ref": "CB_COLOR0_SLICE" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 167320, "to": "mm"}, - "name": "CB_COLOR5_VIEW", - "type_ref": "CB_COLOR0_VIEW" - }, - { - "chips": ["gfx9"], - "map": {"at": 167320, "to": "mm"}, - "name": "CB_COLOR5_VIEW", - "type_ref": "CB_COLOR0_VIEW_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 167388, "to": "mm"}, - "name": "CB_COLOR6_ATTRIB", - "type_ref": "CB_COLOR0_ATTRIB" - }, - { - "chips": ["gfx9"], - "map": {"at": 167388, "to": "mm"}, - "name": "CB_COLOR6_ATTRIB", - "type_ref": "CB_COLOR0_ATTRIB_gfx9" - }, - { - "chips": ["gfx9"], - "map": {"at": 167376, "to": "mm"}, - "name": "CB_COLOR6_ATTRIB2", - "type_ref": "CB_COLOR0_ATTRIB2" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 167368, "to": "mm"}, - "name": "CB_COLOR6_BASE" - }, - { - "chips": ["gfx9"], - "map": {"at": 167372, "to": "mm"}, - "name": "CB_COLOR6_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 167412, "to": "mm"}, - "name": "CB_COLOR6_CLEAR_WORD0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 167416, "to": "mm"}, - "name": "CB_COLOR6_CLEAR_WORD1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 167396, "to": "mm"}, - "name": "CB_COLOR6_CMASK" - }, - { - "chips": ["gfx9"], - "map": {"at": 167400, "to": "mm"}, - "name": "CB_COLOR6_CMASK_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 167400, "to": "mm"}, - "name": "CB_COLOR6_CMASK_SLICE", - "type_ref": "CB_COLOR0_CMASK_SLICE" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 167420, "to": "mm"}, - "name": "CB_COLOR6_DCC_BASE" - }, - { - "chips": ["gfx9"], - "map": {"at": 167424, "to": "mm"}, - "name": "CB_COLOR6_DCC_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 167392, "to": "mm"}, - "name": "CB_COLOR6_DCC_CONTROL", - "type_ref": "CB_COLOR0_DCC_CONTROL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 167404, "to": "mm"}, - "name": "CB_COLOR6_FMASK" - }, - { - "chips": ["gfx9"], - "map": {"at": 167408, "to": "mm"}, - "name": "CB_COLOR6_FMASK_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 167408, "to": "mm"}, - "name": "CB_COLOR6_FMASK_SLICE", - "type_ref": "CB_COLOR0_SLICE" - }, - { - "chips": ["gfx6"], - "map": {"at": 167384, "to": "mm"}, - "name": "CB_COLOR6_INFO", - "type_ref": "CB_COLOR0_INFO" - }, - { - "chips": ["gfx7"], - "map": {"at": 167384, "to": "mm"}, - "name": "CB_COLOR6_INFO", - "type_ref": "CB_COLOR0_INFO_cik" - }, - { - "chips": ["fiji", "stoney", "gfx8"], - "map": {"at": 167384, "to": "mm"}, - "name": "CB_COLOR6_INFO", - "type_ref": "CB_COLOR0_INFO_vi" - }, - { - "chips": ["gfx9"], - "map": {"at": 167384, "to": "mm"}, - "name": "CB_COLOR6_INFO", - "type_ref": "CB_COLOR0_INFO_gfx9" - }, - { - "chips": ["gfx6"], - "map": {"at": 167372, "to": "mm"}, - "name": "CB_COLOR6_PITCH", - "type_ref": "CB_COLOR0_PITCH" - }, - { - "chips": ["gfx7", "fiji", "stoney", "gfx8"], - "map": {"at": 167372, "to": "mm"}, - "name": "CB_COLOR6_PITCH", - "type_ref": "CB_COLOR0_PITCH_cik" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 167376, "to": "mm"}, - "name": "CB_COLOR6_SLICE", - "type_ref": "CB_COLOR0_SLICE" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 167380, "to": "mm"}, - "name": "CB_COLOR6_VIEW", - "type_ref": "CB_COLOR0_VIEW" - }, - { - "chips": ["gfx9"], - "map": {"at": 167380, "to": "mm"}, - "name": "CB_COLOR6_VIEW", - "type_ref": "CB_COLOR0_VIEW_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 167448, "to": "mm"}, - "name": "CB_COLOR7_ATTRIB", - "type_ref": "CB_COLOR0_ATTRIB" - }, - { - "chips": ["gfx9"], - "map": {"at": 167448, "to": "mm"}, - "name": "CB_COLOR7_ATTRIB", - "type_ref": "CB_COLOR0_ATTRIB_gfx9" - }, - { - "chips": ["gfx9"], - "map": {"at": 167436, "to": "mm"}, - "name": "CB_COLOR7_ATTRIB2", - "type_ref": "CB_COLOR0_ATTRIB2" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 167428, "to": "mm"}, - "name": "CB_COLOR7_BASE" - }, - { - "chips": ["gfx9"], - "map": {"at": 167432, "to": "mm"}, - "name": "CB_COLOR7_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 167472, "to": "mm"}, - "name": "CB_COLOR7_CLEAR_WORD0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 167476, "to": "mm"}, - "name": "CB_COLOR7_CLEAR_WORD1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 167456, "to": "mm"}, - "name": "CB_COLOR7_CMASK" - }, - { - "chips": ["gfx9"], - "map": {"at": 167460, "to": "mm"}, - "name": "CB_COLOR7_CMASK_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 167460, "to": "mm"}, - "name": "CB_COLOR7_CMASK_SLICE", - "type_ref": "CB_COLOR0_CMASK_SLICE" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 167480, "to": "mm"}, - "name": "CB_COLOR7_DCC_BASE" - }, - { - "chips": ["gfx9"], - "map": {"at": 167484, "to": "mm"}, - "name": "CB_COLOR7_DCC_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 167452, "to": "mm"}, - "name": "CB_COLOR7_DCC_CONTROL", - "type_ref": "CB_COLOR0_DCC_CONTROL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 167464, "to": "mm"}, - "name": "CB_COLOR7_FMASK" - }, - { - "chips": ["gfx9"], - "map": {"at": 167468, "to": "mm"}, - "name": "CB_COLOR7_FMASK_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 167468, "to": "mm"}, - "name": "CB_COLOR7_FMASK_SLICE", - "type_ref": "CB_COLOR0_SLICE" - }, - { - "chips": ["gfx6"], - "map": {"at": 167444, "to": "mm"}, - "name": "CB_COLOR7_INFO", - "type_ref": "CB_COLOR0_INFO" - }, - { - "chips": ["gfx7"], - "map": {"at": 167444, "to": "mm"}, - "name": "CB_COLOR7_INFO", - "type_ref": "CB_COLOR0_INFO_cik" - }, - { - "chips": ["fiji", "stoney", "gfx8"], - "map": {"at": 167444, "to": "mm"}, - "name": "CB_COLOR7_INFO", - "type_ref": "CB_COLOR0_INFO_vi" - }, - { - "chips": ["gfx9"], - "map": {"at": 167444, "to": "mm"}, - "name": "CB_COLOR7_INFO", - "type_ref": "CB_COLOR0_INFO_gfx9" - }, - { - "chips": ["gfx6"], - "map": {"at": 167432, "to": "mm"}, - "name": "CB_COLOR7_PITCH", - "type_ref": "CB_COLOR0_PITCH" - }, - { - "chips": ["gfx7", "fiji", "stoney", "gfx8"], - "map": {"at": 167432, "to": "mm"}, - "name": "CB_COLOR7_PITCH", - "type_ref": "CB_COLOR0_PITCH_cik" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 167436, "to": "mm"}, - "name": "CB_COLOR7_SLICE", - "type_ref": "CB_COLOR0_SLICE" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 167440, "to": "mm"}, - "name": "CB_COLOR7_VIEW", - "type_ref": "CB_COLOR0_VIEW" - }, - { - "chips": ["gfx9"], - "map": {"at": 167440, "to": "mm"}, - "name": "CB_COLOR7_VIEW", - "type_ref": "CB_COLOR0_VIEW_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165896, "to": "mm"}, - "name": "CB_COLOR_CONTROL", - "type_ref": "CB_COLOR_CONTROL" - }, - { - "chips": ["fiji", "stoney", "gfx8"], - "map": {"at": 164900, "to": "mm"}, - "name": "CB_DCC_CONTROL", - "type_ref": "CB_DCC_CONTROL" - }, - { - "chips": ["gfx9"], - "map": {"at": 164900, "to": "mm"}, - "name": "CB_DCC_CONTROL", - "type_ref": "CB_DCC_CONTROL_gfx9" - }, - { - "chips": ["gfx9"], - "map": {"at": 165792, "to": "mm"}, - "name": "CB_MRT0_EPITCH", - "type_ref": "DB_Z_INFO2" - }, - { - "chips": ["gfx9"], - "map": {"at": 165796, "to": "mm"}, - "name": "CB_MRT1_EPITCH", - "type_ref": "DB_Z_INFO2" - }, - { - "chips": ["gfx9"], - "map": {"at": 165800, "to": "mm"}, - "name": "CB_MRT2_EPITCH", - "type_ref": "DB_Z_INFO2" - }, - { - "chips": ["gfx9"], - "map": {"at": 165804, "to": "mm"}, - "name": "CB_MRT3_EPITCH", - "type_ref": "DB_Z_INFO2" - }, - { - "chips": ["gfx9"], - "map": {"at": 165808, "to": "mm"}, - "name": "CB_MRT4_EPITCH", - "type_ref": "DB_Z_INFO2" - }, - { - "chips": ["gfx9"], - "map": {"at": 165812, "to": "mm"}, - "name": "CB_MRT5_EPITCH", - "type_ref": "DB_Z_INFO2" - }, - { - "chips": ["gfx9"], - "map": {"at": 165816, "to": "mm"}, - "name": "CB_MRT6_EPITCH", - "type_ref": "DB_Z_INFO2" - }, - { - "chips": ["gfx9"], - "map": {"at": 165820, "to": "mm"}, - "name": "CB_MRT7_EPITCH", - "type_ref": "DB_Z_INFO2" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 217116, "to": "mm"}, - "name": "CB_PERFCOUNTER0_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 217112, "to": "mm"}, - "name": "CB_PERFCOUNTER0_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 225284, "to": "mm"}, - "name": "CB_PERFCOUNTER0_SELECT", - "type_ref": "CB_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 225288, "to": "mm"}, - "name": "CB_PERFCOUNTER0_SELECT1", - "type_ref": "CB_PERFCOUNTER0_SELECT1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 217124, "to": "mm"}, - "name": "CB_PERFCOUNTER1_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 217120, "to": "mm"}, - "name": "CB_PERFCOUNTER1_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 225292, "to": "mm"}, - "name": "CB_PERFCOUNTER1_SELECT", - "type_ref": "CB_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 217132, "to": "mm"}, - "name": "CB_PERFCOUNTER2_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 217128, "to": "mm"}, - "name": "CB_PERFCOUNTER2_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 225296, "to": "mm"}, - "name": "CB_PERFCOUNTER2_SELECT", - "type_ref": "CB_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 217140, "to": "mm"}, - "name": "CB_PERFCOUNTER3_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 217136, "to": "mm"}, - "name": "CB_PERFCOUNTER3_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 225300, "to": "mm"}, - "name": "CB_PERFCOUNTER3_SELECT", - "type_ref": "CB_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 225280, "to": "mm"}, - "name": "CB_PERFCOUNTER_FILTER", - "type_ref": "CB_PERFCOUNTER_FILTER" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164412, "to": "mm"}, - "name": "CB_SHADER_MASK", - "type_ref": "CB_SHADER_MASK" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164408, "to": "mm"}, - "name": "CB_TARGET_MASK", - "type_ref": "CB_TARGET_MASK" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164424, "to": "mm"}, - "name": "COHER_DEST_BASE_0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164428, "to": "mm"}, - "name": "COHER_DEST_BASE_1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164344, "to": "mm"}, - "name": "COHER_DEST_BASE_2" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164348, "to": "mm"}, - "name": "COHER_DEST_BASE_3" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 164328, "to": "mm"}, - "name": "COHER_DEST_BASE_HI_0", - "type_ref": "COHER_DEST_BASE_HI_0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 164332, "to": "mm"}, - "name": "COHER_DEST_BASE_HI_1", - "type_ref": "COHER_DEST_BASE_HI_0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 164336, "to": "mm"}, - "name": "COHER_DEST_BASE_HI_2", - "type_ref": "COHER_DEST_BASE_HI_0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 164340, "to": "mm"}, - "name": "COHER_DEST_BASE_HI_3", - "type_ref": "COHER_DEST_BASE_HI_0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 47108, "to": "mm"}, - "name": "COMPUTE_DIM_X" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 47112, "to": "mm"}, - "name": "COMPUTE_DIM_Y" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 47116, "to": "mm"}, - "name": "COMPUTE_DIM_Z" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 47232, "to": "mm"}, - "name": "COMPUTE_DISPATCH_ID" - }, - { - "chips": ["gfx6"], - "map": {"at": 47104, "to": "mm"}, - "name": "COMPUTE_DISPATCH_INITIATOR", - "type_ref": "COMPUTE_DISPATCH_INITIATOR" - }, - { - "chips": ["gfx7", "fiji", "stoney", "gfx8"], - "map": {"at": 47104, "to": "mm"}, - "name": "COMPUTE_DISPATCH_INITIATOR", - "type_ref": "COMPUTE_DISPATCH_INITIATOR_cik" - }, - { - "chips": ["gfx9"], - "map": {"at": 47104, "to": "mm"}, - "name": "COMPUTE_DISPATCH_INITIATOR", - "type_ref": "COMPUTE_DISPATCH_INITIATOR_gfx9" - }, - { - "chips": ["gfx9"], - "map": {"at": 47164, "to": "mm"}, - "name": "COMPUTE_DISPATCH_PKT_ADDR_HI", - "type_ref": "COMPUTE_PGM_HI" - }, - { - "chips": ["gfx9"], - "map": {"at": 47160, "to": "mm"}, - "name": "COMPUTE_DISPATCH_PKT_ADDR_LO" - }, - { - "chips": ["gfx9"], - "map": {"at": 47172, "to": "mm"}, - "name": "COMPUTE_DISPATCH_SCRATCH_BASE_HI", - "type_ref": "COMPUTE_PGM_HI" - }, - { - "chips": ["gfx9"], - "map": {"at": 47168, "to": "mm"}, - "name": "COMPUTE_DISPATCH_SCRATCH_BASE_LO" - }, - { - "chips": ["gfx6"], - "map": {"at": 47148, "to": "mm"}, - "name": "COMPUTE_MAX_WAVE_ID", - "type_ref": "SPI_PS_MAX_WAVE_ID" - }, - { - "chips": ["gfx7"], - "map": {"at": 47228, "to": "mm"}, - "name": "COMPUTE_MISC_RESERVED", - "type_ref": "COMPUTE_MISC_RESERVED" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 47228, "to": "mm"}, - "name": "COMPUTE_MISC_RESERVED", - "type_ref": "COMPUTE_MISC_RESERVED_vi" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 47612, "to": "mm"}, - "name": "COMPUTE_NOWHERE" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 47132, "to": "mm"}, - "name": "COMPUTE_NUM_THREAD_X", - "type_ref": "COMPUTE_NUM_THREAD_X" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 47136, "to": "mm"}, - "name": "COMPUTE_NUM_THREAD_Y", - "type_ref": "COMPUTE_NUM_THREAD_X" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 47140, "to": "mm"}, - "name": "COMPUTE_NUM_THREAD_Z", - "type_ref": "COMPUTE_NUM_THREAD_X" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 47148, "to": "mm"}, - "name": "COMPUTE_PERFCOUNT_ENABLE", - "type_ref": "COMPUTE_PERFCOUNT_ENABLE" - }, - { - "chips": ["gfx9", "gfx6"], - "map": {"at": 47156, "to": "mm"}, - "name": "COMPUTE_PGM_HI", - "type_ref": "COMPUTE_PGM_HI" - }, - { - "chips": ["gfx7", "fiji", "stoney", "gfx8"], - "map": {"at": 47156, "to": "mm"}, - "name": "COMPUTE_PGM_HI", - "type_ref": "COMPUTE_PGM_HI_cik" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 47152, "to": "mm"}, - "name": "COMPUTE_PGM_LO" - }, - { - "chips": ["gfx6"], - "map": {"at": 47176, "to": "mm"}, - "name": "COMPUTE_PGM_RSRC1", - "type_ref": "SPI_SHADER_PGM_RSRC1_HS" - }, - { - "chips": ["gfx7", "fiji", "stoney", "gfx8"], - "map": {"at": 47176, "to": "mm"}, - "name": "COMPUTE_PGM_RSRC1", - "type_ref": "COMPUTE_PGM_RSRC1" - }, - { - "chips": ["gfx9"], - "map": {"at": 47176, "to": "mm"}, - "name": "COMPUTE_PGM_RSRC1", - "type_ref": "COMPUTE_PGM_RSRC1_gfx9" - }, - { - "chips": ["gfx6"], - "map": {"at": 47180, "to": "mm"}, - "name": "COMPUTE_PGM_RSRC2", - "type_ref": "COMPUTE_PGM_RSRC2" - }, - { - "chips": ["gfx7", "fiji", "stoney", "gfx8"], - "map": {"at": 47180, "to": "mm"}, - "name": "COMPUTE_PGM_RSRC2", - "type_ref": "COMPUTE_PGM_RSRC2_cik" - }, - { - "chips": ["gfx9"], - "map": {"at": 47180, "to": "mm"}, - "name": "COMPUTE_PGM_RSRC2", - "type_ref": "COMPUTE_PGM_RSRC2_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 47144, "to": "mm"}, - "name": "COMPUTE_PIPELINESTAT_ENABLE", - "type_ref": "COMPUTE_PIPELINESTAT_ENABLE" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 47240, "to": "mm"}, - "name": "COMPUTE_RELAUNCH", - "type_ref": "COMPUTE_RELAUNCH" - }, - { - "chips": ["gfx6"], - "map": {"at": 47188, "to": "mm"}, - "name": "COMPUTE_RESOURCE_LIMITS", - "type_ref": "COMPUTE_RESOURCE_LIMITS" - }, - { - "chips": ["gfx7", "fiji", "stoney", "gfx8"], - "map": {"at": 47188, "to": "mm"}, - "name": "COMPUTE_RESOURCE_LIMITS", - "type_ref": "COMPUTE_RESOURCE_LIMITS_cik" - }, - { - "chips": ["gfx9"], - "map": {"at": 47188, "to": "mm"}, - "name": "COMPUTE_RESOURCE_LIMITS", - "type_ref": "COMPUTE_RESOURCE_LIMITS_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 47212, "to": "mm"}, - "name": "COMPUTE_RESTART_X" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 47216, "to": "mm"}, - "name": "COMPUTE_RESTART_Y" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 47220, "to": "mm"}, - "name": "COMPUTE_RESTART_Z" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 47120, "to": "mm"}, - "name": "COMPUTE_START_X" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 47124, "to": "mm"}, - "name": "COMPUTE_START_Y" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 47128, "to": "mm"}, - "name": "COMPUTE_START_Z" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 47192, "to": "mm"}, - "name": "COMPUTE_STATIC_THREAD_MGMT_SE0", - "type_ref": "COMPUTE_STATIC_THREAD_MGMT_SE0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 47196, "to": "mm"}, - "name": "COMPUTE_STATIC_THREAD_MGMT_SE1", - "type_ref": "COMPUTE_STATIC_THREAD_MGMT_SE0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 47204, "to": "mm"}, - "name": "COMPUTE_STATIC_THREAD_MGMT_SE2", - "type_ref": "COMPUTE_STATIC_THREAD_MGMT_SE0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 47208, "to": "mm"}, - "name": "COMPUTE_STATIC_THREAD_MGMT_SE3", - "type_ref": "COMPUTE_STATIC_THREAD_MGMT_SE0" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 47164, "to": "mm"}, - "name": "COMPUTE_TBA_HI", - "type_ref": "COMPUTE_PGM_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 47160, "to": "mm"}, - "name": "COMPUTE_TBA_LO" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 47236, "to": "mm"}, - "name": "COMPUTE_THREADGROUP_ID" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 47172, "to": "mm"}, - "name": "COMPUTE_TMA_HI", - "type_ref": "COMPUTE_PGM_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 47168, "to": "mm"}, - "name": "COMPUTE_TMA_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 47200, "to": "mm"}, - "name": "COMPUTE_TMPRING_SIZE", - "type_ref": "COMPUTE_TMPRING_SIZE" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 47360, "to": "mm"}, - "name": "COMPUTE_USER_DATA_0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 47364, "to": "mm"}, - "name": "COMPUTE_USER_DATA_1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 47400, "to": "mm"}, - "name": "COMPUTE_USER_DATA_10" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 47404, "to": "mm"}, - "name": "COMPUTE_USER_DATA_11" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 47408, "to": "mm"}, - "name": "COMPUTE_USER_DATA_12" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 47412, "to": "mm"}, - "name": "COMPUTE_USER_DATA_13" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 47416, "to": "mm"}, - "name": "COMPUTE_USER_DATA_14" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 47420, "to": "mm"}, - "name": "COMPUTE_USER_DATA_15" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 47368, "to": "mm"}, - "name": "COMPUTE_USER_DATA_2" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 47372, "to": "mm"}, - "name": "COMPUTE_USER_DATA_3" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 47376, "to": "mm"}, - "name": "COMPUTE_USER_DATA_4" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 47380, "to": "mm"}, - "name": "COMPUTE_USER_DATA_5" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 47384, "to": "mm"}, - "name": "COMPUTE_USER_DATA_6" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 47388, "to": "mm"}, - "name": "COMPUTE_USER_DATA_7" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 47392, "to": "mm"}, - "name": "COMPUTE_USER_DATA_8" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 47396, "to": "mm"}, - "name": "COMPUTE_USER_DATA_9" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 47184, "to": "mm"}, - "name": "COMPUTE_VMID", - "type_ref": "COMPUTE_VMID" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 47248, "to": "mm"}, - "name": "COMPUTE_WAVE_RESTORE_ADDR_HI", - "type_ref": "COMPUTE_WAVE_RESTORE_ADDR_HI" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 47244, "to": "mm"}, - "name": "COMPUTE_WAVE_RESTORE_ADDR_LO" - }, - { - "chips": ["fiji", "stoney", "gfx8"], - "map": {"at": 47252, "to": "mm"}, - "name": "COMPUTE_WAVE_RESTORE_CONTROL", - "type_ref": "COMPUTE_WAVE_RESTORE_CONTROL" - }, - { - "chips": ["gfx9"], - "map": {"at": 47252, "to": "mm"}, - "name": "COMPUTE_SHADER_CHKSUM" - }, - { - "chips": ["gfx9"], - "map": {"at": 213048, "to": "mm"}, - "name": "CPC_LATENCY_STATS_DATA" - }, - { - "chips": ["gfx9"], - "map": {"at": 221240, "to": "mm"}, - "name": "CPC_LATENCY_STATS_SELECT", - "type_ref": "CPC_LATENCY_STATS_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 213020, "to": "mm"}, - "name": "CPC_PERFCOUNTER0_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 213016, "to": "mm"}, - "name": "CPC_PERFCOUNTER0_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 221220, "to": "mm"}, - "name": "CPC_PERFCOUNTER0_SELECT", - "type_ref": "CPG_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx9"], - "map": {"at": 221220, "to": "mm"}, - "name": "CPC_PERFCOUNTER0_SELECT", - "type_ref": "CPG_PERFCOUNTER0_SELECT_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 221200, "to": "mm"}, - "name": "CPC_PERFCOUNTER0_SELECT1", - "type_ref": "CPG_PERFCOUNTER0_SELECT1" - }, - { - "chips": ["gfx9"], - "map": {"at": 221200, "to": "mm"}, - "name": "CPC_PERFCOUNTER0_SELECT1", - "type_ref": "CPG_PERFCOUNTER0_SELECT1_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 213012, "to": "mm"}, - "name": "CPC_PERFCOUNTER1_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 213008, "to": "mm"}, - "name": "CPC_PERFCOUNTER1_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 221196, "to": "mm"}, - "name": "CPC_PERFCOUNTER1_SELECT", - "type_ref": "CPG_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx9"], - "map": {"at": 221196, "to": "mm"}, - "name": "CPC_PERFCOUNTER1_SELECT", - "type_ref": "CPG_PERFCOUNTER0_SELECT_gfx9" - }, - { - "chips": ["gfx9"], - "map": {"at": 213040, "to": "mm"}, - "name": "CPF_LATENCY_STATS_DATA" - }, - { - "chips": ["gfx9"], - "map": {"at": 221232, "to": "mm"}, - "name": "CPF_LATENCY_STATS_SELECT", - "type_ref": "CPF_LATENCY_STATS_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 213036, "to": "mm"}, - "name": "CPF_PERFCOUNTER0_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 213032, "to": "mm"}, - "name": "CPF_PERFCOUNTER0_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 221212, "to": "mm"}, - "name": "CPF_PERFCOUNTER0_SELECT", - "type_ref": "CPG_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx9"], - "map": {"at": 221212, "to": "mm"}, - "name": "CPF_PERFCOUNTER0_SELECT", - "type_ref": "CPG_PERFCOUNTER0_SELECT_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 221208, "to": "mm"}, - "name": "CPF_PERFCOUNTER0_SELECT1", - "type_ref": "CPG_PERFCOUNTER0_SELECT1" - }, - { - "chips": ["gfx9"], - "map": {"at": 221208, "to": "mm"}, - "name": "CPF_PERFCOUNTER0_SELECT1", - "type_ref": "CPG_PERFCOUNTER0_SELECT1_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 213028, "to": "mm"}, - "name": "CPF_PERFCOUNTER1_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 213024, "to": "mm"}, - "name": "CPF_PERFCOUNTER1_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 221204, "to": "mm"}, - "name": "CPF_PERFCOUNTER1_SELECT", - "type_ref": "CPG_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx9"], - "map": {"at": 221204, "to": "mm"}, - "name": "CPF_PERFCOUNTER1_SELECT", - "type_ref": "CPG_PERFCOUNTER0_SELECT_gfx9" - }, - { - "chips": ["gfx9"], - "map": {"at": 221224, "to": "mm"}, - "name": "CPF_TC_PERF_COUNTER_WINDOW_SELECT", - "type_ref": "CPF_TC_PERF_COUNTER_WINDOW_SELECT" - }, - { - "chips": ["gfx9"], - "map": {"at": 213044, "to": "mm"}, - "name": "CPG_LATENCY_STATS_DATA" - }, - { - "chips": ["gfx9"], - "map": {"at": 221236, "to": "mm"}, - "name": "CPG_LATENCY_STATS_SELECT", - "type_ref": "CPG_LATENCY_STATS_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 213004, "to": "mm"}, - "name": "CPG_PERFCOUNTER0_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 213000, "to": "mm"}, - "name": "CPG_PERFCOUNTER0_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 221192, "to": "mm"}, - "name": "CPG_PERFCOUNTER0_SELECT", - "type_ref": "CPG_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx9"], - "map": {"at": 221192, "to": "mm"}, - "name": "CPG_PERFCOUNTER0_SELECT", - "type_ref": "CPG_PERFCOUNTER0_SELECT_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 221188, "to": "mm"}, - "name": "CPG_PERFCOUNTER0_SELECT1", - "type_ref": "CPG_PERFCOUNTER0_SELECT1" - }, - { - "chips": ["gfx9"], - "map": {"at": 221188, "to": "mm"}, - "name": "CPG_PERFCOUNTER0_SELECT1", - "type_ref": "CPG_PERFCOUNTER0_SELECT1_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 212996, "to": "mm"}, - "name": "CPG_PERFCOUNTER1_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 212992, "to": "mm"}, - "name": "CPG_PERFCOUNTER1_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 221184, "to": "mm"}, - "name": "CPG_PERFCOUNTER1_SELECT", - "type_ref": "CPG_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx9"], - "map": {"at": 221184, "to": "mm"}, - "name": "CPG_PERFCOUNTER1_SELECT", - "type_ref": "CPG_PERFCOUNTER0_SELECT_gfx9" - }, - { - "chips": ["gfx9"], - "map": {"at": 221228, "to": "mm"}, - "name": "CPG_TC_PERF_COUNTER_WINDOW_SELECT", - "type_ref": "CPG_TC_PERF_COUNTER_WINDOW_SELECT" - }, - { - "chips": ["gfx6"], - "map": {"at": 34296, "to": "mm"}, - "name": "CP_COHER_BASE" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 197112, "to": "mm"}, - "name": "CP_COHER_BASE" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 197092, "to": "mm"}, - "name": "CP_COHER_BASE_HI", - "type_ref": "CP_COHER_BASE_HI" - }, - { - "chips": ["gfx6"], - "map": {"at": 34288, "to": "mm"}, - "name": "CP_COHER_CNTL", - "type_ref": "CP_COHER_CNTL" - }, - { - "chips": ["gfx7"], - "map": {"at": 197104, "to": "mm"}, - "name": "CP_COHER_CNTL", - "type_ref": "CP_COHER_CNTL_cik" - }, - { - "chips": ["fiji", "stoney", "gfx8"], - "map": {"at": 197104, "to": "mm"}, - "name": "CP_COHER_CNTL", - "type_ref": "CP_COHER_CNTL_vi" - }, - { - "chips": ["gfx9"], - "map": {"at": 197104, "to": "mm"}, - "name": "CP_COHER_CNTL", - "type_ref": "CP_COHER_CNTL_gfx9" - }, - { - "chips": ["gfx6"], - "map": {"at": 34292, "to": "mm"}, - "name": "CP_COHER_SIZE" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 197108, "to": "mm"}, - "name": "CP_COHER_SIZE" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 197168, "to": "mm"}, - "name": "CP_COHER_SIZE_HI", - "type_ref": "CP_COHER_SIZE_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 197100, "to": "mm"}, - "name": "CP_COHER_START_DELAY", - "type_ref": "CP_COHER_START_DELAY" - }, - { - "chips": ["gfx7", "fiji", "stoney", "gfx8"], - "map": {"at": 197116, "to": "mm"}, - "name": "CP_COHER_STATUS", - "type_ref": "CP_COHER_STATUS" - }, - { - "chips": ["gfx9"], - "map": {"at": 197116, "to": "mm"}, - "name": "CP_COHER_STATUS", - "type_ref": "CP_COHER_STATUS_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 33300, "to": "mm"}, - "name": "CP_CPC_BUSY_STAT", - "type_ref": "CP_CPC_BUSY_STAT" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 33304, "to": "mm"}, - "name": "CP_CPC_STALLED_STAT1", - "type_ref": "CP_CPC_STALLED_STAT1" - }, - { - "chips": ["gfx9"], - "map": {"at": 33304, "to": "mm"}, - "name": "CP_CPC_STALLED_STAT1", - "type_ref": "CP_CPC_STALLED_STAT1_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 33296, "to": "mm"}, - "name": "CP_CPC_STATUS", - "type_ref": "CP_CPC_STATUS" - }, - { - "chips": ["gfx9"], - "map": {"at": 33296, "to": "mm"}, - "name": "CP_CPC_STATUS", - "type_ref": "CP_CPC_STATUS_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 33312, "to": "mm"}, - "name": "CP_CPF_BUSY_STAT", - "type_ref": "CP_CPF_BUSY_STAT" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 33316, "to": "mm"}, - "name": "CP_CPF_STALLED_STAT1", - "type_ref": "CP_CPF_STALLED_STAT1" - }, - { - "chips": ["gfx9"], - "map": {"at": 33316, "to": "mm"}, - "name": "CP_CPF_STALLED_STAT1", - "type_ref": "CP_CPF_STALLED_STAT1_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 33308, "to": "mm"}, - "name": "CP_CPF_STATUS", - "type_ref": "CP_CPF_STATUS" - }, - { - "chips": ["gfx9"], - "map": {"at": 33308, "to": "mm"}, - "name": "CP_CPF_STATUS", - "type_ref": "CP_CPF_STATUS_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 221216, "to": "mm"}, - "name": "CP_PERFMON_CNTL", - "type_ref": "CP_PERFMON_CNTL" - }, - { - "chips": ["gfx9"], - "map": {"at": 164704, "to": "mm"}, - "name": "CP_PERFMON_CNTX_CNTL", - "type_ref": "CP_PERFMON_CNTX_CNTL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 34420, "to": "mm"}, - "name": "CP_STALLED_STAT1", - "type_ref": "CP_STALLED_STAT1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 34424, "to": "mm"}, - "name": "CP_STALLED_STAT2", - "type_ref": "CP_STALLED_STAT2" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 34416, "to": "mm"}, - "name": "CP_STALLED_STAT3", - "type_ref": "CP_STALLED_STAT3" - }, - { - "chips": ["gfx9"], - "map": {"at": 34416, "to": "mm"}, - "name": "CP_STALLED_STAT3", - "type_ref": "CP_STALLED_STAT3_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 34432, "to": "mm"}, - "name": "CP_STAT", - "type_ref": "CP_STAT" - }, - { - "chips": ["gfx9"], - "map": {"at": 34432, "to": "mm"}, - "name": "CP_STAT", - "type_ref": "CP_STAT_gfx9" - }, - { - "chips": ["gfx6"], - "map": {"at": 34044, "to": "mm"}, - "name": "CP_STRMOUT_CNTL", - "type_ref": "CP_STRMOUT_CNTL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 196860, "to": "mm"}, - "name": "CP_STRMOUT_CNTL", - "type_ref": "CP_STRMOUT_CNTL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165836, "to": "mm"}, - "name": "CS_COPY_STATE", - "type_ref": "CS_COPY_STATE" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166768, "to": "mm"}, - "name": "DB_ALPHA_TO_MASK", - "type_ref": "DB_ALPHA_TO_MASK" - }, - { - "chips": ["gfx6"], - "map": {"at": 163844, "to": "mm"}, - "name": "DB_COUNT_CONTROL", - "type_ref": "DB_COUNT_CONTROL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 163844, "to": "mm"}, - "name": "DB_COUNT_CONTROL", - "type_ref": "DB_COUNT_CONTROL_cik" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 163876, "to": "mm"}, - "name": "DB_DEPTH_BOUNDS_MAX" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 163872, "to": "mm"}, - "name": "DB_DEPTH_BOUNDS_MIN" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 163884, "to": "mm"}, - "name": "DB_DEPTH_CLEAR" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165888, "to": "mm"}, - "name": "DB_DEPTH_CONTROL", - "type_ref": "DB_DEPTH_CONTROL" - }, - { - "chips": ["gfx6"], - "map": {"at": 163900, "to": "mm"}, - "name": "DB_DEPTH_INFO", - "type_ref": "DB_DEPTH_INFO" - }, - { - "chips": ["gfx7", "fiji", "stoney", "gfx8"], - "map": {"at": 163900, "to": "mm"}, - "name": "DB_DEPTH_INFO", - "type_ref": "DB_DEPTH_INFO_cik" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 163928, "to": "mm"}, - "name": "DB_DEPTH_SIZE", - "type_ref": "DB_DEPTH_SIZE" - }, - { - "chips": ["gfx9"], - "map": {"at": 163868, "to": "mm"}, - "name": "DB_DEPTH_SIZE", - "type_ref": "DB_DEPTH_SIZE_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 163932, "to": "mm"}, - "name": "DB_DEPTH_SLICE", - "type_ref": "DB_DEPTH_SLICE" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 163848, "to": "mm"}, - "name": "DB_DEPTH_VIEW", - "type_ref": "DB_DEPTH_VIEW" - }, - { - "chips": ["gfx9"], - "map": {"at": 163848, "to": "mm"}, - "name": "DB_DEPTH_VIEW", - "type_ref": "DB_DEPTH_VIEW_gfx9" - }, - { - "chips": ["gfx9"], - "map": {"at": 163936, "to": "mm"}, - "name": "DB_DFSM_CONTROL", - "type_ref": "DB_DFSM_CONTROL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165892, "to": "mm"}, - "name": "DB_EQAA", - "type_ref": "DB_EQAA" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 163860, "to": "mm"}, - "name": "DB_HTILE_DATA_BASE" - }, - { - "chips": ["gfx9"], - "map": {"at": 163864, "to": "mm"}, - "name": "DB_HTILE_DATA_BASE_HI", - "type_ref": "VGT_TF_MEMORY_BASE_HI" - }, - { - "chips": ["gfx7", "gfx6"], - "map": {"at": 166588, "to": "mm"}, - "name": "DB_HTILE_SURFACE", - "type_ref": "DB_HTILE_SURFACE" - }, - { - "chips": ["fiji", "stoney", "gfx8"], - "map": {"at": 166588, "to": "mm"}, - "name": "DB_HTILE_SURFACE", - "type_ref": "DB_HTILE_SURFACE_vi" - }, - { - "chips": ["gfx9"], - "map": {"at": 166588, "to": "mm"}, - "name": "DB_HTILE_SURFACE", - "type_ref": "DB_HTILE_SURFACE_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 200452, "to": "mm"}, - "name": "DB_OCCLUSION_COUNT0_HI", - "type_ref": "DB_OCCLUSION_COUNT1_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 200448, "to": "mm"}, - "name": "DB_OCCLUSION_COUNT0_LOW" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 200460, "to": "mm"}, - "name": "DB_OCCLUSION_COUNT1_HI", - "type_ref": "DB_OCCLUSION_COUNT1_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 200456, "to": "mm"}, - "name": "DB_OCCLUSION_COUNT1_LOW" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 200468, "to": "mm"}, - "name": "DB_OCCLUSION_COUNT2_HI", - "type_ref": "DB_OCCLUSION_COUNT1_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 200464, "to": "mm"}, - "name": "DB_OCCLUSION_COUNT2_LOW" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 200476, "to": "mm"}, - "name": "DB_OCCLUSION_COUNT3_HI", - "type_ref": "DB_OCCLUSION_COUNT1_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 200472, "to": "mm"}, - "name": "DB_OCCLUSION_COUNT3_LOW" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 217348, "to": "mm"}, - "name": "DB_PERFCOUNTER0_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 217344, "to": "mm"}, - "name": "DB_PERFCOUNTER0_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 225536, "to": "mm"}, - "name": "DB_PERFCOUNTER0_SELECT", - "type_ref": "IA_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 225540, "to": "mm"}, - "name": "DB_PERFCOUNTER0_SELECT1", - "type_ref": "IA_PERFCOUNTER0_SELECT1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 217356, "to": "mm"}, - "name": "DB_PERFCOUNTER1_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 217352, "to": "mm"}, - "name": "DB_PERFCOUNTER1_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 225544, "to": "mm"}, - "name": "DB_PERFCOUNTER1_SELECT", - "type_ref": "IA_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 225548, "to": "mm"}, - "name": "DB_PERFCOUNTER1_SELECT1", - "type_ref": "IA_PERFCOUNTER0_SELECT1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 217364, "to": "mm"}, - "name": "DB_PERFCOUNTER2_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 217360, "to": "mm"}, - "name": "DB_PERFCOUNTER2_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 225552, "to": "mm"}, - "name": "DB_PERFCOUNTER2_SELECT", - "type_ref": "IA_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 217372, "to": "mm"}, - "name": "DB_PERFCOUNTER3_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 217368, "to": "mm"}, - "name": "DB_PERFCOUNTER3_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 225560, "to": "mm"}, - "name": "DB_PERFCOUNTER3_SELECT", - "type_ref": "IA_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166600, "to": "mm"}, - "name": "DB_PRELOAD_CONTROL", - "type_ref": "DB_PRELOAD_CONTROL" - }, - { - "chips": ["gfx7", "gfx6"], - "map": {"at": 163840, "to": "mm"}, - "name": "DB_RENDER_CONTROL", - "type_ref": "DB_RENDER_CONTROL" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 163840, "to": "mm"}, - "name": "DB_RENDER_CONTROL", - "type_ref": "DB_RENDER_CONTROL_vi" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 163852, "to": "mm"}, - "name": "DB_RENDER_OVERRIDE", - "type_ref": "DB_RENDER_OVERRIDE" - }, - { - "chips": ["gfx6"], - "map": {"at": 163856, "to": "mm"}, - "name": "DB_RENDER_OVERRIDE2", - "type_ref": "DB_RENDER_OVERRIDE2" - }, - { - "chips": ["gfx7", "fiji", "stoney", "gfx8"], - "map": {"at": 163856, "to": "mm"}, - "name": "DB_RENDER_OVERRIDE2", - "type_ref": "DB_RENDER_OVERRIDE2_cik" - }, - { - "chips": ["gfx9"], - "map": {"at": 163856, "to": "mm"}, - "name": "DB_RENDER_OVERRIDE2", - "type_ref": "DB_RENDER_OVERRIDE2_gfx9" - }, - { - "chips": ["gfx6"], - "map": {"at": 165900, "to": "mm"}, - "name": "DB_SHADER_CONTROL", - "type_ref": "DB_SHADER_CONTROL" - }, - { - "chips": ["gfx7", "fiji", "gfx8"], - "map": {"at": 165900, "to": "mm"}, - "name": "DB_SHADER_CONTROL", - "type_ref": "DB_SHADER_CONTROL_cik" - }, - { - "chips": ["stoney"], - "map": {"at": 165900, "to": "mm"}, - "name": "DB_SHADER_CONTROL", - "type_ref": "DB_SHADER_CONTROL_stoney" - }, - { - "chips": ["gfx9"], - "map": {"at": 165900, "to": "mm"}, - "name": "DB_SHADER_CONTROL", - "type_ref": "DB_SHADER_CONTROL_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166592, "to": "mm"}, - "name": "DB_SRESULTS_COMPARE_STATE0", - "type_ref": "DB_SRESULTS_COMPARE_STATE0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166596, "to": "mm"}, - "name": "DB_SRESULTS_COMPARE_STATE1", - "type_ref": "DB_SRESULTS_COMPARE_STATE1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164912, "to": "mm"}, - "name": "DB_STENCILREFMASK", - "type_ref": "DB_STENCILREFMASK" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164916, "to": "mm"}, - "name": "DB_STENCILREFMASK_BF", - "type_ref": "DB_STENCILREFMASK_BF" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 163880, "to": "mm"}, - "name": "DB_STENCIL_CLEAR", - "type_ref": "DB_STENCIL_CLEAR" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164908, "to": "mm"}, - "name": "DB_STENCIL_CONTROL", - "type_ref": "DB_STENCIL_CONTROL" - }, - { - "chips": ["gfx6"], - "map": {"at": 163908, "to": "mm"}, - "name": "DB_STENCIL_INFO", - "type_ref": "DB_STENCIL_INFO" - }, - { - "chips": ["gfx7"], - "map": {"at": 163908, "to": "mm"}, - "name": "DB_STENCIL_INFO", - "type_ref": "DB_STENCIL_INFO_cik" - }, - { - "chips": ["fiji", "stoney", "gfx8"], - "map": {"at": 163908, "to": "mm"}, - "name": "DB_STENCIL_INFO", - "type_ref": "DB_STENCIL_INFO_vi" - }, - { - "chips": ["gfx9"], - "map": {"at": 163900, "to": "mm"}, - "name": "DB_STENCIL_INFO", - "type_ref": "DB_STENCIL_INFO_gfx9" - }, - { - "chips": ["gfx9"], - "map": {"at": 163948, "to": "mm"}, - "name": "DB_STENCIL_INFO2", - "type_ref": "DB_Z_INFO2" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 163916, "to": "mm"}, - "name": "DB_STENCIL_READ_BASE" - }, - { - "chips": ["gfx9"], - "map": {"at": 163912, "to": "mm"}, - "name": "DB_STENCIL_READ_BASE" - }, - { - "chips": ["gfx9"], - "map": {"at": 163916, "to": "mm"}, - "name": "DB_STENCIL_READ_BASE_HI", - "type_ref": "VGT_TF_MEMORY_BASE_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 163924, "to": "mm"}, - "name": "DB_STENCIL_WRITE_BASE" - }, - { - "chips": ["gfx9"], - "map": {"at": 163928, "to": "mm"}, - "name": "DB_STENCIL_WRITE_BASE" - }, - { - "chips": ["gfx9"], - "map": {"at": 163932, "to": "mm"}, - "name": "DB_STENCIL_WRITE_BASE_HI", - "type_ref": "VGT_TF_MEMORY_BASE_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 39000, "to": "mm"}, - "name": "DB_SUBTILE_CONTROL", - "type_ref": "DB_SUBTILE_CONTROL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 200700, "to": "mm"}, - "name": "DB_ZPASS_COUNT_HI", - "type_ref": "DB_OCCLUSION_COUNT1_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 200696, "to": "mm"}, - "name": "DB_ZPASS_COUNT_LOW" - }, - { - "chips": ["gfx6"], - "map": {"at": 163904, "to": "mm"}, - "name": "DB_Z_INFO", - "type_ref": "DB_Z_INFO" - }, - { - "chips": ["gfx7"], - "map": {"at": 163904, "to": "mm"}, - "name": "DB_Z_INFO", - "type_ref": "DB_Z_INFO_cik" - }, - { - "chips": ["fiji", "stoney", "gfx8"], - "map": {"at": 163904, "to": "mm"}, - "name": "DB_Z_INFO", - "type_ref": "DB_Z_INFO_vi" - }, - { - "chips": ["gfx9"], - "map": {"at": 163896, "to": "mm"}, - "name": "DB_Z_INFO", - "type_ref": "DB_Z_INFO_gfx9" - }, - { - "chips": ["gfx9"], - "map": {"at": 163944, "to": "mm"}, - "name": "DB_Z_INFO2", - "type_ref": "DB_Z_INFO2" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 163912, "to": "mm"}, - "name": "DB_Z_READ_BASE" - }, - { - "chips": ["gfx9"], - "map": {"at": 163904, "to": "mm"}, - "name": "DB_Z_READ_BASE" - }, - { - "chips": ["gfx9"], - "map": {"at": 163908, "to": "mm"}, - "name": "DB_Z_READ_BASE_HI", - "type_ref": "VGT_TF_MEMORY_BASE_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 163920, "to": "mm"}, - "name": "DB_Z_WRITE_BASE" - }, - { - "chips": ["gfx9"], - "map": {"at": 163924, "to": "mm"}, - "name": "DB_Z_WRITE_BASE_HI", - "type_ref": "VGT_TF_MEMORY_BASE_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 39160, "to": "mm"}, - "name": "GB_ADDR_CONFIG", - "type_ref": "GB_ADDR_CONFIG" - }, - { - "chips": ["gfx9"], - "map": {"at": 39160, "to": "mm"}, - "name": "GB_ADDR_CONFIG", - "type_ref": "GB_ADDR_CONFIG_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 39312, "to": "mm"}, - "name": "GB_MACROTILE_MODE0", - "type_ref": "GB_MACROTILE_MODE0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 39316, "to": "mm"}, - "name": "GB_MACROTILE_MODE1", - "type_ref": "GB_MACROTILE_MODE0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 39352, "to": "mm"}, - "name": "GB_MACROTILE_MODE10", - "type_ref": "GB_MACROTILE_MODE0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 39356, "to": "mm"}, - "name": "GB_MACROTILE_MODE11", - "type_ref": "GB_MACROTILE_MODE0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 39360, "to": "mm"}, - "name": "GB_MACROTILE_MODE12", - "type_ref": "GB_MACROTILE_MODE0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 39364, "to": "mm"}, - "name": "GB_MACROTILE_MODE13", - "type_ref": "GB_MACROTILE_MODE0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 39368, "to": "mm"}, - "name": "GB_MACROTILE_MODE14", - "type_ref": "GB_MACROTILE_MODE0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 39372, "to": "mm"}, - "name": "GB_MACROTILE_MODE15", - "type_ref": "GB_MACROTILE_MODE0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 39320, "to": "mm"}, - "name": "GB_MACROTILE_MODE2", - "type_ref": "GB_MACROTILE_MODE0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 39324, "to": "mm"}, - "name": "GB_MACROTILE_MODE3", - "type_ref": "GB_MACROTILE_MODE0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 39328, "to": "mm"}, - "name": "GB_MACROTILE_MODE4", - "type_ref": "GB_MACROTILE_MODE0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 39332, "to": "mm"}, - "name": "GB_MACROTILE_MODE5", - "type_ref": "GB_MACROTILE_MODE0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 39336, "to": "mm"}, - "name": "GB_MACROTILE_MODE6", - "type_ref": "GB_MACROTILE_MODE0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 39340, "to": "mm"}, - "name": "GB_MACROTILE_MODE7", - "type_ref": "GB_MACROTILE_MODE0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 39344, "to": "mm"}, - "name": "GB_MACROTILE_MODE8", - "type_ref": "GB_MACROTILE_MODE0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 39348, "to": "mm"}, - "name": "GB_MACROTILE_MODE9", - "type_ref": "GB_MACROTILE_MODE0" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 39184, "to": "mm"}, - "name": "GB_TILE_MODE0", - "type_ref": "GB_TILE_MODE0" - }, - { - "chips": ["gfx9"], - "map": {"at": 39184, "to": "mm"}, - "name": "GB_TILE_MODE0", - "type_ref": "GB_TILE_MODE0_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 39188, "to": "mm"}, - "name": "GB_TILE_MODE1", - "type_ref": "GB_TILE_MODE0" - }, - { - "chips": ["gfx9"], - "map": {"at": 39188, "to": "mm"}, - "name": "GB_TILE_MODE1", - "type_ref": "GB_TILE_MODE0_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 39224, "to": "mm"}, - "name": "GB_TILE_MODE10", - "type_ref": "GB_TILE_MODE0" - }, - { - "chips": ["gfx9"], - "map": {"at": 39224, "to": "mm"}, - "name": "GB_TILE_MODE10", - "type_ref": "GB_TILE_MODE0_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 39228, "to": "mm"}, - "name": "GB_TILE_MODE11", - "type_ref": "GB_TILE_MODE0" - }, - { - "chips": ["gfx9"], - "map": {"at": 39228, "to": "mm"}, - "name": "GB_TILE_MODE11", - "type_ref": "GB_TILE_MODE0_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 39232, "to": "mm"}, - "name": "GB_TILE_MODE12", - "type_ref": "GB_TILE_MODE0" - }, - { - "chips": ["gfx9"], - "map": {"at": 39232, "to": "mm"}, - "name": "GB_TILE_MODE12", - "type_ref": "GB_TILE_MODE0_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 39236, "to": "mm"}, - "name": "GB_TILE_MODE13", - "type_ref": "GB_TILE_MODE0" - }, - { - "chips": ["gfx9"], - "map": {"at": 39236, "to": "mm"}, - "name": "GB_TILE_MODE13", - "type_ref": "GB_TILE_MODE0_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 39240, "to": "mm"}, - "name": "GB_TILE_MODE14", - "type_ref": "GB_TILE_MODE0" - }, - { - "chips": ["gfx9"], - "map": {"at": 39240, "to": "mm"}, - "name": "GB_TILE_MODE14", - "type_ref": "GB_TILE_MODE0_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 39244, "to": "mm"}, - "name": "GB_TILE_MODE15", - "type_ref": "GB_TILE_MODE0" - }, - { - "chips": ["gfx9"], - "map": {"at": 39244, "to": "mm"}, - "name": "GB_TILE_MODE15", - "type_ref": "GB_TILE_MODE0_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 39248, "to": "mm"}, - "name": "GB_TILE_MODE16", - "type_ref": "GB_TILE_MODE0" - }, - { - "chips": ["gfx9"], - "map": {"at": 39248, "to": "mm"}, - "name": "GB_TILE_MODE16", - "type_ref": "GB_TILE_MODE0_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 39252, "to": "mm"}, - "name": "GB_TILE_MODE17", - "type_ref": "GB_TILE_MODE0" - }, - { - "chips": ["gfx9"], - "map": {"at": 39252, "to": "mm"}, - "name": "GB_TILE_MODE17", - "type_ref": "GB_TILE_MODE0_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 39256, "to": "mm"}, - "name": "GB_TILE_MODE18", - "type_ref": "GB_TILE_MODE0" - }, - { - "chips": ["gfx9"], - "map": {"at": 39256, "to": "mm"}, - "name": "GB_TILE_MODE18", - "type_ref": "GB_TILE_MODE0_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 39260, "to": "mm"}, - "name": "GB_TILE_MODE19", - "type_ref": "GB_TILE_MODE0" - }, - { - "chips": ["gfx9"], - "map": {"at": 39260, "to": "mm"}, - "name": "GB_TILE_MODE19", - "type_ref": "GB_TILE_MODE0_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 39192, "to": "mm"}, - "name": "GB_TILE_MODE2", - "type_ref": "GB_TILE_MODE0" - }, - { - "chips": ["gfx9"], - "map": {"at": 39192, "to": "mm"}, - "name": "GB_TILE_MODE2", - "type_ref": "GB_TILE_MODE0_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 39264, "to": "mm"}, - "name": "GB_TILE_MODE20", - "type_ref": "GB_TILE_MODE0" - }, - { - "chips": ["gfx9"], - "map": {"at": 39264, "to": "mm"}, - "name": "GB_TILE_MODE20", - "type_ref": "GB_TILE_MODE0_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 39268, "to": "mm"}, - "name": "GB_TILE_MODE21", - "type_ref": "GB_TILE_MODE0" - }, - { - "chips": ["gfx9"], - "map": {"at": 39268, "to": "mm"}, - "name": "GB_TILE_MODE21", - "type_ref": "GB_TILE_MODE0_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 39272, "to": "mm"}, - "name": "GB_TILE_MODE22", - "type_ref": "GB_TILE_MODE0" - }, - { - "chips": ["gfx9"], - "map": {"at": 39272, "to": "mm"}, - "name": "GB_TILE_MODE22", - "type_ref": "GB_TILE_MODE0_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 39276, "to": "mm"}, - "name": "GB_TILE_MODE23", - "type_ref": "GB_TILE_MODE0" - }, - { - "chips": ["gfx9"], - "map": {"at": 39276, "to": "mm"}, - "name": "GB_TILE_MODE23", - "type_ref": "GB_TILE_MODE0_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 39280, "to": "mm"}, - "name": "GB_TILE_MODE24", - "type_ref": "GB_TILE_MODE0" - }, - { - "chips": ["gfx9"], - "map": {"at": 39280, "to": "mm"}, - "name": "GB_TILE_MODE24", - "type_ref": "GB_TILE_MODE0_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 39284, "to": "mm"}, - "name": "GB_TILE_MODE25", - "type_ref": "GB_TILE_MODE0" - }, - { - "chips": ["gfx9"], - "map": {"at": 39284, "to": "mm"}, - "name": "GB_TILE_MODE25", - "type_ref": "GB_TILE_MODE0_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 39288, "to": "mm"}, - "name": "GB_TILE_MODE26", - "type_ref": "GB_TILE_MODE0" - }, - { - "chips": ["gfx9"], - "map": {"at": 39288, "to": "mm"}, - "name": "GB_TILE_MODE26", - "type_ref": "GB_TILE_MODE0_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 39292, "to": "mm"}, - "name": "GB_TILE_MODE27", - "type_ref": "GB_TILE_MODE0" - }, - { - "chips": ["gfx9"], - "map": {"at": 39292, "to": "mm"}, - "name": "GB_TILE_MODE27", - "type_ref": "GB_TILE_MODE0_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 39296, "to": "mm"}, - "name": "GB_TILE_MODE28", - "type_ref": "GB_TILE_MODE0" - }, - { - "chips": ["gfx9"], - "map": {"at": 39296, "to": "mm"}, - "name": "GB_TILE_MODE28", - "type_ref": "GB_TILE_MODE0_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 39300, "to": "mm"}, - "name": "GB_TILE_MODE29", - "type_ref": "GB_TILE_MODE0" - }, - { - "chips": ["gfx9"], - "map": {"at": 39300, "to": "mm"}, - "name": "GB_TILE_MODE29", - "type_ref": "GB_TILE_MODE0_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 39196, "to": "mm"}, - "name": "GB_TILE_MODE3", - "type_ref": "GB_TILE_MODE0" - }, - { - "chips": ["gfx9"], - "map": {"at": 39196, "to": "mm"}, - "name": "GB_TILE_MODE3", - "type_ref": "GB_TILE_MODE0_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 39304, "to": "mm"}, - "name": "GB_TILE_MODE30", - "type_ref": "GB_TILE_MODE0" - }, - { - "chips": ["gfx9"], - "map": {"at": 39304, "to": "mm"}, - "name": "GB_TILE_MODE30", - "type_ref": "GB_TILE_MODE0_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 39308, "to": "mm"}, - "name": "GB_TILE_MODE31", - "type_ref": "GB_TILE_MODE0" - }, - { - "chips": ["gfx9"], - "map": {"at": 39308, "to": "mm"}, - "name": "GB_TILE_MODE31", - "type_ref": "GB_TILE_MODE0_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 39200, "to": "mm"}, - "name": "GB_TILE_MODE4", - "type_ref": "GB_TILE_MODE0" - }, - { - "chips": ["gfx9"], - "map": {"at": 39200, "to": "mm"}, - "name": "GB_TILE_MODE4", - "type_ref": "GB_TILE_MODE0_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 39204, "to": "mm"}, - "name": "GB_TILE_MODE5", - "type_ref": "GB_TILE_MODE0" - }, - { - "chips": ["gfx9"], - "map": {"at": 39204, "to": "mm"}, - "name": "GB_TILE_MODE5", - "type_ref": "GB_TILE_MODE0_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 39208, "to": "mm"}, - "name": "GB_TILE_MODE6", - "type_ref": "GB_TILE_MODE0" - }, - { - "chips": ["gfx9"], - "map": {"at": 39208, "to": "mm"}, - "name": "GB_TILE_MODE6", - "type_ref": "GB_TILE_MODE0_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 39212, "to": "mm"}, - "name": "GB_TILE_MODE7", - "type_ref": "GB_TILE_MODE0" - }, - { - "chips": ["gfx9"], - "map": {"at": 39212, "to": "mm"}, - "name": "GB_TILE_MODE7", - "type_ref": "GB_TILE_MODE0_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 39216, "to": "mm"}, - "name": "GB_TILE_MODE8", - "type_ref": "GB_TILE_MODE0" - }, - { - "chips": ["gfx9"], - "map": {"at": 39216, "to": "mm"}, - "name": "GB_TILE_MODE8", - "type_ref": "GB_TILE_MODE0_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 39220, "to": "mm"}, - "name": "GB_TILE_MODE9", - "type_ref": "GB_TILE_MODE0" - }, - { - "chips": ["gfx9"], - "map": {"at": 39220, "to": "mm"}, - "name": "GB_TILE_MODE9", - "type_ref": "GB_TILE_MODE0_gfx9" - }, - { - "chips": ["gfx6", "gfx7", "gfx8", "fiji", "stoney", "gfx9"], - "map": {"at": 200828, "to": "mm"}, - "name": "GDS_OA_ADDRESS", - "type_ref": "GDS_OA_ADDRESS" - }, - { - "chips": ["gfx6", "gfx7", "gfx8", "fiji", "stoney", "gfx9"], - "map": {"at": 200820, "to": "mm"}, - "name": "GDS_OA_CNTL", - "type_ref": "GDS_OA_CNTL" - }, - { - "chips": ["gfx6", "gfx7", "gfx8", "fiji", "stoney", "gfx9"], - "map": {"at": 200824, "to": "mm"}, - "name": "GDS_OA_COUNTER", - "type_ref": "GDS_OA_COUNTER" - }, - { - "chips": ["gfx6", "gfx7", "gfx8", "fiji", "stoney", "gfx9"], - "map": {"at": 200832, "to": "mm"}, - "name": "GDS_OA_INCDEC", - "type_ref": "GDS_OA_INCDEC" - }, - { - "chips": ["gfx6", "gfx7", "gfx8", "fiji", "stoney", "gfx9"], - "map": {"at": 200836, "to": "mm"}, - "name": "GDS_OA_RING_SIZE", - "type_ref": "GDS_OA_RING_SIZE" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 215556, "to": "mm"}, - "name": "GDS_PERFCOUNTER0_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 215552, "to": "mm"}, - "name": "GDS_PERFCOUNTER0_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 223744, "to": "mm"}, - "name": "GDS_PERFCOUNTER0_SELECT", - "type_ref": "SX_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 223760, "to": "mm"}, - "name": "GDS_PERFCOUNTER0_SELECT1", - "type_ref": "SX_PERFCOUNTER0_SELECT1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 215564, "to": "mm"}, - "name": "GDS_PERFCOUNTER1_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 215560, "to": "mm"}, - "name": "GDS_PERFCOUNTER1_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 223748, "to": "mm"}, - "name": "GDS_PERFCOUNTER1_SELECT", - "type_ref": "SX_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 215572, "to": "mm"}, - "name": "GDS_PERFCOUNTER2_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 215568, "to": "mm"}, - "name": "GDS_PERFCOUNTER2_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 223752, "to": "mm"}, - "name": "GDS_PERFCOUNTER2_SELECT", - "type_ref": "SX_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 215580, "to": "mm"}, - "name": "GDS_PERFCOUNTER3_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 215576, "to": "mm"}, - "name": "GDS_PERFCOUNTER3_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 223756, "to": "mm"}, - "name": "GDS_PERFCOUNTER3_SELECT", - "type_ref": "SX_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx6"], - "map": {"at": 32812, "to": "mm"}, - "name": "GRBM_GFX_INDEX", - "type_ref": "GRBM_GFX_INDEX" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 198656, "to": "mm"}, - "name": "GRBM_GFX_INDEX", - "type_ref": "GRBM_GFX_INDEX" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 213252, "to": "mm"}, - "name": "GRBM_PERFCOUNTER0_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 213248, "to": "mm"}, - "name": "GRBM_PERFCOUNTER0_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 221440, "to": "mm"}, - "name": "GRBM_PERFCOUNTER0_SELECT", - "type_ref": "GRBM_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx9"], - "map": {"at": 221440, "to": "mm"}, - "name": "GRBM_PERFCOUNTER0_SELECT", - "type_ref": "GRBM_PERFCOUNTER0_SELECT_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 213264, "to": "mm"}, - "name": "GRBM_PERFCOUNTER1_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 213260, "to": "mm"}, - "name": "GRBM_PERFCOUNTER1_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 221444, "to": "mm"}, - "name": "GRBM_PERFCOUNTER1_SELECT", - "type_ref": "GRBM_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx9"], - "map": {"at": 221444, "to": "mm"}, - "name": "GRBM_PERFCOUNTER1_SELECT", - "type_ref": "GRBM_PERFCOUNTER0_SELECT_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 213272, "to": "mm"}, - "name": "GRBM_SE0_PERFCOUNTER_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 213268, "to": "mm"}, - "name": "GRBM_SE0_PERFCOUNTER_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 221448, "to": "mm"}, - "name": "GRBM_SE0_PERFCOUNTER_SELECT", - "type_ref": "GRBM_SE0_PERFCOUNTER_SELECT" - }, - { - "chips": ["gfx9"], - "map": {"at": 221448, "to": "mm"}, - "name": "GRBM_SE0_PERFCOUNTER_SELECT", - "type_ref": "GRBM_SE0_PERFCOUNTER_SELECT_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 213280, "to": "mm"}, - "name": "GRBM_SE1_PERFCOUNTER_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 213276, "to": "mm"}, - "name": "GRBM_SE1_PERFCOUNTER_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 221452, "to": "mm"}, - "name": "GRBM_SE1_PERFCOUNTER_SELECT", - "type_ref": "GRBM_SE0_PERFCOUNTER_SELECT" - }, - { - "chips": ["gfx9"], - "map": {"at": 221452, "to": "mm"}, - "name": "GRBM_SE1_PERFCOUNTER_SELECT", - "type_ref": "GRBM_SE0_PERFCOUNTER_SELECT_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 213288, "to": "mm"}, - "name": "GRBM_SE2_PERFCOUNTER_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 213284, "to": "mm"}, - "name": "GRBM_SE2_PERFCOUNTER_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 221456, "to": "mm"}, - "name": "GRBM_SE2_PERFCOUNTER_SELECT", - "type_ref": "GRBM_SE0_PERFCOUNTER_SELECT" - }, - { - "chips": ["gfx9"], - "map": {"at": 221456, "to": "mm"}, - "name": "GRBM_SE2_PERFCOUNTER_SELECT", - "type_ref": "GRBM_SE0_PERFCOUNTER_SELECT_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 213296, "to": "mm"}, - "name": "GRBM_SE3_PERFCOUNTER_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 213292, "to": "mm"}, - "name": "GRBM_SE3_PERFCOUNTER_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 221460, "to": "mm"}, - "name": "GRBM_SE3_PERFCOUNTER_SELECT", - "type_ref": "GRBM_SE0_PERFCOUNTER_SELECT" - }, - { - "chips": ["gfx9"], - "map": {"at": 221460, "to": "mm"}, - "name": "GRBM_SE3_PERFCOUNTER_SELECT", - "type_ref": "GRBM_SE0_PERFCOUNTER_SELECT_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 32784, "to": "mm"}, - "name": "GRBM_STATUS", - "type_ref": "GRBM_STATUS" - }, - { - "chips": ["gfx9"], - "map": {"at": 32784, "to": "mm"}, - "name": "GRBM_STATUS", - "type_ref": "GRBM_STATUS_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 32776, "to": "mm"}, - "name": "GRBM_STATUS2", - "type_ref": "GRBM_STATUS2" - }, - { - "chips": ["gfx9"], - "map": {"at": 32776, "to": "mm"}, - "name": "GRBM_STATUS2", - "type_ref": "GRBM_STATUS2_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 32788, "to": "mm"}, - "name": "GRBM_STATUS_SE0", - "type_ref": "GRBM_STATUS_SE0" - }, - { - "chips": ["gfx9"], - "map": {"at": 32788, "to": "mm"}, - "name": "GRBM_STATUS_SE0", - "type_ref": "GRBM_STATUS_SE0_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 32792, "to": "mm"}, - "name": "GRBM_STATUS_SE1", - "type_ref": "GRBM_STATUS_SE0" - }, - { - "chips": ["gfx9"], - "map": {"at": 32792, "to": "mm"}, - "name": "GRBM_STATUS_SE1", - "type_ref": "GRBM_STATUS_SE0_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 32824, "to": "mm"}, - "name": "GRBM_STATUS_SE2", - "type_ref": "GRBM_STATUS_SE0" - }, - { - "chips": ["gfx9"], - "map": {"at": 32824, "to": "mm"}, - "name": "GRBM_STATUS_SE2", - "type_ref": "GRBM_STATUS_SE0_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 32828, "to": "mm"}, - "name": "GRBM_STATUS_SE3", - "type_ref": "GRBM_STATUS_SE0" - }, - { - "chips": ["gfx9"], - "map": {"at": 32828, "to": "mm"}, - "name": "GRBM_STATUS_SE3", - "type_ref": "GRBM_STATUS_SE0_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166512, "to": "mm"}, - "name": "IA_ENHANCE" - }, - { - "chips": ["gfx6"], - "map": {"at": 166568, "to": "mm"}, - "name": "IA_MULTI_VGT_PARAM", - "type_ref": "IA_MULTI_VGT_PARAM" - }, - { - "chips": ["gfx7"], - "map": {"at": 166568, "to": "mm"}, - "name": "IA_MULTI_VGT_PARAM", - "type_ref": "IA_MULTI_VGT_PARAM_cik" - }, - { - "chips": ["fiji", "stoney", "gfx8"], - "map": {"at": 166568, "to": "mm"}, - "name": "IA_MULTI_VGT_PARAM", - "type_ref": "IA_MULTI_VGT_PARAM_vi" - }, - { - "chips": ["gfx9"], - "map": {"at": 199008, "to": "mm"}, - "name": "IA_MULTI_VGT_PARAM", - "type_ref": "IA_MULTI_VGT_PARAM_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 213540, "to": "mm"}, - "name": "IA_PERFCOUNTER0_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 213536, "to": "mm"}, - "name": "IA_PERFCOUNTER0_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 221712, "to": "mm"}, - "name": "IA_PERFCOUNTER0_SELECT", - "type_ref": "IA_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 221728, "to": "mm"}, - "name": "IA_PERFCOUNTER0_SELECT1", - "type_ref": "IA_PERFCOUNTER0_SELECT1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 213548, "to": "mm"}, - "name": "IA_PERFCOUNTER1_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 213544, "to": "mm"}, - "name": "IA_PERFCOUNTER1_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 221716, "to": "mm"}, - "name": "IA_PERFCOUNTER1_SELECT", - "type_ref": "IA_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 213556, "to": "mm"}, - "name": "IA_PERFCOUNTER2_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 213552, "to": "mm"}, - "name": "IA_PERFCOUNTER2_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 221720, "to": "mm"}, - "name": "IA_PERFCOUNTER2_SELECT", - "type_ref": "IA_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 213564, "to": "mm"}, - "name": "IA_PERFCOUNTER3_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 213560, "to": "mm"}, - "name": "IA_PERFCOUNTER3_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 221724, "to": "mm"}, - "name": "IA_PERFCOUNTER3_SELECT", - "type_ref": "IA_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165904, "to": "mm"}, - "name": "PA_CL_CLIP_CNTL", - "type_ref": "PA_CL_CLIP_CNTL" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 35348, "to": "mm"}, - "name": "PA_CL_ENHANCE", - "type_ref": "PA_CL_ENHANCE" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166896, "to": "mm"}, - "name": "PA_CL_GB_HORZ_CLIP_ADJ" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166900, "to": "mm"}, - "name": "PA_CL_GB_HORZ_DISC_ADJ" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166888, "to": "mm"}, - "name": "PA_CL_GB_VERT_CLIP_ADJ" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166892, "to": "mm"}, - "name": "PA_CL_GB_VERT_DISC_ADJ" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165920, "to": "mm"}, - "name": "PA_CL_NANINF_CNTL", - "type_ref": "PA_CL_NANINF_CNTL" - }, - { - "chips": ["gfx9"], - "map": {"at": 165944, "to": "mm"}, - "name": "PA_CL_NGG_CNTL", - "type_ref": "PA_CL_NGG_CNTL" - }, - { - "chips": ["gfx9"], - "map": {"at": 165940, "to": "mm"}, - "name": "PA_CL_OBJPRIM_ID_CNTL", - "type_ref": "PA_CL_OBJPRIM_ID_CNTL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165856, "to": "mm"}, - "name": "PA_CL_POINT_CULL_RAD" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165852, "to": "mm"}, - "name": "PA_CL_POINT_SIZE" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165844, "to": "mm"}, - "name": "PA_CL_POINT_X_RAD" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165848, "to": "mm"}, - "name": "PA_CL_POINT_Y_RAD" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165320, "to": "mm"}, - "name": "PA_CL_UCP_0_W" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165308, "to": "mm"}, - "name": "PA_CL_UCP_0_X" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165312, "to": "mm"}, - "name": "PA_CL_UCP_0_Y" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165316, "to": "mm"}, - "name": "PA_CL_UCP_0_Z" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165336, "to": "mm"}, - "name": "PA_CL_UCP_1_W" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165324, "to": "mm"}, - "name": "PA_CL_UCP_1_X" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165328, "to": "mm"}, - "name": "PA_CL_UCP_1_Y" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165332, "to": "mm"}, - "name": "PA_CL_UCP_1_Z" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165352, "to": "mm"}, - "name": "PA_CL_UCP_2_W" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165340, "to": "mm"}, - "name": "PA_CL_UCP_2_X" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165344, "to": "mm"}, - "name": "PA_CL_UCP_2_Y" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165348, "to": "mm"}, - "name": "PA_CL_UCP_2_Z" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165368, "to": "mm"}, - "name": "PA_CL_UCP_3_W" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165356, "to": "mm"}, - "name": "PA_CL_UCP_3_X" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165360, "to": "mm"}, - "name": "PA_CL_UCP_3_Y" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165364, "to": "mm"}, - "name": "PA_CL_UCP_3_Z" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165384, "to": "mm"}, - "name": "PA_CL_UCP_4_W" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165372, "to": "mm"}, - "name": "PA_CL_UCP_4_X" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165376, "to": "mm"}, - "name": "PA_CL_UCP_4_Y" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165380, "to": "mm"}, - "name": "PA_CL_UCP_4_Z" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165400, "to": "mm"}, - "name": "PA_CL_UCP_5_W" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165388, "to": "mm"}, - "name": "PA_CL_UCP_5_X" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165392, "to": "mm"}, - "name": "PA_CL_UCP_5_Y" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165396, "to": "mm"}, - "name": "PA_CL_UCP_5_Z" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164928, "to": "mm"}, - "name": "PA_CL_VPORT_XOFFSET" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164952, "to": "mm"}, - "name": "PA_CL_VPORT_XOFFSET_1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165168, "to": "mm"}, - "name": "PA_CL_VPORT_XOFFSET_10" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165192, "to": "mm"}, - "name": "PA_CL_VPORT_XOFFSET_11" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165216, "to": "mm"}, - "name": "PA_CL_VPORT_XOFFSET_12" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165240, "to": "mm"}, - "name": "PA_CL_VPORT_XOFFSET_13" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165264, "to": "mm"}, - "name": "PA_CL_VPORT_XOFFSET_14" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165288, "to": "mm"}, - "name": "PA_CL_VPORT_XOFFSET_15" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164976, "to": "mm"}, - "name": "PA_CL_VPORT_XOFFSET_2" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165000, "to": "mm"}, - "name": "PA_CL_VPORT_XOFFSET_3" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165024, "to": "mm"}, - "name": "PA_CL_VPORT_XOFFSET_4" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165048, "to": "mm"}, - "name": "PA_CL_VPORT_XOFFSET_5" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165072, "to": "mm"}, - "name": "PA_CL_VPORT_XOFFSET_6" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165096, "to": "mm"}, - "name": "PA_CL_VPORT_XOFFSET_7" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165120, "to": "mm"}, - "name": "PA_CL_VPORT_XOFFSET_8" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165144, "to": "mm"}, - "name": "PA_CL_VPORT_XOFFSET_9" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164924, "to": "mm"}, - "name": "PA_CL_VPORT_XSCALE" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164948, "to": "mm"}, - "name": "PA_CL_VPORT_XSCALE_1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165164, "to": "mm"}, - "name": "PA_CL_VPORT_XSCALE_10" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165188, "to": "mm"}, - "name": "PA_CL_VPORT_XSCALE_11" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165212, "to": "mm"}, - "name": "PA_CL_VPORT_XSCALE_12" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165236, "to": "mm"}, - "name": "PA_CL_VPORT_XSCALE_13" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165260, "to": "mm"}, - "name": "PA_CL_VPORT_XSCALE_14" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165284, "to": "mm"}, - "name": "PA_CL_VPORT_XSCALE_15" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164972, "to": "mm"}, - "name": "PA_CL_VPORT_XSCALE_2" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164996, "to": "mm"}, - "name": "PA_CL_VPORT_XSCALE_3" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165020, "to": "mm"}, - "name": "PA_CL_VPORT_XSCALE_4" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165044, "to": "mm"}, - "name": "PA_CL_VPORT_XSCALE_5" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165068, "to": "mm"}, - "name": "PA_CL_VPORT_XSCALE_6" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165092, "to": "mm"}, - "name": "PA_CL_VPORT_XSCALE_7" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165116, "to": "mm"}, - "name": "PA_CL_VPORT_XSCALE_8" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165140, "to": "mm"}, - "name": "PA_CL_VPORT_XSCALE_9" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164936, "to": "mm"}, - "name": "PA_CL_VPORT_YOFFSET" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164960, "to": "mm"}, - "name": "PA_CL_VPORT_YOFFSET_1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165176, "to": "mm"}, - "name": "PA_CL_VPORT_YOFFSET_10" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165200, "to": "mm"}, - "name": "PA_CL_VPORT_YOFFSET_11" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165224, "to": "mm"}, - "name": "PA_CL_VPORT_YOFFSET_12" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165248, "to": "mm"}, - "name": "PA_CL_VPORT_YOFFSET_13" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165272, "to": "mm"}, - "name": "PA_CL_VPORT_YOFFSET_14" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165296, "to": "mm"}, - "name": "PA_CL_VPORT_YOFFSET_15" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164984, "to": "mm"}, - "name": "PA_CL_VPORT_YOFFSET_2" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165008, "to": "mm"}, - "name": "PA_CL_VPORT_YOFFSET_3" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165032, "to": "mm"}, - "name": "PA_CL_VPORT_YOFFSET_4" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165056, "to": "mm"}, - "name": "PA_CL_VPORT_YOFFSET_5" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165080, "to": "mm"}, - "name": "PA_CL_VPORT_YOFFSET_6" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165104, "to": "mm"}, - "name": "PA_CL_VPORT_YOFFSET_7" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165128, "to": "mm"}, - "name": "PA_CL_VPORT_YOFFSET_8" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165152, "to": "mm"}, - "name": "PA_CL_VPORT_YOFFSET_9" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164932, "to": "mm"}, - "name": "PA_CL_VPORT_YSCALE" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164956, "to": "mm"}, - "name": "PA_CL_VPORT_YSCALE_1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165172, "to": "mm"}, - "name": "PA_CL_VPORT_YSCALE_10" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165196, "to": "mm"}, - "name": "PA_CL_VPORT_YSCALE_11" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165220, "to": "mm"}, - "name": "PA_CL_VPORT_YSCALE_12" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165244, "to": "mm"}, - "name": "PA_CL_VPORT_YSCALE_13" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165268, "to": "mm"}, - "name": "PA_CL_VPORT_YSCALE_14" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165292, "to": "mm"}, - "name": "PA_CL_VPORT_YSCALE_15" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164980, "to": "mm"}, - "name": "PA_CL_VPORT_YSCALE_2" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165004, "to": "mm"}, - "name": "PA_CL_VPORT_YSCALE_3" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165028, "to": "mm"}, - "name": "PA_CL_VPORT_YSCALE_4" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165052, "to": "mm"}, - "name": "PA_CL_VPORT_YSCALE_5" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165076, "to": "mm"}, - "name": "PA_CL_VPORT_YSCALE_6" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165100, "to": "mm"}, - "name": "PA_CL_VPORT_YSCALE_7" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165124, "to": "mm"}, - "name": "PA_CL_VPORT_YSCALE_8" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165148, "to": "mm"}, - "name": "PA_CL_VPORT_YSCALE_9" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164944, "to": "mm"}, - "name": "PA_CL_VPORT_ZOFFSET" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164968, "to": "mm"}, - "name": "PA_CL_VPORT_ZOFFSET_1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165184, "to": "mm"}, - "name": "PA_CL_VPORT_ZOFFSET_10" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165208, "to": "mm"}, - "name": "PA_CL_VPORT_ZOFFSET_11" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165232, "to": "mm"}, - "name": "PA_CL_VPORT_ZOFFSET_12" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165256, "to": "mm"}, - "name": "PA_CL_VPORT_ZOFFSET_13" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165280, "to": "mm"}, - "name": "PA_CL_VPORT_ZOFFSET_14" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165304, "to": "mm"}, - "name": "PA_CL_VPORT_ZOFFSET_15" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164992, "to": "mm"}, - "name": "PA_CL_VPORT_ZOFFSET_2" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165016, "to": "mm"}, - "name": "PA_CL_VPORT_ZOFFSET_3" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165040, "to": "mm"}, - "name": "PA_CL_VPORT_ZOFFSET_4" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165064, "to": "mm"}, - "name": "PA_CL_VPORT_ZOFFSET_5" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165088, "to": "mm"}, - "name": "PA_CL_VPORT_ZOFFSET_6" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165112, "to": "mm"}, - "name": "PA_CL_VPORT_ZOFFSET_7" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165136, "to": "mm"}, - "name": "PA_CL_VPORT_ZOFFSET_8" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165160, "to": "mm"}, - "name": "PA_CL_VPORT_ZOFFSET_9" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164940, "to": "mm"}, - "name": "PA_CL_VPORT_ZSCALE" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164964, "to": "mm"}, - "name": "PA_CL_VPORT_ZSCALE_1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165180, "to": "mm"}, - "name": "PA_CL_VPORT_ZSCALE_10" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165204, "to": "mm"}, - "name": "PA_CL_VPORT_ZSCALE_11" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165228, "to": "mm"}, - "name": "PA_CL_VPORT_ZSCALE_12" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165252, "to": "mm"}, - "name": "PA_CL_VPORT_ZSCALE_13" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165276, "to": "mm"}, - "name": "PA_CL_VPORT_ZSCALE_14" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165300, "to": "mm"}, - "name": "PA_CL_VPORT_ZSCALE_15" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164988, "to": "mm"}, - "name": "PA_CL_VPORT_ZSCALE_2" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165012, "to": "mm"}, - "name": "PA_CL_VPORT_ZSCALE_3" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165036, "to": "mm"}, - "name": "PA_CL_VPORT_ZSCALE_4" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165060, "to": "mm"}, - "name": "PA_CL_VPORT_ZSCALE_5" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165084, "to": "mm"}, - "name": "PA_CL_VPORT_ZSCALE_6" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165108, "to": "mm"}, - "name": "PA_CL_VPORT_ZSCALE_7" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165132, "to": "mm"}, - "name": "PA_CL_VPORT_ZSCALE_8" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165156, "to": "mm"}, - "name": "PA_CL_VPORT_ZSCALE_9" - }, - { - "chips": ["gfx7", "gfx6"], - "map": {"at": 165916, "to": "mm"}, - "name": "PA_CL_VS_OUT_CNTL", - "type_ref": "PA_CL_VS_OUT_CNTL" - }, - { - "chips": ["fiji", "stoney", "gfx8"], - "map": {"at": 165916, "to": "mm"}, - "name": "PA_CL_VS_OUT_CNTL", - "type_ref": "PA_CL_VS_OUT_CNTL_vi" - }, - { - "chips": ["gfx9"], - "map": {"at": 165916, "to": "mm"}, - "name": "PA_CL_VS_OUT_CNTL", - "type_ref": "PA_CL_VS_OUT_CNTL_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 165912, "to": "mm"}, - "name": "PA_CL_VTE_CNTL", - "type_ref": "PA_CL_VTE_CNTL" - }, - { - "chips": ["gfx9"], - "map": {"at": 165912, "to": "mm"}, - "name": "PA_CL_VTE_CNTL", - "type_ref": "PA_CL_VTE_CNTL_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 166880, "to": "mm"}, - "name": "PA_SC_AA_CONFIG", - "type_ref": "PA_SC_AA_CONFIG" - }, - { - "chips": ["gfx9"], - "map": {"at": 166880, "to": "mm"}, - "name": "PA_SC_AA_CONFIG", - "type_ref": "PA_SC_AA_CONFIG_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166968, "to": "mm"}, - "name": "PA_SC_AA_MASK_X0Y0_X1Y0", - "type_ref": "PA_SC_AA_MASK_X0Y0_X1Y0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166972, "to": "mm"}, - "name": "PA_SC_AA_MASK_X0Y1_X1Y1", - "type_ref": "PA_SC_AA_MASK_X0Y1_X1Y1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166904, "to": "mm"}, - "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_0", - "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166908, "to": "mm"}, - "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_1", - "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166912, "to": "mm"}, - "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_2", - "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_2" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166916, "to": "mm"}, - "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_3", - "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_3" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166936, "to": "mm"}, - "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y1_0", - "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166940, "to": "mm"}, - "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y1_1", - "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166944, "to": "mm"}, - "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y1_2", - "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_2" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166948, "to": "mm"}, - "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y1_3", - "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_3" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166920, "to": "mm"}, - "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X1Y0_0", - "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166924, "to": "mm"}, - "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X1Y0_1", - "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166928, "to": "mm"}, - "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X1Y0_2", - "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_2" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166932, "to": "mm"}, - "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X1Y0_3", - "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_3" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166952, "to": "mm"}, - "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X1Y1_0", - "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166956, "to": "mm"}, - "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X1Y1_1", - "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166960, "to": "mm"}, - "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X1Y1_2", - "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_2" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166964, "to": "mm"}, - "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X1Y1_3", - "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_3" - }, - { - "chips": ["gfx9"], - "map": {"at": 166980, "to": "mm"}, - "name": "PA_SC_BINNER_CNTL_0", - "type_ref": "PA_SC_BINNER_CNTL_0" - }, - { - "chips": ["gfx9"], - "map": {"at": 166984, "to": "mm"}, - "name": "PA_SC_BINNER_CNTL_1", - "type_ref": "PA_SC_BINNER_CNTL_1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166868, "to": "mm"}, - "name": "PA_SC_CENTROID_PRIORITY_0", - "type_ref": "PA_SC_CENTROID_PRIORITY_0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166872, "to": "mm"}, - "name": "PA_SC_CENTROID_PRIORITY_1", - "type_ref": "PA_SC_CENTROID_PRIORITY_1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164372, "to": "mm"}, - "name": "PA_SC_CLIPRECT_0_BR", - "type_ref": "PA_SC_WINDOW_SCISSOR_BR" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164368, "to": "mm"}, - "name": "PA_SC_CLIPRECT_0_TL", - "type_ref": "PA_SC_CLIPRECT_0_TL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164380, "to": "mm"}, - "name": "PA_SC_CLIPRECT_1_BR", - "type_ref": "PA_SC_WINDOW_SCISSOR_BR" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164376, "to": "mm"}, - "name": "PA_SC_CLIPRECT_1_TL", - "type_ref": "PA_SC_CLIPRECT_0_TL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164388, "to": "mm"}, - "name": "PA_SC_CLIPRECT_2_BR", - "type_ref": "PA_SC_WINDOW_SCISSOR_BR" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164384, "to": "mm"}, - "name": "PA_SC_CLIPRECT_2_TL", - "type_ref": "PA_SC_CLIPRECT_0_TL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164396, "to": "mm"}, - "name": "PA_SC_CLIPRECT_3_BR", - "type_ref": "PA_SC_WINDOW_SCISSOR_BR" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164392, "to": "mm"}, - "name": "PA_SC_CLIPRECT_3_TL", - "type_ref": "PA_SC_CLIPRECT_0_TL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164364, "to": "mm"}, - "name": "PA_SC_CLIPRECT_RULE", - "type_ref": "PA_SC_CLIPRECT_RULE" - }, - { - "chips": ["gfx9"], - "map": {"at": 166988, "to": "mm"}, - "name": "PA_SC_CONSERVATIVE_RASTERIZATION_CNTL", - "type_ref": "PA_SC_CONSERVATIVE_RASTERIZATION_CNTL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164400, "to": "mm"}, - "name": "PA_SC_EDGERULE", - "type_ref": "PA_SC_EDGERULE" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 35824, "to": "mm"}, - "name": "PA_SC_ENHANCE", - "type_ref": "PA_SC_ENHANCE" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164420, "to": "mm"}, - "name": "PA_SC_GENERIC_SCISSOR_BR", - "type_ref": "PA_SC_WINDOW_SCISSOR_BR" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164416, "to": "mm"}, - "name": "PA_SC_GENERIC_SCISSOR_TL", - "type_ref": "PA_SC_WINDOW_SCISSOR_TL" - }, - { - "chips": ["gfx9"], - "map": {"at": 164776, "to": "mm"}, - "name": "PA_SC_HORIZ_GRID", - "type_ref": "PA_SC_HORIZ_GRID" - }, - { - "chips": ["gfx9"], - "map": {"at": 164772, "to": "mm"}, - "name": "PA_SC_LEFT_VERT_GRID", - "type_ref": "PA_SC_RIGHT_VERT_GRID" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166876, "to": "mm"}, - "name": "PA_SC_LINE_CNTL", - "type_ref": "PA_SC_LINE_CNTL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166412, "to": "mm"}, - "name": "PA_SC_LINE_STIPPLE", - "type_ref": "PA_SC_LINE_STIPPLE" - }, - { - "chips": ["gfx6"], - "map": {"at": 35600, "to": "mm"}, - "name": "PA_SC_LINE_STIPPLE_STATE", - "type_ref": "PA_SC_LINE_STIPPLE_STATE" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 199172, "to": "mm"}, - "name": "PA_SC_LINE_STIPPLE_STATE", - "type_ref": "PA_SC_LINE_STIPPLE_STATE" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 166472, "to": "mm"}, - "name": "PA_SC_MODE_CNTL_0", - "type_ref": "PA_SC_MODE_CNTL_0" - }, - { - "chips": ["gfx9"], - "map": {"at": 166472, "to": "mm"}, - "name": "PA_SC_MODE_CNTL_0", - "type_ref": "PA_SC_MODE_CNTL_0_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166476, "to": "mm"}, - "name": "PA_SC_MODE_CNTL_1", - "type_ref": "PA_SC_MODE_CNTL_1" - }, - { - "chips": ["gfx9"], - "map": {"at": 166992, "to": "mm"}, - "name": "PA_SC_NGG_MODE_CNTL", - "type_ref": "PA_SC_NGG_MODE_CNTL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214276, "to": "mm"}, - "name": "PA_SC_PERFCOUNTER0_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214272, "to": "mm"}, - "name": "PA_SC_PERFCOUNTER0_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 222464, "to": "mm"}, - "name": "PA_SC_PERFCOUNTER0_SELECT", - "type_ref": "PA_SU_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 222468, "to": "mm"}, - "name": "PA_SC_PERFCOUNTER0_SELECT1", - "type_ref": "PA_SU_PERFCOUNTER0_SELECT1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214284, "to": "mm"}, - "name": "PA_SC_PERFCOUNTER1_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214280, "to": "mm"}, - "name": "PA_SC_PERFCOUNTER1_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 222472, "to": "mm"}, - "name": "PA_SC_PERFCOUNTER1_SELECT", - "type_ref": "PA_SU_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214292, "to": "mm"}, - "name": "PA_SC_PERFCOUNTER2_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214288, "to": "mm"}, - "name": "PA_SC_PERFCOUNTER2_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 222476, "to": "mm"}, - "name": "PA_SC_PERFCOUNTER2_SELECT", - "type_ref": "PA_SU_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214300, "to": "mm"}, - "name": "PA_SC_PERFCOUNTER3_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214296, "to": "mm"}, - "name": "PA_SC_PERFCOUNTER3_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 222480, "to": "mm"}, - "name": "PA_SC_PERFCOUNTER3_SELECT", - "type_ref": "PA_SU_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214308, "to": "mm"}, - "name": "PA_SC_PERFCOUNTER4_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214304, "to": "mm"}, - "name": "PA_SC_PERFCOUNTER4_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 222484, "to": "mm"}, - "name": "PA_SC_PERFCOUNTER4_SELECT", - "type_ref": "PA_SU_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214316, "to": "mm"}, - "name": "PA_SC_PERFCOUNTER5_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214312, "to": "mm"}, - "name": "PA_SC_PERFCOUNTER5_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 222488, "to": "mm"}, - "name": "PA_SC_PERFCOUNTER5_SELECT", - "type_ref": "PA_SU_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214324, "to": "mm"}, - "name": "PA_SC_PERFCOUNTER6_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214320, "to": "mm"}, - "name": "PA_SC_PERFCOUNTER6_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 222492, "to": "mm"}, - "name": "PA_SC_PERFCOUNTER6_SELECT", - "type_ref": "PA_SU_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214332, "to": "mm"}, - "name": "PA_SC_PERFCOUNTER7_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214328, "to": "mm"}, - "name": "PA_SC_PERFCOUNTER7_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 222496, "to": "mm"}, - "name": "PA_SC_PERFCOUNTER7_SELECT", - "type_ref": "PA_SU_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 164688, "to": "mm"}, - "name": "PA_SC_RASTER_CONFIG", - "type_ref": "PA_SC_RASTER_CONFIG" - }, - { - "chips": ["gfx9"], - "map": {"at": 164688, "to": "mm"}, - "name": "PA_SC_RASTER_CONFIG", - "type_ref": "PA_SC_RASTER_CONFIG_gfx9" - }, - { - "chips": ["gfx7", "fiji", "stoney", "gfx8"], - "map": {"at": 164692, "to": "mm"}, - "name": "PA_SC_RASTER_CONFIG_1", - "type_ref": "PA_SC_RASTER_CONFIG_1" - }, - { - "chips": ["gfx9"], - "map": {"at": 164692, "to": "mm"}, - "name": "PA_SC_RASTER_CONFIG_1", - "type_ref": "PA_SC_RASTER_CONFIG_1_gfx9" - }, - { - "chips": ["gfx9"], - "map": {"at": 164768, "to": "mm"}, - "name": "PA_SC_RIGHT_VERT_GRID", - "type_ref": "PA_SC_RIGHT_VERT_GRID" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 164696, "to": "mm"}, - "name": "PA_SC_SCREEN_EXTENT_CONTROL", - "type_ref": "PA_SC_SCREEN_EXTENT_CONTROL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 199188, "to": "mm"}, - "name": "PA_SC_SCREEN_EXTENT_MAX_0", - "type_ref": "PA_SC_SCREEN_EXTENT_MIN_0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 199212, "to": "mm"}, - "name": "PA_SC_SCREEN_EXTENT_MAX_1", - "type_ref": "PA_SC_SCREEN_EXTENT_MIN_0" - }, - { - "chips": ["gfx9"], - "map": {"at": 199380, "to": "mm"}, - "name": "PA_STATE_STEREO_X" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 199872, "to": "mm"}, - "name": "SQ_THREAD_TRACE_BASE", - "type_ref": "SQ_THREAD_TRACE_BASE" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 199876, "to": "mm"}, - "name": "SQ_THREAD_TRACE_SIZE", - "type_ref": "SQ_THREAD_TRACE_SIZE" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 199880, "to": "mm"}, - "name": "SQ_THREAD_TRACE_MASK", - "type_ref": "SQ_THREAD_TRACE_MASK" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 199884, "to": "mm"}, - "name": "SQ_THREAD_TRACE_TOKEN_MASK", - "type_ref": "SQ_THREAD_TRACE_TOKEN_MASK" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 199888, "to": "mm"}, - "name": "SQ_THREAD_TRACE_PERF_MASK", - "type_ref": "SQ_THREAD_TRACE_PERF_MASK" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 199892, "to": "mm"}, - "name": "SQ_THREAD_TRACE_CTRL", - "type_ref": "SQ_THREAD_TRACE_CTRL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 199896, "to": "mm"}, - "name": "SQ_THREAD_TRACE_MODE", - "type_ref": "SQ_THREAD_TRACE_MODE" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 199900, "to": "mm"}, - "name": "SQ_THREAD_TRACE_BASE2", - "type_ref": "SQ_THREAD_TRACE_BASE2" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 199904, "to": "mm"}, - "name": "SQ_THREAD_TRACE_TOKEN_MASK2", - "type_ref": "SQ_THREAD_TRACE_TOKEN_MASK2" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 199908, "to": "mm"}, - "name": "SQ_THREAD_TRACE_WPTR" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 199912, "to": "mm"}, - "name": "SQ_THREAD_TRACE_STATUS", - "type_ref": "SQ_THREAD_TRACE_STATUS" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 199916, "to": "mm"}, - "name": "SQ_THREAD_TRACE_HIWATER", - "type_ref": "SQ_THREAD_TRACE_HIWATER" - }, - { - "chips": ["gfx7", "fiji", "stoney", "gfx8"], - "map": {"at": 36416, "to": "mm"}, - "name": "SQ_THREAD_TRACE_CNTR", - "type_ref": "SQ_THREAD_TRACE_CNTR" - }, - { - "chips": ["gfx9"], - "map": {"at": 199920, "to": "mm"}, - "name": "SQ_THREAD_TRACE_CNTR", - "type_ref": "SQ_THREAD_TRACE_CNTR" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 199184, "to": "mm"}, - "name": "PA_SC_SCREEN_EXTENT_MIN_0", - "type_ref": "PA_SC_SCREEN_EXTENT_MIN_0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 199192, "to": "mm"}, - "name": "PA_SC_SCREEN_EXTENT_MIN_1", - "type_ref": "PA_SC_SCREEN_EXTENT_MIN_0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 163892, "to": "mm"}, - "name": "PA_SC_SCREEN_SCISSOR_BR", - "type_ref": "PA_SC_SCREEN_SCISSOR_BR" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 163888, "to": "mm"}, - "name": "PA_SC_SCREEN_SCISSOR_TL", - "type_ref": "PA_SC_SCREEN_SCISSOR_TL" - }, - { - "chips": ["stoney"], - "map": {"at": 166976, "to": "mm"}, - "name": "PA_SC_SHADER_CONTROL", - "type_ref": "PA_SC_SHADER_CONTROL" - }, - { - "chips": ["gfx9"], - "map": {"at": 166976, "to": "mm"}, - "name": "PA_SC_SHADER_CONTROL", - "type_ref": "PA_SC_SHADER_CONTROL_gfx9" - }, - { - "chips": ["gfx9"], - "map": {"at": 164700, "to": "mm"}, - "name": "PA_SC_TILE_STEERING_OVERRIDE", - "type_ref": "PA_SC_TILE_STEERING_OVERRIDE" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164436, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_0_BR", - "type_ref": "PA_SC_WINDOW_SCISSOR_BR" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164432, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_0_TL", - "type_ref": "PA_SC_WINDOW_SCISSOR_TL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164516, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_10_BR", - "type_ref": "PA_SC_WINDOW_SCISSOR_BR" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164512, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_10_TL", - "type_ref": "PA_SC_WINDOW_SCISSOR_TL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164524, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_11_BR", - "type_ref": "PA_SC_WINDOW_SCISSOR_BR" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164520, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_11_TL", - "type_ref": "PA_SC_WINDOW_SCISSOR_TL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164532, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_12_BR", - "type_ref": "PA_SC_WINDOW_SCISSOR_BR" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164528, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_12_TL", - "type_ref": "PA_SC_WINDOW_SCISSOR_TL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164540, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_13_BR", - "type_ref": "PA_SC_WINDOW_SCISSOR_BR" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164536, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_13_TL", - "type_ref": "PA_SC_WINDOW_SCISSOR_TL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164548, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_14_BR", - "type_ref": "PA_SC_WINDOW_SCISSOR_BR" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164544, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_14_TL", - "type_ref": "PA_SC_WINDOW_SCISSOR_TL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164556, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_15_BR", - "type_ref": "PA_SC_WINDOW_SCISSOR_BR" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164552, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_15_TL", - "type_ref": "PA_SC_WINDOW_SCISSOR_TL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164444, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_1_BR", - "type_ref": "PA_SC_WINDOW_SCISSOR_BR" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164440, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_1_TL", - "type_ref": "PA_SC_WINDOW_SCISSOR_TL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164452, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_2_BR", - "type_ref": "PA_SC_WINDOW_SCISSOR_BR" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164448, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_2_TL", - "type_ref": "PA_SC_WINDOW_SCISSOR_TL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164460, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_3_BR", - "type_ref": "PA_SC_WINDOW_SCISSOR_BR" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164456, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_3_TL", - "type_ref": "PA_SC_WINDOW_SCISSOR_TL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164468, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_4_BR", - "type_ref": "PA_SC_WINDOW_SCISSOR_BR" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164464, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_4_TL", - "type_ref": "PA_SC_WINDOW_SCISSOR_TL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164476, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_5_BR", - "type_ref": "PA_SC_WINDOW_SCISSOR_BR" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164472, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_5_TL", - "type_ref": "PA_SC_WINDOW_SCISSOR_TL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164484, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_6_BR", - "type_ref": "PA_SC_WINDOW_SCISSOR_BR" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164480, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_6_TL", - "type_ref": "PA_SC_WINDOW_SCISSOR_TL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164492, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_7_BR", - "type_ref": "PA_SC_WINDOW_SCISSOR_BR" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164488, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_7_TL", - "type_ref": "PA_SC_WINDOW_SCISSOR_TL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164500, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_8_BR", - "type_ref": "PA_SC_WINDOW_SCISSOR_BR" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164496, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_8_TL", - "type_ref": "PA_SC_WINDOW_SCISSOR_TL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164508, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_9_BR", - "type_ref": "PA_SC_WINDOW_SCISSOR_BR" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164504, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_9_TL", - "type_ref": "PA_SC_WINDOW_SCISSOR_TL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164564, "to": "mm"}, - "name": "PA_SC_VPORT_ZMAX_0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164572, "to": "mm"}, - "name": "PA_SC_VPORT_ZMAX_1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164644, "to": "mm"}, - "name": "PA_SC_VPORT_ZMAX_10" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164652, "to": "mm"}, - "name": "PA_SC_VPORT_ZMAX_11" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164660, "to": "mm"}, - "name": "PA_SC_VPORT_ZMAX_12" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164668, "to": "mm"}, - "name": "PA_SC_VPORT_ZMAX_13" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164676, "to": "mm"}, - "name": "PA_SC_VPORT_ZMAX_14" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164684, "to": "mm"}, - "name": "PA_SC_VPORT_ZMAX_15" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164580, "to": "mm"}, - "name": "PA_SC_VPORT_ZMAX_2" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164588, "to": "mm"}, - "name": "PA_SC_VPORT_ZMAX_3" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164596, "to": "mm"}, - "name": "PA_SC_VPORT_ZMAX_4" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164604, "to": "mm"}, - "name": "PA_SC_VPORT_ZMAX_5" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164612, "to": "mm"}, - "name": "PA_SC_VPORT_ZMAX_6" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164620, "to": "mm"}, - "name": "PA_SC_VPORT_ZMAX_7" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164628, "to": "mm"}, - "name": "PA_SC_VPORT_ZMAX_8" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164636, "to": "mm"}, - "name": "PA_SC_VPORT_ZMAX_9" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164560, "to": "mm"}, - "name": "PA_SC_VPORT_ZMIN_0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164568, "to": "mm"}, - "name": "PA_SC_VPORT_ZMIN_1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164640, "to": "mm"}, - "name": "PA_SC_VPORT_ZMIN_10" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164648, "to": "mm"}, - "name": "PA_SC_VPORT_ZMIN_11" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164656, "to": "mm"}, - "name": "PA_SC_VPORT_ZMIN_12" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164664, "to": "mm"}, - "name": "PA_SC_VPORT_ZMIN_13" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164672, "to": "mm"}, - "name": "PA_SC_VPORT_ZMIN_14" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164680, "to": "mm"}, - "name": "PA_SC_VPORT_ZMIN_15" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164576, "to": "mm"}, - "name": "PA_SC_VPORT_ZMIN_2" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164584, "to": "mm"}, - "name": "PA_SC_VPORT_ZMIN_3" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164592, "to": "mm"}, - "name": "PA_SC_VPORT_ZMIN_4" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164600, "to": "mm"}, - "name": "PA_SC_VPORT_ZMIN_5" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164608, "to": "mm"}, - "name": "PA_SC_VPORT_ZMIN_6" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164616, "to": "mm"}, - "name": "PA_SC_VPORT_ZMIN_7" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164624, "to": "mm"}, - "name": "PA_SC_VPORT_ZMIN_8" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164632, "to": "mm"}, - "name": "PA_SC_VPORT_ZMIN_9" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164352, "to": "mm"}, - "name": "PA_SC_WINDOW_OFFSET", - "type_ref": "PA_SC_WINDOW_OFFSET" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164360, "to": "mm"}, - "name": "PA_SC_WINDOW_SCISSOR_BR", - "type_ref": "PA_SC_WINDOW_SCISSOR_BR" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164356, "to": "mm"}, - "name": "PA_SC_WINDOW_SCISSOR_TL", - "type_ref": "PA_SC_WINDOW_SCISSOR_TL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164404, "to": "mm"}, - "name": "PA_SU_HARDWARE_SCREEN_OFFSET", - "type_ref": "PA_SU_HARDWARE_SCREEN_OFFSET" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166408, "to": "mm"}, - "name": "PA_SU_LINE_CNTL", - "type_ref": "PA_SU_LINE_CNTL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165924, "to": "mm"}, - "name": "PA_SU_LINE_STIPPLE_CNTL", - "type_ref": "PA_SU_LINE_STIPPLE_CNTL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165928, "to": "mm"}, - "name": "PA_SU_LINE_STIPPLE_SCALE" - }, - { - "chips": ["gfx6"], - "map": {"at": 35424, "to": "mm"}, - "name": "PA_SU_LINE_STIPPLE_VALUE", - "type_ref": "PA_SU_LINE_STIPPLE_VALUE" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 199168, "to": "mm"}, - "name": "PA_SU_LINE_STIPPLE_VALUE", - "type_ref": "PA_SU_LINE_STIPPLE_VALUE" - }, - { - "chips": ["gfx9"], - "map": {"at": 165948, "to": "mm"}, - "name": "PA_SU_OVER_RASTERIZATION_CNTL", - "type_ref": "PA_SU_OVER_RASTERIZATION_CNTL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214020, "to": "mm"}, - "name": "PA_SU_PERFCOUNTER0_HI", - "type_ref": "PA_SU_PERFCOUNTER0_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214016, "to": "mm"}, - "name": "PA_SU_PERFCOUNTER0_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 222208, "to": "mm"}, - "name": "PA_SU_PERFCOUNTER0_SELECT", - "type_ref": "PA_SU_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 222212, "to": "mm"}, - "name": "PA_SU_PERFCOUNTER0_SELECT1", - "type_ref": "PA_SU_PERFCOUNTER0_SELECT1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214028, "to": "mm"}, - "name": "PA_SU_PERFCOUNTER1_HI", - "type_ref": "PA_SU_PERFCOUNTER0_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214024, "to": "mm"}, - "name": "PA_SU_PERFCOUNTER1_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 222216, "to": "mm"}, - "name": "PA_SU_PERFCOUNTER1_SELECT", - "type_ref": "PA_SU_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 222220, "to": "mm"}, - "name": "PA_SU_PERFCOUNTER1_SELECT1", - "type_ref": "PA_SU_PERFCOUNTER0_SELECT1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214036, "to": "mm"}, - "name": "PA_SU_PERFCOUNTER2_HI", - "type_ref": "PA_SU_PERFCOUNTER0_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214032, "to": "mm"}, - "name": "PA_SU_PERFCOUNTER2_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 222224, "to": "mm"}, - "name": "PA_SU_PERFCOUNTER2_SELECT", - "type_ref": "PA_SU_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214044, "to": "mm"}, - "name": "PA_SU_PERFCOUNTER3_HI", - "type_ref": "PA_SU_PERFCOUNTER0_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214040, "to": "mm"}, - "name": "PA_SU_PERFCOUNTER3_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 222228, "to": "mm"}, - "name": "PA_SU_PERFCOUNTER3_SELECT", - "type_ref": "PA_SU_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166404, "to": "mm"}, - "name": "PA_SU_POINT_MINMAX", - "type_ref": "PA_SU_POINT_MINMAX" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166400, "to": "mm"}, - "name": "PA_SU_POINT_SIZE", - "type_ref": "PA_SU_POINT_SIZE" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166796, "to": "mm"}, - "name": "PA_SU_POLY_OFFSET_BACK_OFFSET" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166792, "to": "mm"}, - "name": "PA_SU_POLY_OFFSET_BACK_SCALE" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166780, "to": "mm"}, - "name": "PA_SU_POLY_OFFSET_CLAMP" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166776, "to": "mm"}, - "name": "PA_SU_POLY_OFFSET_DB_FMT_CNTL", - "type_ref": "PA_SU_POLY_OFFSET_DB_FMT_CNTL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166788, "to": "mm"}, - "name": "PA_SU_POLY_OFFSET_FRONT_OFFSET" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166784, "to": "mm"}, - "name": "PA_SU_POLY_OFFSET_FRONT_SCALE" - }, - { - "chips": ["gfx6"], - "map": {"at": 165932, "to": "mm"}, - "name": "PA_SU_PRIM_FILTER_CNTL", - "type_ref": "PA_SU_PRIM_FILTER_CNTL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 165932, "to": "mm"}, - "name": "PA_SU_PRIM_FILTER_CNTL", - "type_ref": "PA_SU_PRIM_FILTER_CNTL_cik" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 165908, "to": "mm"}, - "name": "PA_SU_SC_MODE_CNTL", - "type_ref": "PA_SU_SC_MODE_CNTL" - }, - { - "chips": ["gfx9"], - "map": {"at": 165908, "to": "mm"}, - "name": "PA_SU_SC_MODE_CNTL", - "type_ref": "PA_SU_SC_MODE_CNTL_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165936, "to": "mm"}, - "name": "PA_SU_SMALL_PRIM_FILTER_CNTL", - "type_ref": "PA_SU_SMALL_PRIM_FILTER_CNTL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166884, "to": "mm"}, - "name": "PA_SU_VTX_CNTL", - "type_ref": "PA_SU_VTX_CNTL" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 217604, "to": "mm"}, - "name": "RLC_PERFCOUNTER0_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 217600, "to": "mm"}, - "name": "RLC_PERFCOUNTER0_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 217612, "to": "mm"}, - "name": "RLC_PERFCOUNTER1_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 217608, "to": "mm"}, - "name": "RLC_PERFCOUNTER1_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8", "gfx9"], - "map": {"at": 226044, "to": "mm"}, - "name": "RLC_PERFMON_CLK_CNTL", - "type_ref": "RLC_PERFMON_CLK_CNTL" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 53300, "to": "mm"}, - "name": "SDMA0_STATUS_REG", - "type_ref": "SDMA0_STATUS_REG" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 55348, "to": "mm"}, - "name": "SDMA1_STATUS_REG", - "type_ref": "SDMA0_STATUS_REG" - }, - { - "chips": ["gfx6"], - "map": {"at": 37108, "to": "mm"}, - "name": "SPI_ARB_CYCLES_0", - "type_ref": "SPI_ARB_CYCLES_0" - }, - { - "chips": ["gfx6"], - "map": {"at": 37112, "to": "mm"}, - "name": "SPI_ARB_CYCLES_1", - "type_ref": "SPI_ARB_CYCLES_1" - }, - { - "chips": ["gfx6"], - "map": {"at": 37104, "to": "mm"}, - "name": "SPI_ARB_PRIORITY", - "type_ref": "SPI_ARB_PRIORITY" - }, - { - "chips": ["gfx7", "fiji", "stoney", "gfx8"], - "map": {"at": 50944, "to": "mm"}, - "name": "SPI_ARB_PRIORITY", - "type_ref": "SPI_ARB_PRIORITY_cik" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165600, "to": "mm"}, - "name": "SPI_BARYC_CNTL", - "type_ref": "SPI_BARYC_CNTL" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 37120, "to": "mm"}, - "name": "SPI_CONFIG_CNTL", - "type_ref": "SPI_CONFIG_CNTL" - }, - { - "chips": ["gfx9"], - "map": {"at": 200960, "to": "mm"}, - "name": "SPI_CONFIG_CNTL", - "type_ref": "SPI_CONFIG_CNTL_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 37180, "to": "mm"}, - "name": "SPI_CONFIG_CNTL_1", - "type_ref": "SPI_CONFIG_CNTL_1" - }, - { - "chips": ["gfx9"], - "map": {"at": 200964, "to": "mm"}, - "name": "SPI_CONFIG_CNTL_1", - "type_ref": "SPI_CONFIG_CNTL_1_gfx9" - }, - { - "chips": ["gfx9"], - "map": {"at": 200968, "to": "mm"}, - "name": "SPI_CONFIG_CNTL_2", - "type_ref": "SPI_CONFIG_CNTL_2" - }, - { - "chips": ["gfx6"], - "map": {"at": 37084, "to": "mm"}, - "name": "SPI_DYN_GPR_LOCK_EN", - "type_ref": "SPI_DYN_GPR_LOCK_EN" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165588, "to": "mm"}, - "name": "SPI_INTERP_CONTROL_0", - "type_ref": "SPI_INTERP_CONTROL_0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214528, "to": "mm"}, - "name": "SPI_PERFCOUNTER0_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214532, "to": "mm"}, - "name": "SPI_PERFCOUNTER0_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 222720, "to": "mm"}, - "name": "SPI_PERFCOUNTER0_SELECT", - "type_ref": "PA_SU_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx9"], - "map": {"at": 222720, "to": "mm"}, - "name": "SPI_PERFCOUNTER0_SELECT", - "type_ref": "IA_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 222736, "to": "mm"}, - "name": "SPI_PERFCOUNTER0_SELECT1", - "type_ref": "PA_SU_PERFCOUNTER0_SELECT1" - }, - { - "chips": ["gfx9"], - "map": {"at": 222736, "to": "mm"}, - "name": "SPI_PERFCOUNTER0_SELECT1", - "type_ref": "IA_PERFCOUNTER0_SELECT1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214536, "to": "mm"}, - "name": "SPI_PERFCOUNTER1_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214540, "to": "mm"}, - "name": "SPI_PERFCOUNTER1_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 222724, "to": "mm"}, - "name": "SPI_PERFCOUNTER1_SELECT", - "type_ref": "PA_SU_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx9"], - "map": {"at": 222724, "to": "mm"}, - "name": "SPI_PERFCOUNTER1_SELECT", - "type_ref": "IA_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 222740, "to": "mm"}, - "name": "SPI_PERFCOUNTER1_SELECT1", - "type_ref": "PA_SU_PERFCOUNTER0_SELECT1" - }, - { - "chips": ["gfx9"], - "map": {"at": 222740, "to": "mm"}, - "name": "SPI_PERFCOUNTER1_SELECT1", - "type_ref": "IA_PERFCOUNTER0_SELECT1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214544, "to": "mm"}, - "name": "SPI_PERFCOUNTER2_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214548, "to": "mm"}, - "name": "SPI_PERFCOUNTER2_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 222728, "to": "mm"}, - "name": "SPI_PERFCOUNTER2_SELECT", - "type_ref": "PA_SU_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx9"], - "map": {"at": 222728, "to": "mm"}, - "name": "SPI_PERFCOUNTER2_SELECT", - "type_ref": "IA_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 222744, "to": "mm"}, - "name": "SPI_PERFCOUNTER2_SELECT1", - "type_ref": "PA_SU_PERFCOUNTER0_SELECT1" - }, - { - "chips": ["gfx9"], - "map": {"at": 222744, "to": "mm"}, - "name": "SPI_PERFCOUNTER2_SELECT1", - "type_ref": "IA_PERFCOUNTER0_SELECT1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214552, "to": "mm"}, - "name": "SPI_PERFCOUNTER3_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214556, "to": "mm"}, - "name": "SPI_PERFCOUNTER3_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 222732, "to": "mm"}, - "name": "SPI_PERFCOUNTER3_SELECT", - "type_ref": "PA_SU_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx9"], - "map": {"at": 222732, "to": "mm"}, - "name": "SPI_PERFCOUNTER3_SELECT", - "type_ref": "IA_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 222748, "to": "mm"}, - "name": "SPI_PERFCOUNTER3_SELECT1", - "type_ref": "PA_SU_PERFCOUNTER0_SELECT1" - }, - { - "chips": ["gfx9"], - "map": {"at": 222748, "to": "mm"}, - "name": "SPI_PERFCOUNTER3_SELECT1", - "type_ref": "IA_PERFCOUNTER0_SELECT1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214560, "to": "mm"}, - "name": "SPI_PERFCOUNTER4_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214564, "to": "mm"}, - "name": "SPI_PERFCOUNTER4_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 222752, "to": "mm"}, - "name": "SPI_PERFCOUNTER4_SELECT", - "type_ref": "PA_SU_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx9"], - "map": {"at": 222752, "to": "mm"}, - "name": "SPI_PERFCOUNTER4_SELECT", - "type_ref": "IA_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214568, "to": "mm"}, - "name": "SPI_PERFCOUNTER5_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214572, "to": "mm"}, - "name": "SPI_PERFCOUNTER5_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 222756, "to": "mm"}, - "name": "SPI_PERFCOUNTER5_SELECT", - "type_ref": "PA_SU_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx9"], - "map": {"at": 222756, "to": "mm"}, - "name": "SPI_PERFCOUNTER5_SELECT", - "type_ref": "IA_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 222760, "to": "mm"}, - "name": "SPI_PERFCOUNTER_BINS", - "type_ref": "SPI_PERFCOUNTER_BINS" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165584, "to": "mm"}, - "name": "SPI_PS_INPUT_ADDR", - "type_ref": "SPI_PS_INPUT_ENA" - }, - { - "chips": ["gfx6"], - "map": {"at": 165444, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_0", - "type_ref": "SPI_PS_INPUT_CNTL_0" - }, - { - "chips": ["gfx7"], - "map": {"at": 165444, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_0", - "type_ref": "SPI_PS_INPUT_CNTL_0_cik" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 165444, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_0", - "type_ref": "SPI_PS_INPUT_CNTL_0_vi" - }, - { - "chips": ["gfx6"], - "map": {"at": 165448, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_1", - "type_ref": "SPI_PS_INPUT_CNTL_0" - }, - { - "chips": ["gfx7"], - "map": {"at": 165448, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_1", - "type_ref": "SPI_PS_INPUT_CNTL_0_cik" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 165448, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_1", - "type_ref": "SPI_PS_INPUT_CNTL_0_vi" - }, - { - "chips": ["gfx6"], - "map": {"at": 165484, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_10", - "type_ref": "SPI_PS_INPUT_CNTL_0" - }, - { - "chips": ["gfx7"], - "map": {"at": 165484, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_10", - "type_ref": "SPI_PS_INPUT_CNTL_0_cik" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 165484, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_10", - "type_ref": "SPI_PS_INPUT_CNTL_0_vi" - }, - { - "chips": ["gfx6"], - "map": {"at": 165488, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_11", - "type_ref": "SPI_PS_INPUT_CNTL_0" - }, - { - "chips": ["gfx7"], - "map": {"at": 165488, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_11", - "type_ref": "SPI_PS_INPUT_CNTL_0_cik" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 165488, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_11", - "type_ref": "SPI_PS_INPUT_CNTL_0_vi" - }, - { - "chips": ["gfx6"], - "map": {"at": 165492, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_12", - "type_ref": "SPI_PS_INPUT_CNTL_0" - }, - { - "chips": ["gfx7"], - "map": {"at": 165492, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_12", - "type_ref": "SPI_PS_INPUT_CNTL_0_cik" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 165492, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_12", - "type_ref": "SPI_PS_INPUT_CNTL_0_vi" - }, - { - "chips": ["gfx6"], - "map": {"at": 165496, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_13", - "type_ref": "SPI_PS_INPUT_CNTL_0" - }, - { - "chips": ["gfx7"], - "map": {"at": 165496, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_13", - "type_ref": "SPI_PS_INPUT_CNTL_0_cik" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 165496, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_13", - "type_ref": "SPI_PS_INPUT_CNTL_0_vi" - }, - { - "chips": ["gfx6"], - "map": {"at": 165500, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_14", - "type_ref": "SPI_PS_INPUT_CNTL_0" - }, - { - "chips": ["gfx7"], - "map": {"at": 165500, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_14", - "type_ref": "SPI_PS_INPUT_CNTL_0_cik" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 165500, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_14", - "type_ref": "SPI_PS_INPUT_CNTL_0_vi" - }, - { - "chips": ["gfx6"], - "map": {"at": 165504, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_15", - "type_ref": "SPI_PS_INPUT_CNTL_0" - }, - { - "chips": ["gfx7"], - "map": {"at": 165504, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_15", - "type_ref": "SPI_PS_INPUT_CNTL_0_cik" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 165504, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_15", - "type_ref": "SPI_PS_INPUT_CNTL_0_vi" - }, - { - "chips": ["gfx6"], - "map": {"at": 165508, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_16", - "type_ref": "SPI_PS_INPUT_CNTL_0" - }, - { - "chips": ["gfx7"], - "map": {"at": 165508, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_16", - "type_ref": "SPI_PS_INPUT_CNTL_0_cik" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 165508, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_16", - "type_ref": "SPI_PS_INPUT_CNTL_0_vi" - }, - { - "chips": ["gfx6"], - "map": {"at": 165512, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_17", - "type_ref": "SPI_PS_INPUT_CNTL_0" - }, - { - "chips": ["gfx7"], - "map": {"at": 165512, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_17", - "type_ref": "SPI_PS_INPUT_CNTL_0_cik" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 165512, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_17", - "type_ref": "SPI_PS_INPUT_CNTL_0_vi" - }, - { - "chips": ["gfx6"], - "map": {"at": 165516, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_18", - "type_ref": "SPI_PS_INPUT_CNTL_0" - }, - { - "chips": ["gfx7"], - "map": {"at": 165516, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_18", - "type_ref": "SPI_PS_INPUT_CNTL_0_cik" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 165516, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_18", - "type_ref": "SPI_PS_INPUT_CNTL_0_vi" - }, - { - "chips": ["gfx6"], - "map": {"at": 165520, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_19", - "type_ref": "SPI_PS_INPUT_CNTL_0" - }, - { - "chips": ["gfx7"], - "map": {"at": 165520, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_19", - "type_ref": "SPI_PS_INPUT_CNTL_0_cik" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 165520, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_19", - "type_ref": "SPI_PS_INPUT_CNTL_0_vi" - }, - { - "chips": ["gfx6"], - "map": {"at": 165452, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_2", - "type_ref": "SPI_PS_INPUT_CNTL_0" - }, - { - "chips": ["gfx7"], - "map": {"at": 165452, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_2", - "type_ref": "SPI_PS_INPUT_CNTL_0_cik" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 165452, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_2", - "type_ref": "SPI_PS_INPUT_CNTL_0_vi" - }, - { - "chips": ["gfx6"], - "map": {"at": 165524, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_20", - "type_ref": "SPI_PS_INPUT_CNTL_0" - }, - { - "chips": ["gfx7"], - "map": {"at": 165524, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_20", - "type_ref": "SPI_PS_INPUT_CNTL_0_cik" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 165524, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_20", - "type_ref": "SPI_PS_INPUT_CNTL_0_vi" - }, - { - "chips": ["gfx6"], - "map": {"at": 165528, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_21", - "type_ref": "SPI_PS_INPUT_CNTL_0" - }, - { - "chips": ["gfx7"], - "map": {"at": 165528, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_21", - "type_ref": "SPI_PS_INPUT_CNTL_0_cik" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 165528, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_21", - "type_ref": "SPI_PS_INPUT_CNTL_0_vi" - }, - { - "chips": ["gfx6"], - "map": {"at": 165532, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_22", - "type_ref": "SPI_PS_INPUT_CNTL_0" - }, - { - "chips": ["gfx7"], - "map": {"at": 165532, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_22", - "type_ref": "SPI_PS_INPUT_CNTL_0_cik" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 165532, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_22", - "type_ref": "SPI_PS_INPUT_CNTL_0_vi" - }, - { - "chips": ["gfx6"], - "map": {"at": 165536, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_23", - "type_ref": "SPI_PS_INPUT_CNTL_0" - }, - { - "chips": ["gfx7"], - "map": {"at": 165536, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_23", - "type_ref": "SPI_PS_INPUT_CNTL_0_cik" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 165536, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_23", - "type_ref": "SPI_PS_INPUT_CNTL_0_vi" - }, - { - "chips": ["gfx6"], - "map": {"at": 165540, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_24", - "type_ref": "SPI_PS_INPUT_CNTL_0" - }, - { - "chips": ["gfx7"], - "map": {"at": 165540, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_24", - "type_ref": "SPI_PS_INPUT_CNTL_0_cik" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 165540, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_24", - "type_ref": "SPI_PS_INPUT_CNTL_0_vi" - }, - { - "chips": ["gfx6"], - "map": {"at": 165544, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_25", - "type_ref": "SPI_PS_INPUT_CNTL_0" - }, - { - "chips": ["gfx7"], - "map": {"at": 165544, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_25", - "type_ref": "SPI_PS_INPUT_CNTL_0_cik" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 165544, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_25", - "type_ref": "SPI_PS_INPUT_CNTL_0_vi" - }, - { - "chips": ["gfx6"], - "map": {"at": 165548, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_26", - "type_ref": "SPI_PS_INPUT_CNTL_0" - }, - { - "chips": ["gfx7"], - "map": {"at": 165548, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_26", - "type_ref": "SPI_PS_INPUT_CNTL_0_cik" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 165548, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_26", - "type_ref": "SPI_PS_INPUT_CNTL_0_vi" - }, - { - "chips": ["gfx6"], - "map": {"at": 165552, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_27", - "type_ref": "SPI_PS_INPUT_CNTL_0" - }, - { - "chips": ["gfx7"], - "map": {"at": 165552, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_27", - "type_ref": "SPI_PS_INPUT_CNTL_0_cik" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 165552, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_27", - "type_ref": "SPI_PS_INPUT_CNTL_0_vi" - }, - { - "chips": ["gfx6"], - "map": {"at": 165556, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_28", - "type_ref": "SPI_PS_INPUT_CNTL_0" - }, - { - "chips": ["gfx7"], - "map": {"at": 165556, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_28", - "type_ref": "SPI_PS_INPUT_CNTL_0_cik" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 165556, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_28", - "type_ref": "SPI_PS_INPUT_CNTL_0_vi" - }, - { - "chips": ["gfx6"], - "map": {"at": 165560, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_29", - "type_ref": "SPI_PS_INPUT_CNTL_0" - }, - { - "chips": ["gfx7"], - "map": {"at": 165560, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_29", - "type_ref": "SPI_PS_INPUT_CNTL_0_cik" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 165560, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_29", - "type_ref": "SPI_PS_INPUT_CNTL_0_vi" - }, - { - "chips": ["gfx6"], - "map": {"at": 165456, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_3", - "type_ref": "SPI_PS_INPUT_CNTL_0" - }, - { - "chips": ["gfx7"], - "map": {"at": 165456, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_3", - "type_ref": "SPI_PS_INPUT_CNTL_0_cik" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 165456, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_3", - "type_ref": "SPI_PS_INPUT_CNTL_0_vi" - }, - { - "chips": ["gfx6"], - "map": {"at": 165564, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_30", - "type_ref": "SPI_PS_INPUT_CNTL_0" - }, - { - "chips": ["gfx7"], - "map": {"at": 165564, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_30", - "type_ref": "SPI_PS_INPUT_CNTL_0_cik" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 165564, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_30", - "type_ref": "SPI_PS_INPUT_CNTL_0_vi" - }, - { - "chips": ["gfx6"], - "map": {"at": 165568, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_31", - "type_ref": "SPI_PS_INPUT_CNTL_0" - }, - { - "chips": ["gfx7"], - "map": {"at": 165568, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_31", - "type_ref": "SPI_PS_INPUT_CNTL_0_cik" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 165568, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_31", - "type_ref": "SPI_PS_INPUT_CNTL_0_vi" - }, - { - "chips": ["gfx6"], - "map": {"at": 165460, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_4", - "type_ref": "SPI_PS_INPUT_CNTL_0" - }, - { - "chips": ["gfx7"], - "map": {"at": 165460, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_4", - "type_ref": "SPI_PS_INPUT_CNTL_0_cik" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 165460, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_4", - "type_ref": "SPI_PS_INPUT_CNTL_0_vi" - }, - { - "chips": ["gfx6"], - "map": {"at": 165464, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_5", - "type_ref": "SPI_PS_INPUT_CNTL_0" - }, - { - "chips": ["gfx7"], - "map": {"at": 165464, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_5", - "type_ref": "SPI_PS_INPUT_CNTL_0_cik" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 165464, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_5", - "type_ref": "SPI_PS_INPUT_CNTL_0_vi" - }, - { - "chips": ["gfx6"], - "map": {"at": 165468, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_6", - "type_ref": "SPI_PS_INPUT_CNTL_0" - }, - { - "chips": ["gfx7"], - "map": {"at": 165468, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_6", - "type_ref": "SPI_PS_INPUT_CNTL_0_cik" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 165468, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_6", - "type_ref": "SPI_PS_INPUT_CNTL_0_vi" - }, - { - "chips": ["gfx6"], - "map": {"at": 165472, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_7", - "type_ref": "SPI_PS_INPUT_CNTL_0" - }, - { - "chips": ["gfx7"], - "map": {"at": 165472, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_7", - "type_ref": "SPI_PS_INPUT_CNTL_0_cik" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 165472, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_7", - "type_ref": "SPI_PS_INPUT_CNTL_0_vi" - }, - { - "chips": ["gfx6"], - "map": {"at": 165476, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_8", - "type_ref": "SPI_PS_INPUT_CNTL_0" - }, - { - "chips": ["gfx7"], - "map": {"at": 165476, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_8", - "type_ref": "SPI_PS_INPUT_CNTL_0_cik" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 165476, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_8", - "type_ref": "SPI_PS_INPUT_CNTL_0_vi" - }, - { - "chips": ["gfx6"], - "map": {"at": 165480, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_9", - "type_ref": "SPI_PS_INPUT_CNTL_0" - }, - { - "chips": ["gfx7"], - "map": {"at": 165480, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_9", - "type_ref": "SPI_PS_INPUT_CNTL_0_cik" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 165480, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_9", - "type_ref": "SPI_PS_INPUT_CNTL_0_vi" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165580, "to": "mm"}, - "name": "SPI_PS_INPUT_ENA", - "type_ref": "SPI_PS_INPUT_ENA" - }, - { - "chips": ["gfx6"], - "map": {"at": 165592, "to": "mm"}, - "name": "SPI_PS_IN_CONTROL", - "type_ref": "SPI_PS_IN_CONTROL" - }, - { - "chips": ["gfx7", "fiji", "stoney", "gfx8"], - "map": {"at": 165592, "to": "mm"}, - "name": "SPI_PS_IN_CONTROL", - "type_ref": "SPI_PS_IN_CONTROL_cik" - }, - { - "chips": ["gfx9"], - "map": {"at": 165592, "to": "mm"}, - "name": "SPI_PS_IN_CONTROL", - "type_ref": "SPI_PS_IN_CONTROL_gfx9" - }, - { - "chips": ["gfx6"], - "map": {"at": 37100, "to": "mm"}, - "name": "SPI_PS_MAX_WAVE_ID", - "type_ref": "SPI_PS_MAX_WAVE_ID" - }, - { - "chips": ["gfx7", "fiji", "stoney", "gfx8"], - "map": {"at": 37096, "to": "mm"}, - "name": "SPI_PS_MAX_WAVE_ID", - "type_ref": "SPI_PS_MAX_WAVE_ID" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 37740, "to": "mm"}, - "name": "SPI_RESOURCE_RESERVE_CU_AB_0", - "type_ref": "SPI_RESOURCE_RESERVE_CU_AB_0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165652, "to": "mm"}, - "name": "SPI_SHADER_COL_FORMAT", - "type_ref": "SPI_SHADER_COL_FORMAT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 45340, "to": "mm"}, - "name": "SPI_SHADER_LATE_ALLOC_VS", - "type_ref": "SPI_SHADER_LATE_ALLOC_VS" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 45860, "to": "mm"}, - "name": "SPI_SHADER_PGM_HI_ES", - "type_ref": "SPI_SHADER_TBA_HI_PS" - }, - { - "chips": ["gfx9"], - "map": {"at": 45588, "to": "mm"}, - "name": "SPI_SHADER_PGM_HI_ES", - "type_ref": "SPI_SHADER_TBA_HI_PS" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 45604, "to": "mm"}, - "name": "SPI_SHADER_PGM_HI_GS", - "type_ref": "SPI_SHADER_TBA_HI_PS" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 45060, "to": "mm"}, - "name": "SPI_SHADER_TBA_HI_PS" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 45316, "to": "mm"}, - "name": "SPI_SHADER_TBA_HI_VS" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 45572, "to": "mm"}, - "name": "SPI_SHADER_TBA_HI_GS" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 45828, "to": "mm"}, - "name": "SPI_SHADER_TBA_HI_ES" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 46084, "to": "mm"}, - "name": "SPI_SHADER_TBA_HI_HS" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 46340, "to": "mm"}, - "name": "SPI_SHADER_TBA_HI_LS" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 45056, "to": "mm"}, - "name": "SPI_SHADER_TBA_LO_PS" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 45312, "to": "mm"}, - "name": "SPI_SHADER_TBA_LO_VS" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 45568, "to": "mm"}, - "name": "SPI_SHADER_TBA_LO_GS" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 45824, "to": "mm"}, - "name": "SPI_SHADER_TBA_LO_ES" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 46080, "to": "mm"}, - "name": "SPI_SHADER_TBA_LO_HS" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 46336, "to": "mm"}, - "name": "SPI_SHADER_TBA_LO_LS" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 46116, "to": "mm"}, - "name": "SPI_SHADER_PGM_HI_HS", - "type_ref": "SPI_SHADER_TBA_HI_PS" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 46372, "to": "mm"}, - "name": "SPI_SHADER_PGM_HI_LS", - "type_ref": "SPI_SHADER_TBA_HI_PS" - }, - { - "chips": ["gfx9"], - "map": {"at": 46100, "to": "mm"}, - "name": "SPI_SHADER_PGM_HI_LS", - "type_ref": "SPI_SHADER_TBA_HI_PS" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 45092, "to": "mm"}, - "name": "SPI_SHADER_PGM_HI_PS", - "type_ref": "SPI_SHADER_TBA_HI_PS" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 45348, "to": "mm"}, - "name": "SPI_SHADER_PGM_HI_VS", - "type_ref": "SPI_SHADER_TBA_HI_PS" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 45856, "to": "mm"}, - "name": "SPI_SHADER_PGM_LO_ES" - }, - { - "chips": ["gfx9"], - "map": {"at": 45584, "to": "mm"}, - "name": "SPI_SHADER_PGM_LO_ES" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 45600, "to": "mm"}, - "name": "SPI_SHADER_PGM_LO_GS" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 46112, "to": "mm"}, - "name": "SPI_SHADER_PGM_LO_HS" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 46368, "to": "mm"}, - "name": "SPI_SHADER_PGM_LO_LS" - }, - { - "chips": ["gfx9"], - "map": {"at": 46096, "to": "mm"}, - "name": "SPI_SHADER_PGM_LO_LS" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 45088, "to": "mm"}, - "name": "SPI_SHADER_PGM_LO_PS" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 45344, "to": "mm"}, - "name": "SPI_SHADER_PGM_LO_VS" - }, - { - "chips": ["gfx6"], - "map": {"at": 45864, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC1_ES", - "type_ref": "SPI_SHADER_PGM_RSRC1_VS" - }, - { - "chips": ["gfx7", "fiji", "stoney", "gfx8"], - "map": {"at": 45864, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC1_ES", - "type_ref": "SPI_SHADER_PGM_RSRC1_VS_cik" - }, - { - "chips": ["gfx6"], - "map": {"at": 45608, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC1_GS", - "type_ref": "SPI_SHADER_PGM_RSRC1_GS" - }, - { - "chips": ["gfx7", "fiji", "stoney", "gfx8"], - "map": {"at": 45608, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC1_GS", - "type_ref": "SPI_SHADER_PGM_RSRC1_GS_cik" - }, - { - "chips": ["gfx9"], - "map": {"at": 45608, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC1_GS", - "type_ref": "SPI_SHADER_PGM_RSRC1_GS_gfx9" - }, - { - "chips": ["gfx6"], - "map": {"at": 46120, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC1_HS", - "type_ref": "SPI_SHADER_PGM_RSRC1_HS" - }, - { - "chips": ["gfx7", "fiji", "stoney", "gfx8"], - "map": {"at": 46120, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC1_HS", - "type_ref": "SPI_SHADER_PGM_RSRC1_HS_cik" - }, - { - "chips": ["gfx9"], - "map": {"at": 46120, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC1_HS", - "type_ref": "SPI_SHADER_PGM_RSRC1_HS_gfx9" - }, - { - "chips": ["gfx6"], - "map": {"at": 46376, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC1_LS", - "type_ref": "SPI_SHADER_PGM_RSRC1_LS" - }, - { - "chips": ["gfx7", "fiji", "stoney", "gfx8"], - "map": {"at": 46376, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC1_LS", - "type_ref": "SPI_SHADER_PGM_RSRC1_LS_cik" - }, - { - "chips": ["gfx6"], - "map": {"at": 45096, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC1_PS", - "type_ref": "SPI_SHADER_PGM_RSRC1_PS" - }, - { - "chips": ["gfx7", "fiji", "stoney", "gfx8"], - "map": {"at": 45096, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC1_PS", - "type_ref": "SPI_SHADER_PGM_RSRC1_PS_cik" - }, - { - "chips": ["gfx9"], - "map": {"at": 45096, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC1_PS", - "type_ref": "SPI_SHADER_PGM_RSRC1_PS_gfx9" - }, - { - "chips": ["gfx6"], - "map": {"at": 45352, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC1_VS", - "type_ref": "SPI_SHADER_PGM_RSRC1_VS" - }, - { - "chips": ["gfx7", "fiji", "stoney", "gfx8"], - "map": {"at": 45352, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC1_VS", - "type_ref": "SPI_SHADER_PGM_RSRC1_VS_cik" - }, - { - "chips": ["gfx9"], - "map": {"at": 45352, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC1_VS", - "type_ref": "SPI_SHADER_PGM_RSRC1_VS_gfx9" - }, - { - "chips": ["gfx6"], - "map": {"at": 45868, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC2_ES", - "type_ref": "SPI_SHADER_PGM_RSRC2_ES" - }, - { - "chips": ["gfx7", "fiji", "stoney", "gfx8"], - "map": {"at": 45868, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC2_ES", - "type_ref": "SPI_SHADER_PGM_RSRC2_ES_cik" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 45612, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC2_GS", - "type_ref": "SPI_SHADER_PGM_RSRC2_GS" - }, - { - "chips": ["gfx9"], - "map": {"at": 45612, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC2_GS", - "type_ref": "SPI_SHADER_PGM_RSRC2_GS_gfx9" - }, - { - "chips": ["gfx9"], - "map": {"at": 45552, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC2_GS_VS", - "type_ref": "SPI_SHADER_PGM_RSRC2_GS_VS" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 46124, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC2_HS", - "type_ref": "SPI_SHADER_PGM_RSRC2_HS" - }, - { - "chips": ["gfx9"], - "map": {"at": 46124, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC2_HS", - "type_ref": "SPI_SHADER_PGM_RSRC2_HS_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 46380, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC2_LS", - "type_ref": "SPI_SHADER_PGM_RSRC2_LS" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 45100, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC2_PS", - "type_ref": "SPI_SHADER_PGM_RSRC2_PS" - }, - { - "chips": ["gfx9"], - "map": {"at": 45100, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC2_PS", - "type_ref": "SPI_SHADER_PGM_RSRC2_PS_gfx9" - }, - { - "chips": ["gfx7", "gfx6"], - "map": {"at": 45356, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC2_VS", - "type_ref": "SPI_SHADER_PGM_RSRC2_VS" - }, - { - "chips": ["fiji", "stoney", "gfx8"], - "map": {"at": 45356, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC2_VS", - "type_ref": "SPI_SHADER_PGM_RSRC2_VS_vi" - }, - { - "chips": ["gfx9"], - "map": {"at": 45356, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC2_VS", - "type_ref": "SPI_SHADER_PGM_RSRC2_VS_gfx9" - }, - { - "chips": ["gfx7"], - "map": {"at": 45852, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC3_ES", - "type_ref": "SPI_SHADER_PGM_RSRC3_PS" - }, - { - "chips": ["fiji", "stoney", "gfx8"], - "map": {"at": 45852, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC3_ES", - "type_ref": "SPI_SHADER_PGM_RSRC3_GS" - }, - { - "chips": ["gfx7"], - "map": {"at": 45596, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC3_GS", - "type_ref": "SPI_SHADER_PGM_RSRC3_PS" - }, - { - "chips": ["fiji", "stoney", "gfx8"], - "map": {"at": 45596, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC3_GS", - "type_ref": "SPI_SHADER_PGM_RSRC3_GS" - }, - { - "chips": ["gfx9"], - "map": {"at": 45596, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC3_GS", - "type_ref": "SPI_SHADER_PGM_RSRC3_PS_gfx9" - }, - { - "chips": ["gfx7"], - "map": {"at": 46108, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC3_HS", - "type_ref": "SPI_SHADER_PGM_RSRC3_HS" - }, - { - "chips": ["fiji", "stoney", "gfx8"], - "map": {"at": 46108, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC3_HS", - "type_ref": "SPI_SHADER_PGM_RSRC3_HS_vi" - }, - { - "chips": ["gfx9"], - "map": {"at": 46108, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC3_HS", - "type_ref": "SPI_SHADER_PGM_RSRC3_HS_gfx9" - }, - { - "chips": ["gfx7"], - "map": {"at": 46364, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC3_LS", - "type_ref": "SPI_SHADER_PGM_RSRC3_PS" - }, - { - "chips": ["fiji", "stoney", "gfx8"], - "map": {"at": 46364, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC3_LS", - "type_ref": "SPI_SHADER_PGM_RSRC3_GS" - }, - { - "chips": ["gfx7", "fiji", "stoney", "gfx8"], - "map": {"at": 45084, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC3_PS", - "type_ref": "SPI_SHADER_PGM_RSRC3_PS" - }, - { - "chips": ["gfx9"], - "map": {"at": 45084, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC3_PS", - "type_ref": "SPI_SHADER_PGM_RSRC3_PS_gfx9" - }, - { - "chips": ["gfx7", "fiji", "stoney", "gfx8"], - "map": {"at": 45336, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC3_VS", - "type_ref": "SPI_SHADER_PGM_RSRC3_PS" - }, - { - "chips": ["gfx9"], - "map": {"at": 45336, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC3_VS", - "type_ref": "SPI_SHADER_PGM_RSRC3_PS_gfx9" - }, - { - "chips": ["gfx9"], - "map": {"at": 45572, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC4_GS", - "type_ref": "SPI_SHADER_PGM_RSRC4_GS" - }, - { - "chips": ["gfx9"], - "map": {"at": 46084, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC4_HS", - "type_ref": "SPI_SHADER_PGM_RSRC4_HS" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165644, "to": "mm"}, - "name": "SPI_SHADER_POS_FORMAT", - "type_ref": "SPI_SHADER_POS_FORMAT" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 45828, "to": "mm"}, - "name": "SPI_SHADER_TBA_HI_ES", - "type_ref": "SPI_SHADER_TBA_HI_PS" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 45572, "to": "mm"}, - "name": "SPI_SHADER_TBA_HI_GS", - "type_ref": "SPI_SHADER_TBA_HI_PS" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 46084, "to": "mm"}, - "name": "SPI_SHADER_TBA_HI_HS", - "type_ref": "SPI_SHADER_TBA_HI_PS" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 46340, "to": "mm"}, - "name": "SPI_SHADER_TBA_HI_LS", - "type_ref": "SPI_SHADER_TBA_HI_PS" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 45060, "to": "mm"}, - "name": "SPI_SHADER_TBA_HI_PS", - "type_ref": "SPI_SHADER_TBA_HI_PS" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 45316, "to": "mm"}, - "name": "SPI_SHADER_TBA_HI_VS", - "type_ref": "SPI_SHADER_TBA_HI_PS" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 45824, "to": "mm"}, - "name": "SPI_SHADER_TBA_LO_ES" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 45568, "to": "mm"}, - "name": "SPI_SHADER_TBA_LO_GS" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 46080, "to": "mm"}, - "name": "SPI_SHADER_TBA_LO_HS" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 46336, "to": "mm"}, - "name": "SPI_SHADER_TBA_LO_LS" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 45056, "to": "mm"}, - "name": "SPI_SHADER_TBA_LO_PS" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 45312, "to": "mm"}, - "name": "SPI_SHADER_TBA_LO_VS" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 45836, "to": "mm"}, - "name": "SPI_SHADER_TMA_HI_ES", - "type_ref": "SPI_SHADER_TBA_HI_PS" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 45580, "to": "mm"}, - "name": "SPI_SHADER_TMA_HI_GS", - "type_ref": "SPI_SHADER_TBA_HI_PS" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 46092, "to": "mm"}, - "name": "SPI_SHADER_TMA_HI_HS", - "type_ref": "SPI_SHADER_TBA_HI_PS" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 46348, "to": "mm"}, - "name": "SPI_SHADER_TMA_HI_LS", - "type_ref": "SPI_SHADER_TBA_HI_PS" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 45068, "to": "mm"}, - "name": "SPI_SHADER_TMA_HI_PS", - "type_ref": "SPI_SHADER_TBA_HI_PS" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 45324, "to": "mm"}, - "name": "SPI_SHADER_TMA_HI_VS", - "type_ref": "SPI_SHADER_TBA_HI_PS" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 45832, "to": "mm"}, - "name": "SPI_SHADER_TMA_LO_ES" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 45576, "to": "mm"}, - "name": "SPI_SHADER_TMA_LO_GS" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 46088, "to": "mm"}, - "name": "SPI_SHADER_TMA_LO_HS" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 46344, "to": "mm"}, - "name": "SPI_SHADER_TMA_LO_LS" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 45064, "to": "mm"}, - "name": "SPI_SHADER_TMA_LO_PS" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 45320, "to": "mm"}, - "name": "SPI_SHADER_TMA_LO_VS" - }, - { - "chips": ["gfx9"], - "map": {"at": 45580, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ADDR_HI_GS" - }, - { - "chips": ["gfx9"], - "map": {"at": 46092, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ADDR_HI_HS" - }, - { - "chips": ["gfx9"], - "map": {"at": 45576, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ADDR_LO_GS" - }, - { - "chips": ["gfx9"], - "map": {"at": 46088, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ADDR_LO_HS" - }, - { - "chips": ["gfx9"], - "map": {"at": 46384, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_COMMON_0" - }, - { - "chips": ["gfx9"], - "map": {"at": 46388, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_COMMON_1" - }, - { - "chips": ["gfx9"], - "map": {"at": 46424, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_COMMON_10" - }, - { - "chips": ["gfx9"], - "map": {"at": 46428, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_COMMON_11" - }, - { - "chips": ["gfx9"], - "map": {"at": 46432, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_COMMON_12" - }, - { - "chips": ["gfx9"], - "map": {"at": 46436, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_COMMON_13" - }, - { - "chips": ["gfx9"], - "map": {"at": 46440, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_COMMON_14" - }, - { - "chips": ["gfx9"], - "map": {"at": 46444, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_COMMON_15" - }, - { - "chips": ["gfx9"], - "map": {"at": 46448, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_COMMON_16" - }, - { - "chips": ["gfx9"], - "map": {"at": 46452, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_COMMON_17" - }, - { - "chips": ["gfx9"], - "map": {"at": 46456, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_COMMON_18" - }, - { - "chips": ["gfx9"], - "map": {"at": 46460, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_COMMON_19" - }, - { - "chips": ["gfx9"], - "map": {"at": 46392, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_COMMON_2" - }, - { - "chips": ["gfx9"], - "map": {"at": 46464, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_COMMON_20" - }, - { - "chips": ["gfx9"], - "map": {"at": 46468, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_COMMON_21" - }, - { - "chips": ["gfx9"], - "map": {"at": 46472, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_COMMON_22" - }, - { - "chips": ["gfx9"], - "map": {"at": 46476, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_COMMON_23" - }, - { - "chips": ["gfx9"], - "map": {"at": 46480, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_COMMON_24" - }, - { - "chips": ["gfx9"], - "map": {"at": 46484, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_COMMON_25" - }, - { - "chips": ["gfx9"], - "map": {"at": 46488, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_COMMON_26" - }, - { - "chips": ["gfx9"], - "map": {"at": 46492, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_COMMON_27" - }, - { - "chips": ["gfx9"], - "map": {"at": 46496, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_COMMON_28" - }, - { - "chips": ["gfx9"], - "map": {"at": 46500, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_COMMON_29" - }, - { - "chips": ["gfx9"], - "map": {"at": 46396, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_COMMON_3" - }, - { - "chips": ["gfx9"], - "map": {"at": 46504, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_COMMON_30" - }, - { - "chips": ["gfx9"], - "map": {"at": 46508, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_COMMON_31" - }, - { - "chips": ["gfx9"], - "map": {"at": 46400, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_COMMON_4" - }, - { - "chips": ["gfx9"], - "map": {"at": 46404, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_COMMON_5" - }, - { - "chips": ["gfx9"], - "map": {"at": 46408, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_COMMON_6" - }, - { - "chips": ["gfx9"], - "map": {"at": 46412, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_COMMON_7" - }, - { - "chips": ["gfx9"], - "map": {"at": 46416, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_COMMON_8" - }, - { - "chips": ["gfx9"], - "map": {"at": 46420, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_COMMON_9" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 45872, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ES_0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 45876, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ES_1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 45912, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ES_10" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 45916, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ES_11" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 45920, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ES_12" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 45924, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ES_13" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 45928, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ES_14" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 45932, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ES_15" - }, - { - "chips": ["gfx9"], - "map": {"at": 45936, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ES_16" - }, - { - "chips": ["gfx9"], - "map": {"at": 45940, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ES_17" - }, - { - "chips": ["gfx9"], - "map": {"at": 45944, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ES_18" - }, - { - "chips": ["gfx9"], - "map": {"at": 45948, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ES_19" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 45880, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ES_2" - }, - { - "chips": ["gfx9"], - "map": {"at": 45952, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ES_20" - }, - { - "chips": ["gfx9"], - "map": {"at": 45956, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ES_21" - }, - { - "chips": ["gfx9"], - "map": {"at": 45960, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ES_22" - }, - { - "chips": ["gfx9"], - "map": {"at": 45964, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ES_23" - }, - { - "chips": ["gfx9"], - "map": {"at": 45968, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ES_24" - }, - { - "chips": ["gfx9"], - "map": {"at": 45972, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ES_25" - }, - { - "chips": ["gfx9"], - "map": {"at": 45976, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ES_26" - }, - { - "chips": ["gfx9"], - "map": {"at": 45980, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ES_27" - }, - { - "chips": ["gfx9"], - "map": {"at": 45984, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ES_28" - }, - { - "chips": ["gfx9"], - "map": {"at": 45988, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ES_29" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 45884, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ES_3" - }, - { - "chips": ["gfx9"], - "map": {"at": 45992, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ES_30" - }, - { - "chips": ["gfx9"], - "map": {"at": 45996, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ES_31" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 45888, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ES_4" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 45892, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ES_5" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 45896, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ES_6" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 45900, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ES_7" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 45904, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ES_8" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 45908, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ES_9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 45616, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_GS_0" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 45620, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_GS_1" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 45656, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_GS_10" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 45660, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_GS_11" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 45664, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_GS_12" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 45668, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_GS_13" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 45672, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_GS_14" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 45676, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_GS_15" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 45624, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_GS_2" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 45628, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_GS_3" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 45632, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_GS_4" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 45636, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_GS_5" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 45640, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_GS_6" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 45644, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_GS_7" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 45648, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_GS_8" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 45652, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_GS_9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 46128, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_HS_0" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 46132, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_HS_1" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 46168, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_HS_10" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 46172, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_HS_11" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 46176, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_HS_12" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 46180, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_HS_13" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 46184, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_HS_14" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 46188, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_HS_15" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 46136, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_HS_2" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 46140, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_HS_3" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 46144, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_HS_4" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 46148, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_HS_5" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 46152, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_HS_6" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 46156, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_HS_7" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 46160, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_HS_8" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 46164, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_HS_9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 46384, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_0" - }, - { - "chips": ["gfx9"], - "map": {"at": 46128, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_0" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 46388, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_1" - }, - { - "chips": ["gfx9"], - "map": {"at": 46132, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_1" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 46424, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_10" - }, - { - "chips": ["gfx9"], - "map": {"at": 46168, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_10" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 46428, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_11" - }, - { - "chips": ["gfx9"], - "map": {"at": 46172, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_11" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 46432, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_12" - }, - { - "chips": ["gfx9"], - "map": {"at": 46176, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_12" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 46436, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_13" - }, - { - "chips": ["gfx9"], - "map": {"at": 46180, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_13" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 46440, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_14" - }, - { - "chips": ["gfx9"], - "map": {"at": 46184, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_14" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 46444, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_15" - }, - { - "chips": ["gfx9"], - "map": {"at": 46188, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_15" - }, - { - "chips": ["gfx9"], - "map": {"at": 46192, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_16" - }, - { - "chips": ["gfx9"], - "map": {"at": 46196, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_17" - }, - { - "chips": ["gfx9"], - "map": {"at": 46200, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_18" - }, - { - "chips": ["gfx9"], - "map": {"at": 46204, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_19" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 46392, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_2" - }, - { - "chips": ["gfx9"], - "map": {"at": 46136, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_2" - }, - { - "chips": ["gfx9"], - "map": {"at": 46208, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_20" - }, - { - "chips": ["gfx9"], - "map": {"at": 46212, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_21" - }, - { - "chips": ["gfx9"], - "map": {"at": 46216, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_22" - }, - { - "chips": ["gfx9"], - "map": {"at": 46220, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_23" - }, - { - "chips": ["gfx9"], - "map": {"at": 46224, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_24" - }, - { - "chips": ["gfx9"], - "map": {"at": 46228, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_25" - }, - { - "chips": ["gfx9"], - "map": {"at": 46232, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_26" - }, - { - "chips": ["gfx9"], - "map": {"at": 46236, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_27" - }, - { - "chips": ["gfx9"], - "map": {"at": 46240, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_28" - }, - { - "chips": ["gfx9"], - "map": {"at": 46244, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_29" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 46396, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_3" - }, - { - "chips": ["gfx9"], - "map": {"at": 46140, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_3" - }, - { - "chips": ["gfx9"], - "map": {"at": 46248, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_30" - }, - { - "chips": ["gfx9"], - "map": {"at": 46252, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_31" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 46400, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_4" - }, - { - "chips": ["gfx9"], - "map": {"at": 46144, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_4" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 46404, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_5" - }, - { - "chips": ["gfx9"], - "map": {"at": 46148, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_5" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 46408, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_6" - }, - { - "chips": ["gfx9"], - "map": {"at": 46152, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_6" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 46412, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_7" - }, - { - "chips": ["gfx9"], - "map": {"at": 46156, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_7" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 46416, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_8" - }, - { - "chips": ["gfx9"], - "map": {"at": 46160, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_8" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 46420, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_9" - }, - { - "chips": ["gfx9"], - "map": {"at": 46164, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_9" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 45104, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 45108, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 45144, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_10" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 45148, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_11" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 45152, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_12" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 45156, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_13" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 45160, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_14" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 45164, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_15" - }, - { - "chips": ["gfx9"], - "map": {"at": 45168, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_16" - }, - { - "chips": ["gfx9"], - "map": {"at": 45172, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_17" - }, - { - "chips": ["gfx9"], - "map": {"at": 45176, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_18" - }, - { - "chips": ["gfx9"], - "map": {"at": 45180, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_19" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 45112, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_2" - }, - { - "chips": ["gfx9"], - "map": {"at": 45184, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_20" - }, - { - "chips": ["gfx9"], - "map": {"at": 45188, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_21" - }, - { - "chips": ["gfx9"], - "map": {"at": 45192, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_22" - }, - { - "chips": ["gfx9"], - "map": {"at": 45196, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_23" - }, - { - "chips": ["gfx9"], - "map": {"at": 45200, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_24" - }, - { - "chips": ["gfx9"], - "map": {"at": 45204, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_25" - }, - { - "chips": ["gfx9"], - "map": {"at": 45208, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_26" - }, - { - "chips": ["gfx9"], - "map": {"at": 45212, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_27" - }, - { - "chips": ["gfx9"], - "map": {"at": 45216, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_28" - }, - { - "chips": ["gfx9"], - "map": {"at": 45220, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_29" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 45116, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_3" - }, - { - "chips": ["gfx9"], - "map": {"at": 45224, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_30" - }, - { - "chips": ["gfx9"], - "map": {"at": 45228, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_31" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 45120, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_4" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 45124, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_5" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 45128, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_6" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 45132, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_7" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 45136, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_8" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 45140, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_9" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 45360, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 45364, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 45400, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_10" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 45404, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_11" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 45408, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_12" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 45412, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_13" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 45416, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_14" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 45420, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_15" - }, - { - "chips": ["gfx9"], - "map": {"at": 45424, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_16" - }, - { - "chips": ["gfx9"], - "map": {"at": 45428, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_17" - }, - { - "chips": ["gfx9"], - "map": {"at": 45432, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_18" - }, - { - "chips": ["gfx9"], - "map": {"at": 45436, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_19" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 45368, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_2" - }, - { - "chips": ["gfx9"], - "map": {"at": 45440, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_20" - }, - { - "chips": ["gfx9"], - "map": {"at": 45444, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_21" - }, - { - "chips": ["gfx9"], - "map": {"at": 45448, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_22" - }, - { - "chips": ["gfx9"], - "map": {"at": 45452, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_23" - }, - { - "chips": ["gfx9"], - "map": {"at": 45456, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_24" - }, - { - "chips": ["gfx9"], - "map": {"at": 45460, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_25" - }, - { - "chips": ["gfx9"], - "map": {"at": 45464, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_26" - }, - { - "chips": ["gfx9"], - "map": {"at": 45468, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_27" - }, - { - "chips": ["gfx9"], - "map": {"at": 45472, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_28" - }, - { - "chips": ["gfx9"], - "map": {"at": 45476, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_29" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 45372, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_3" - }, - { - "chips": ["gfx9"], - "map": {"at": 45480, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_30" - }, - { - "chips": ["gfx9"], - "map": {"at": 45484, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_31" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 45376, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_4" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 45380, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_5" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 45384, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_6" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 45388, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_7" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 45392, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_8" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 45396, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_9" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165648, "to": "mm"}, - "name": "SPI_SHADER_Z_FORMAT", - "type_ref": "SPI_SHADER_Z_FORMAT" - }, - { - "chips": ["gfx6"], - "map": {"at": 37088, "to": "mm"}, - "name": "SPI_STATIC_THREAD_MGMT_1", - "type_ref": "SPI_STATIC_THREAD_MGMT_1" - }, - { - "chips": ["gfx6"], - "map": {"at": 37092, "to": "mm"}, - "name": "SPI_STATIC_THREAD_MGMT_2", - "type_ref": "SPI_STATIC_THREAD_MGMT_2" - }, - { - "chips": ["gfx6"], - "map": {"at": 37096, "to": "mm"}, - "name": "SPI_STATIC_THREAD_MGMT_3", - "type_ref": "SPI_STATIC_THREAD_MGMT_3" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165608, "to": "mm"}, - "name": "SPI_TMPRING_SIZE", - "type_ref": "COMPUTE_TMPRING_SIZE" - }, - { - "chips": ["gfx6"], - "map": {"at": 165572, "to": "mm"}, - "name": "SPI_VS_OUT_CONFIG", - "type_ref": "SPI_VS_OUT_CONFIG" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 165572, "to": "mm"}, - "name": "SPI_VS_OUT_CONFIG", - "type_ref": "SPI_VS_OUT_CONFIG_cik" - }, - { - "chips": ["gfx6"], - "map": {"at": 165636, "to": "mm"}, - "name": "SPI_WAVE_MGMT_1", - "type_ref": "SPI_WAVE_MGMT_1" - }, - { - "chips": ["gfx6"], - "map": {"at": 165640, "to": "mm"}, - "name": "SPI_WAVE_MGMT_2", - "type_ref": "SPI_WAVE_MGMT_2" - }, - { - "chips": ["gfx6"], - "map": {"at": 35848, "to": "mm"}, - "name": "SQC_CACHES", - "type_ref": "SQC_CACHES" - }, - { - "chips": ["gfx7", "fiji", "stoney", "gfx8"], - "map": {"at": 199968, "to": "mm"}, - "name": "SQC_CACHES", - "type_ref": "SQC_CACHES_cik" - }, - { - "chips": ["gfx9"], - "map": {"at": 199968, "to": "mm"}, - "name": "SQC_CACHES", - "type_ref": "SQC_CACHES_gfx9" - }, - { - "chips": ["gfx9"], - "map": {"at": 199972, "to": "mm"}, - "name": "SQC_WRITEBACK", - "type_ref": "SQC_WRITEBACK" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 36608, "to": "mm"}, - "name": "SQ_BUF_RSRC_WORD0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 36612, "to": "mm"}, - "name": "SQ_BUF_RSRC_WORD1", - "type_ref": "SQ_BUF_RSRC_WORD1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 36616, "to": "mm"}, - "name": "SQ_BUF_RSRC_WORD2" - }, - { - "chips": ["gfx6"], - "map": {"at": 36620, "to": "mm"}, - "name": "SQ_BUF_RSRC_WORD3", - "type_ref": "SQ_BUF_RSRC_WORD3" - }, - { - "chips": ["gfx7", "fiji", "stoney", "gfx8"], - "map": {"at": 36620, "to": "mm"}, - "name": "SQ_BUF_RSRC_WORD3", - "type_ref": "SQ_BUF_RSRC_WORD3_cik" - }, - { - "chips": ["gfx9"], - "map": {"at": 36620, "to": "mm"}, - "name": "SQ_BUF_RSRC_WORD3", - "type_ref": "SQ_BUF_RSRC_WORD3_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 36348, "to": "mm"}, - "name": "SQ_EXP_0", - "type_ref": "SQ_EXP_0" - }, - { - "chips": ["gfx7", "fiji", "stoney", "gfx8"], - "map": {"at": 36672, "to": "mm"}, - "name": "SQ_FLAT_SCRATCH_WORD0", - "type_ref": "SQ_FLAT_SCRATCH_WORD0" - }, - { - "chips": ["gfx7", "fiji", "stoney", "gfx8"], - "map": {"at": 36676, "to": "mm"}, - "name": "SQ_FLAT_SCRATCH_WORD1", - "type_ref": "SQ_FLAT_SCRATCH_WORD1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 36624, "to": "mm"}, - "name": "SQ_IMG_RSRC_WORD0" - }, - { - "chips": ["gfx6"], - "map": {"at": 36628, "to": "mm"}, - "name": "SQ_IMG_RSRC_WORD1", - "type_ref": "SQ_IMG_RSRC_WORD1" - }, - { - "chips": ["gfx7"], - "map": {"at": 36628, "to": "mm"}, - "name": "SQ_IMG_RSRC_WORD1", - "type_ref": "SQ_IMG_RSRC_WORD1_cik" - }, - { - "chips": ["fiji", "gfx8"], - "map": {"at": 36628, "to": "mm"}, - "name": "SQ_IMG_RSRC_WORD1", - "type_ref": "SQ_IMG_RSRC_WORD1_vi" - }, - { - "chips": ["stoney"], - "map": {"at": 36628, "to": "mm"}, - "name": "SQ_IMG_RSRC_WORD1", - "type_ref": "SQ_IMG_RSRC_WORD1_stoney" - }, - { - "chips": ["gfx9"], - "map": {"at": 36628, "to": "mm"}, - "name": "SQ_IMG_RSRC_WORD1", - "type_ref": "SQ_IMG_RSRC_WORD1_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 36632, "to": "mm"}, - "name": "SQ_IMG_RSRC_WORD2", - "type_ref": "SQ_IMG_RSRC_WORD2" - }, - { - "chips": ["gfx9"], - "map": {"at": 36632, "to": "mm"}, - "name": "SQ_IMG_RSRC_WORD2", - "type_ref": "SQ_IMG_RSRC_WORD2_gfx9" - }, - { - "chips": ["gfx6"], - "map": {"at": 36636, "to": "mm"}, - "name": "SQ_IMG_RSRC_WORD3", - "type_ref": "SQ_IMG_RSRC_WORD3" - }, - { - "chips": ["gfx7", "fiji", "stoney", "gfx8"], - "map": {"at": 36636, "to": "mm"}, - "name": "SQ_IMG_RSRC_WORD3", - "type_ref": "SQ_IMG_RSRC_WORD3_cik" - }, - { - "chips": ["gfx9"], - "map": {"at": 36636, "to": "mm"}, - "name": "SQ_IMG_RSRC_WORD3", - "type_ref": "SQ_IMG_RSRC_WORD3_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 36640, "to": "mm"}, - "name": "SQ_IMG_RSRC_WORD4", - "type_ref": "SQ_IMG_RSRC_WORD4" - }, - { - "chips": ["gfx9"], - "map": {"at": 36640, "to": "mm"}, - "name": "SQ_IMG_RSRC_WORD4", - "type_ref": "SQ_IMG_RSRC_WORD4_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 36644, "to": "mm"}, - "name": "SQ_IMG_RSRC_WORD5", - "type_ref": "SQ_IMG_RSRC_WORD5" - }, - { - "chips": ["gfx9"], - "map": {"at": 36644, "to": "mm"}, - "name": "SQ_IMG_RSRC_WORD5", - "type_ref": "SQ_IMG_RSRC_WORD5_gfx9" - }, - { - "chips": ["gfx6"], - "map": {"at": 36648, "to": "mm"}, - "name": "SQ_IMG_RSRC_WORD6", - "type_ref": "SQ_IMG_RSRC_WORD6" - }, - { - "chips": ["gfx7"], - "map": {"at": 36648, "to": "mm"}, - "name": "SQ_IMG_RSRC_WORD6", - "type_ref": "SQ_IMG_RSRC_WORD6_cik" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 36648, "to": "mm"}, - "name": "SQ_IMG_RSRC_WORD6", - "type_ref": "SQ_IMG_RSRC_WORD6_vi" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 36652, "to": "mm"}, - "name": "SQ_IMG_RSRC_WORD7" - }, - { - "chips": ["gfx7", "gfx6"], - "map": {"at": 36656, "to": "mm"}, - "name": "SQ_IMG_SAMP_WORD0", - "type_ref": "SQ_IMG_SAMP_WORD0" - }, - { - "chips": ["fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 36656, "to": "mm"}, - "name": "SQ_IMG_SAMP_WORD0", - "type_ref": "SQ_IMG_SAMP_WORD0_vi" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 36660, "to": "mm"}, - "name": "SQ_IMG_SAMP_WORD1", - "type_ref": "SQ_IMG_SAMP_WORD1" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 36664, "to": "mm"}, - "name": "SQ_IMG_SAMP_WORD2", - "type_ref": "SQ_IMG_SAMP_WORD2" - }, - { - "chips": ["gfx9"], - "map": {"at": 36664, "to": "mm"}, - "name": "SQ_IMG_SAMP_WORD2", - "type_ref": "SQ_IMG_SAMP_WORD2_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 36668, "to": "mm"}, - "name": "SQ_IMG_SAMP_WORD3", - "type_ref": "SQ_IMG_SAMP_WORD3" - }, - { - "chips": ["gfx9"], - "map": {"at": 36668, "to": "mm"}, - "name": "SQ_IMG_SAMP_WORD3", - "type_ref": "SQ_IMG_SAMP_WORD3_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214788, "to": "mm"}, - "name": "SQ_PERFCOUNTER0_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214784, "to": "mm"}, - "name": "SQ_PERFCOUNTER0_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 222976, "to": "mm"}, - "name": "SQ_PERFCOUNTER0_SELECT", - "type_ref": "SQ_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214868, "to": "mm"}, - "name": "SQ_PERFCOUNTER10_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214864, "to": "mm"}, - "name": "SQ_PERFCOUNTER10_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 223016, "to": "mm"}, - "name": "SQ_PERFCOUNTER10_SELECT", - "type_ref": "SQ_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214876, "to": "mm"}, - "name": "SQ_PERFCOUNTER11_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214872, "to": "mm"}, - "name": "SQ_PERFCOUNTER11_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 223020, "to": "mm"}, - "name": "SQ_PERFCOUNTER11_SELECT", - "type_ref": "SQ_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214884, "to": "mm"}, - "name": "SQ_PERFCOUNTER12_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214880, "to": "mm"}, - "name": "SQ_PERFCOUNTER12_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 223024, "to": "mm"}, - "name": "SQ_PERFCOUNTER12_SELECT", - "type_ref": "SQ_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214892, "to": "mm"}, - "name": "SQ_PERFCOUNTER13_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214888, "to": "mm"}, - "name": "SQ_PERFCOUNTER13_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 223028, "to": "mm"}, - "name": "SQ_PERFCOUNTER13_SELECT", - "type_ref": "SQ_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214900, "to": "mm"}, - "name": "SQ_PERFCOUNTER14_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214896, "to": "mm"}, - "name": "SQ_PERFCOUNTER14_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 223032, "to": "mm"}, - "name": "SQ_PERFCOUNTER14_SELECT", - "type_ref": "SQ_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214908, "to": "mm"}, - "name": "SQ_PERFCOUNTER15_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214904, "to": "mm"}, - "name": "SQ_PERFCOUNTER15_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 223036, "to": "mm"}, - "name": "SQ_PERFCOUNTER15_SELECT", - "type_ref": "SQ_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214796, "to": "mm"}, - "name": "SQ_PERFCOUNTER1_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214792, "to": "mm"}, - "name": "SQ_PERFCOUNTER1_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 222980, "to": "mm"}, - "name": "SQ_PERFCOUNTER1_SELECT", - "type_ref": "SQ_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214804, "to": "mm"}, - "name": "SQ_PERFCOUNTER2_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214800, "to": "mm"}, - "name": "SQ_PERFCOUNTER2_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 222984, "to": "mm"}, - "name": "SQ_PERFCOUNTER2_SELECT", - "type_ref": "SQ_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214812, "to": "mm"}, - "name": "SQ_PERFCOUNTER3_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214808, "to": "mm"}, - "name": "SQ_PERFCOUNTER3_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 222988, "to": "mm"}, - "name": "SQ_PERFCOUNTER3_SELECT", - "type_ref": "SQ_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214820, "to": "mm"}, - "name": "SQ_PERFCOUNTER4_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214816, "to": "mm"}, - "name": "SQ_PERFCOUNTER4_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 222992, "to": "mm"}, - "name": "SQ_PERFCOUNTER4_SELECT", - "type_ref": "SQ_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214828, "to": "mm"}, - "name": "SQ_PERFCOUNTER5_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214824, "to": "mm"}, - "name": "SQ_PERFCOUNTER5_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 222996, "to": "mm"}, - "name": "SQ_PERFCOUNTER5_SELECT", - "type_ref": "SQ_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214836, "to": "mm"}, - "name": "SQ_PERFCOUNTER6_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214832, "to": "mm"}, - "name": "SQ_PERFCOUNTER6_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 223000, "to": "mm"}, - "name": "SQ_PERFCOUNTER6_SELECT", - "type_ref": "SQ_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214844, "to": "mm"}, - "name": "SQ_PERFCOUNTER7_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214840, "to": "mm"}, - "name": "SQ_PERFCOUNTER7_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 223004, "to": "mm"}, - "name": "SQ_PERFCOUNTER7_SELECT", - "type_ref": "SQ_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214852, "to": "mm"}, - "name": "SQ_PERFCOUNTER8_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214848, "to": "mm"}, - "name": "SQ_PERFCOUNTER8_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 223008, "to": "mm"}, - "name": "SQ_PERFCOUNTER8_SELECT", - "type_ref": "SQ_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214860, "to": "mm"}, - "name": "SQ_PERFCOUNTER9_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 214856, "to": "mm"}, - "name": "SQ_PERFCOUNTER9_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 223012, "to": "mm"}, - "name": "SQ_PERFCOUNTER9_SELECT", - "type_ref": "SQ_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 223104, "to": "mm"}, - "name": "SQ_PERFCOUNTER_CTRL", - "type_ref": "SQ_PERFCOUNTER_CTRL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 223112, "to": "mm"}, - "name": "SQ_PERFCOUNTER_CTRL2", - "type_ref": "SQ_PERFCOUNTER_CTRL2" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 223108, "to": "mm"}, - "name": "SQ_PERFCOUNTER_MASK", - "type_ref": "SQ_PERFCOUNTER_MASK" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 35852, "to": "mm"}, - "name": "SQ_RANDOM_WAVE_PRI", - "type_ref": "SQ_RANDOM_WAVE_PRI" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 3664, "to": "mm"}, - "name": "SRBM_STATUS", - "type_ref": "SRBM_STATUS" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 3660, "to": "mm"}, - "name": "SRBM_STATUS2", - "type_ref": "SRBM_STATUS2" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 3668, "to": "mm"}, - "name": "SRBM_STATUS3", - "type_ref": "SRBM_STATUS3" - }, - { - "chips": ["gfx9", "stoney"], - "map": {"at": 165724, "to": "mm"}, - "name": "SX_BLEND_OPT_CONTROL", - "type_ref": "SX_BLEND_OPT_CONTROL" - }, - { - "chips": ["gfx9", "stoney"], - "map": {"at": 165720, "to": "mm"}, - "name": "SX_BLEND_OPT_EPSILON", - "type_ref": "SX_BLEND_OPT_EPSILON" - }, - { - "chips": ["gfx9", "stoney"], - "map": {"at": 165728, "to": "mm"}, - "name": "SX_MRT0_BLEND_OPT", - "type_ref": "SX_MRT0_BLEND_OPT" - }, - { - "chips": ["gfx9", "stoney"], - "map": {"at": 165732, "to": "mm"}, - "name": "SX_MRT1_BLEND_OPT", - "type_ref": "SX_MRT0_BLEND_OPT" - }, - { - "chips": ["gfx9", "stoney"], - "map": {"at": 165736, "to": "mm"}, - "name": "SX_MRT2_BLEND_OPT", - "type_ref": "SX_MRT0_BLEND_OPT" - }, - { - "chips": ["gfx9", "stoney"], - "map": {"at": 165740, "to": "mm"}, - "name": "SX_MRT3_BLEND_OPT", - "type_ref": "SX_MRT0_BLEND_OPT" - }, - { - "chips": ["gfx9", "stoney"], - "map": {"at": 165744, "to": "mm"}, - "name": "SX_MRT4_BLEND_OPT", - "type_ref": "SX_MRT0_BLEND_OPT" - }, - { - "chips": ["gfx9", "stoney"], - "map": {"at": 165748, "to": "mm"}, - "name": "SX_MRT5_BLEND_OPT", - "type_ref": "SX_MRT0_BLEND_OPT" - }, - { - "chips": ["gfx9", "stoney"], - "map": {"at": 165752, "to": "mm"}, - "name": "SX_MRT6_BLEND_OPT", - "type_ref": "SX_MRT0_BLEND_OPT" - }, - { - "chips": ["gfx9", "stoney"], - "map": {"at": 165756, "to": "mm"}, - "name": "SX_MRT7_BLEND_OPT", - "type_ref": "SX_MRT0_BLEND_OPT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 215300, "to": "mm"}, - "name": "SX_PERFCOUNTER0_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 215296, "to": "mm"}, - "name": "SX_PERFCOUNTER0_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 223488, "to": "mm"}, - "name": "SX_PERFCOUNTER0_SELECT", - "type_ref": "SX_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 223504, "to": "mm"}, - "name": "SX_PERFCOUNTER0_SELECT1", - "type_ref": "SX_PERFCOUNTER0_SELECT1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 215308, "to": "mm"}, - "name": "SX_PERFCOUNTER1_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 215304, "to": "mm"}, - "name": "SX_PERFCOUNTER1_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 223492, "to": "mm"}, - "name": "SX_PERFCOUNTER1_SELECT", - "type_ref": "SX_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 223508, "to": "mm"}, - "name": "SX_PERFCOUNTER1_SELECT1", - "type_ref": "SX_PERFCOUNTER0_SELECT1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 215316, "to": "mm"}, - "name": "SX_PERFCOUNTER2_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 215312, "to": "mm"}, - "name": "SX_PERFCOUNTER2_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 223496, "to": "mm"}, - "name": "SX_PERFCOUNTER2_SELECT", - "type_ref": "SX_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 215324, "to": "mm"}, - "name": "SX_PERFCOUNTER3_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 215320, "to": "mm"}, - "name": "SX_PERFCOUNTER3_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 223500, "to": "mm"}, - "name": "SX_PERFCOUNTER3_SELECT", - "type_ref": "SX_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx9", "stoney"], - "map": {"at": 165716, "to": "mm"}, - "name": "SX_PS_DOWNCONVERT", - "type_ref": "SX_PS_DOWNCONVERT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 163968, "to": "mm"}, - "name": "TA_BC_BASE_ADDR" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 163972, "to": "mm"}, - "name": "TA_BC_BASE_ADDR_HI", - "type_ref": "TA_CS_BC_BASE_ADDR_HI" - }, - { - "chips": ["gfx6"], - "map": {"at": 38156, "to": "mm"}, - "name": "TA_CS_BC_BASE_ADDR" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 200192, "to": "mm"}, - "name": "TA_CS_BC_BASE_ADDR" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 200196, "to": "mm"}, - "name": "TA_CS_BC_BASE_ADDR_HI", - "type_ref": "TA_CS_BC_BASE_ADDR_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 215812, "to": "mm"}, - "name": "TA_PERFCOUNTER0_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 215808, "to": "mm"}, - "name": "TA_PERFCOUNTER0_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 224000, "to": "mm"}, - "name": "TA_PERFCOUNTER0_SELECT", - "type_ref": "TA_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 224004, "to": "mm"}, - "name": "TA_PERFCOUNTER0_SELECT1", - "type_ref": "TA_PERFCOUNTER0_SELECT1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 215820, "to": "mm"}, - "name": "TA_PERFCOUNTER1_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 215816, "to": "mm"}, - "name": "TA_PERFCOUNTER1_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 224008, "to": "mm"}, - "name": "TA_PERFCOUNTER1_SELECT", - "type_ref": "TA_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 216644, "to": "mm"}, - "name": "TCA_PERFCOUNTER0_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 216640, "to": "mm"}, - "name": "TCA_PERFCOUNTER0_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 224832, "to": "mm"}, - "name": "TCA_PERFCOUNTER0_SELECT", - "type_ref": "IA_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 224836, "to": "mm"}, - "name": "TCA_PERFCOUNTER0_SELECT1", - "type_ref": "TCC_PERFCOUNTER0_SELECT1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 216652, "to": "mm"}, - "name": "TCA_PERFCOUNTER1_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 216648, "to": "mm"}, - "name": "TCA_PERFCOUNTER1_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 224840, "to": "mm"}, - "name": "TCA_PERFCOUNTER1_SELECT", - "type_ref": "IA_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 224844, "to": "mm"}, - "name": "TCA_PERFCOUNTER1_SELECT1", - "type_ref": "TCC_PERFCOUNTER0_SELECT1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 216660, "to": "mm"}, - "name": "TCA_PERFCOUNTER2_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 216656, "to": "mm"}, - "name": "TCA_PERFCOUNTER2_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 224848, "to": "mm"}, - "name": "TCA_PERFCOUNTER2_SELECT", - "type_ref": "IA_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 216668, "to": "mm"}, - "name": "TCA_PERFCOUNTER3_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 216664, "to": "mm"}, - "name": "TCA_PERFCOUNTER3_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 224852, "to": "mm"}, - "name": "TCA_PERFCOUNTER3_SELECT", - "type_ref": "IA_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 216580, "to": "mm"}, - "name": "TCC_PERFCOUNTER0_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 216576, "to": "mm"}, - "name": "TCC_PERFCOUNTER0_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 224768, "to": "mm"}, - "name": "TCC_PERFCOUNTER0_SELECT", - "type_ref": "IA_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 224772, "to": "mm"}, - "name": "TCC_PERFCOUNTER0_SELECT1", - "type_ref": "TCC_PERFCOUNTER0_SELECT1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 216588, "to": "mm"}, - "name": "TCC_PERFCOUNTER1_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 216584, "to": "mm"}, - "name": "TCC_PERFCOUNTER1_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 224776, "to": "mm"}, - "name": "TCC_PERFCOUNTER1_SELECT", - "type_ref": "IA_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 224780, "to": "mm"}, - "name": "TCC_PERFCOUNTER1_SELECT1", - "type_ref": "TCC_PERFCOUNTER0_SELECT1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 216596, "to": "mm"}, - "name": "TCC_PERFCOUNTER2_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 216592, "to": "mm"}, - "name": "TCC_PERFCOUNTER2_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 224784, "to": "mm"}, - "name": "TCC_PERFCOUNTER2_SELECT", - "type_ref": "IA_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 216604, "to": "mm"}, - "name": "TCC_PERFCOUNTER3_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 216600, "to": "mm"}, - "name": "TCC_PERFCOUNTER3_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 224788, "to": "mm"}, - "name": "TCC_PERFCOUNTER3_SELECT", - "type_ref": "IA_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 216324, "to": "mm"}, - "name": "TCP_PERFCOUNTER0_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 216320, "to": "mm"}, - "name": "TCP_PERFCOUNTER0_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 224512, "to": "mm"}, - "name": "TCP_PERFCOUNTER0_SELECT", - "type_ref": "IA_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 224516, "to": "mm"}, - "name": "TCP_PERFCOUNTER0_SELECT1", - "type_ref": "IA_PERFCOUNTER0_SELECT1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 216332, "to": "mm"}, - "name": "TCP_PERFCOUNTER1_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 216328, "to": "mm"}, - "name": "TCP_PERFCOUNTER1_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 224520, "to": "mm"}, - "name": "TCP_PERFCOUNTER1_SELECT", - "type_ref": "IA_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 224524, "to": "mm"}, - "name": "TCP_PERFCOUNTER1_SELECT1", - "type_ref": "IA_PERFCOUNTER0_SELECT1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 216340, "to": "mm"}, - "name": "TCP_PERFCOUNTER2_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 216336, "to": "mm"}, - "name": "TCP_PERFCOUNTER2_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 224528, "to": "mm"}, - "name": "TCP_PERFCOUNTER2_SELECT", - "type_ref": "IA_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 216348, "to": "mm"}, - "name": "TCP_PERFCOUNTER3_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 216344, "to": "mm"}, - "name": "TCP_PERFCOUNTER3_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 224532, "to": "mm"}, - "name": "TCP_PERFCOUNTER3_SELECT", - "type_ref": "IA_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 216068, "to": "mm"}, - "name": "TD_PERFCOUNTER0_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 216064, "to": "mm"}, - "name": "TD_PERFCOUNTER0_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 224256, "to": "mm"}, - "name": "TD_PERFCOUNTER0_SELECT", - "type_ref": "TA_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 224260, "to": "mm"}, - "name": "TD_PERFCOUNTER0_SELECT1", - "type_ref": "TA_PERFCOUNTER0_SELECT1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 216076, "to": "mm"}, - "name": "TD_PERFCOUNTER1_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 216072, "to": "mm"}, - "name": "TD_PERFCOUNTER1_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 224264, "to": "mm"}, - "name": "TD_PERFCOUNTER1_SELECT", - "type_ref": "TA_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 35012, "to": "mm"}, - "name": "VGT_CACHE_INVALIDATION", - "type_ref": "VGT_CACHE_INVALIDATION" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 166772, "to": "mm"}, - "name": "VGT_DISPATCH_DRAW_INDEX" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165864, "to": "mm"}, - "name": "VGT_DMA_BASE" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 165860, "to": "mm"}, - "name": "VGT_DMA_BASE_HI", - "type_ref": "VGT_DMA_BASE_HI" - }, - { - "chips": ["gfx9"], - "map": {"at": 165860, "to": "mm"}, - "name": "VGT_DMA_BASE_HI", - "type_ref": "VGT_DMA_BASE_HI_gfx9" - }, - { - "chips": ["gfx9"], - "map": {"at": 166812, "to": "mm"}, - "name": "VGT_DMA_EVENT_INITIATOR", - "type_ref": "VGT_EVENT_INITIATOR_gfx9" - }, - { - "chips": ["gfx6"], - "map": {"at": 166524, "to": "mm"}, - "name": "VGT_DMA_INDEX_TYPE", - "type_ref": "VGT_DMA_INDEX_TYPE" - }, - { - "chips": ["gfx7"], - "map": {"at": 166524, "to": "mm"}, - "name": "VGT_DMA_INDEX_TYPE", - "type_ref": "VGT_DMA_INDEX_TYPE_cik" - }, - { - "chips": ["fiji", "stoney", "gfx8"], - "map": {"at": 166524, "to": "mm"}, - "name": "VGT_DMA_INDEX_TYPE", - "type_ref": "VGT_DMA_INDEX_TYPE_vi" - }, - { - "chips": ["gfx9"], - "map": {"at": 166524, "to": "mm"}, - "name": "VGT_DMA_INDEX_TYPE", - "type_ref": "VGT_DMA_INDEX_TYPE_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166520, "to": "mm"}, - "name": "VGT_DMA_MAX_SIZE" - }, - { - "chips": ["gfx9", "gfx6"], - "map": {"at": 166536, "to": "mm"}, - "name": "VGT_DMA_NUM_INSTANCES" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166516, "to": "mm"}, - "name": "VGT_DMA_SIZE" - }, - { - "chips": ["gfx6"], - "map": {"at": 165872, "to": "mm"}, - "name": "VGT_DRAW_INITIATOR", - "type_ref": "VGT_DRAW_INITIATOR" - }, - { - "chips": ["gfx7", "fiji", "stoney", "gfx8"], - "map": {"at": 165872, "to": "mm"}, - "name": "VGT_DRAW_INITIATOR", - "type_ref": "VGT_DRAW_INITIATOR_cik" - }, - { - "chips": ["gfx9"], - "map": {"at": 165872, "to": "mm"}, - "name": "VGT_DRAW_INITIATOR", - "type_ref": "VGT_DRAW_INITIATOR_gfx9" - }, - { - "chips": ["gfx9"], - "map": {"at": 166552, "to": "mm"}, - "name": "VGT_DRAW_PAYLOAD_CNTL", - "type_ref": "VGT_DRAW_PAYLOAD_CNTL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166480, "to": "mm"}, - "name": "VGT_ENHANCE" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166572, "to": "mm"}, - "name": "VGT_ESGS_RING_ITEMSIZE", - "type_ref": "VGT_ESGS_RING_ITEMSIZE" - }, - { - "chips": ["gfx6"], - "map": {"at": 35016, "to": "mm"}, - "name": "VGT_ESGS_RING_SIZE" - }, - { - "chips": ["gfx7", "fiji", "stoney", "gfx8"], - "map": {"at": 198912, "to": "mm"}, - "name": "VGT_ESGS_RING_SIZE" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166488, "to": "mm"}, - "name": "VGT_ES_PER_GS", - "type_ref": "VGT_ES_PER_GS" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 165880, "to": "mm"}, - "name": "VGT_EVENT_ADDRESS_REG", - "type_ref": "VGT_EVENT_ADDRESS_REG" - }, - { - "chips": ["gfx6", "gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 166544, "to": "mm"}, - "name": "VGT_EVENT_INITIATOR", - "type_ref": "VGT_EVENT_INITIATOR" - }, - { - "chips": ["gfx9"], - "map": {"at": 166544, "to": "mm"}, - "name": "VGT_EVENT_INITIATOR", - "type_ref": "VGT_EVENT_INITIATOR_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166576, "to": "mm"}, - "name": "VGT_GSVS_RING_ITEMSIZE", - "type_ref": "VGT_ESGS_RING_ITEMSIZE" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166496, "to": "mm"}, - "name": "VGT_GSVS_RING_OFFSET_1", - "type_ref": "VGT_GSVS_RING_OFFSET_1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166500, "to": "mm"}, - "name": "VGT_GSVS_RING_OFFSET_2", - "type_ref": "VGT_GSVS_RING_OFFSET_1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166504, "to": "mm"}, - "name": "VGT_GSVS_RING_OFFSET_3", - "type_ref": "VGT_GSVS_RING_OFFSET_1" - }, - { - "chips": ["gfx6"], - "map": {"at": 35020, "to": "mm"}, - "name": "VGT_GSVS_RING_SIZE" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 198916, "to": "mm"}, - "name": "VGT_GSVS_RING_SIZE" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166800, "to": "mm"}, - "name": "VGT_GS_INSTANCE_CNT", - "type_ref": "VGT_GS_INSTANCE_CNT" - }, - { - "chips": ["gfx9"], - "map": {"at": 166548, "to": "mm"}, - "name": "VGT_GS_MAX_PRIMS_PER_SUBGROUP", - "type_ref": "VGT_GS_MAX_PRIMS_PER_SUBGROUP" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166712, "to": "mm"}, - "name": "VGT_GS_MAX_VERT_OUT", - "type_ref": "VGT_GS_MAX_VERT_OUT" - }, - { - "chips": ["gfx6"], - "map": {"at": 166464, "to": "mm"}, - "name": "VGT_GS_MODE", - "type_ref": "VGT_GS_MODE" - }, - { - "chips": ["gfx7"], - "map": {"at": 166464, "to": "mm"}, - "name": "VGT_GS_MODE", - "type_ref": "VGT_GS_MODE_cik" - }, - { - "chips": ["fiji", "stoney", "gfx8"], - "map": {"at": 166464, "to": "mm"}, - "name": "VGT_GS_MODE", - "type_ref": "VGT_GS_MODE_vi" - }, - { - "chips": ["gfx9"], - "map": {"at": 166464, "to": "mm"}, - "name": "VGT_GS_MODE", - "type_ref": "VGT_GS_MODE_gfx9" - }, - { - "chips": ["gfx7", "fiji", "stoney", "gfx8"], - "map": {"at": 166468, "to": "mm"}, - "name": "VGT_GS_ONCHIP_CNTL", - "type_ref": "VGT_GS_ONCHIP_CNTL" - }, - { - "chips": ["gfx9"], - "map": {"at": 166468, "to": "mm"}, - "name": "VGT_GS_ONCHIP_CNTL", - "type_ref": "VGT_GS_ONCHIP_CNTL_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166508, "to": "mm"}, - "name": "VGT_GS_OUT_PRIM_TYPE", - "type_ref": "VGT_GS_OUT_PRIM_TYPE" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166484, "to": "mm"}, - "name": "VGT_GS_PER_ES", - "type_ref": "VGT_GS_PER_ES" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166492, "to": "mm"}, - "name": "VGT_GS_PER_VS", - "type_ref": "VGT_GS_PER_VS" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 35028, "to": "mm"}, - "name": "VGT_GS_VERTEX_REUSE", - "type_ref": "VGT_GS_VERTEX_REUSE" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166748, "to": "mm"}, - "name": "VGT_GS_VERT_ITEMSIZE", - "type_ref": "VGT_ESGS_RING_ITEMSIZE" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166752, "to": "mm"}, - "name": "VGT_GS_VERT_ITEMSIZE_1", - "type_ref": "VGT_ESGS_RING_ITEMSIZE" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166756, "to": "mm"}, - "name": "VGT_GS_VERT_ITEMSIZE_2", - "type_ref": "VGT_ESGS_RING_ITEMSIZE" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166760, "to": "mm"}, - "name": "VGT_GS_VERT_ITEMSIZE_3", - "type_ref": "VGT_ESGS_RING_ITEMSIZE" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166424, "to": "mm"}, - "name": "VGT_HOS_MAX_TESS_LEVEL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166428, "to": "mm"}, - "name": "VGT_HOS_MIN_TESS_LEVEL" - }, - { - "chips": ["gfx6"], - "map": {"at": 35248, "to": "mm"}, - "name": "VGT_HS_OFFCHIP_PARAM", - "type_ref": "VGT_HS_OFFCHIP_PARAM" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 198972, "to": "mm"}, - "name": "VGT_HS_OFFCHIP_PARAM", - "type_ref": "VGT_HS_OFFCHIP_PARAM_cik" - }, - { - "chips": ["gfx6"], - "map": {"at": 165876, "to": "mm"}, - "name": "VGT_IMMED_DATA" - }, - { - "chips": ["gfx6"], - "map": {"at": 35164, "to": "mm"}, - "name": "VGT_INDEX_TYPE", - "type_ref": "VGT_INDEX_TYPE" - }, - { - "chips": ["gfx7", "fiji", "stoney", "gfx8"], - "map": {"at": 198924, "to": "mm"}, - "name": "VGT_INDEX_TYPE", - "type_ref": "VGT_INDEX_TYPE" - }, - { - "chips": ["gfx9"], - "map": {"at": 198924, "to": "mm"}, - "name": "VGT_INDEX_TYPE", - "type_ref": "VGT_INDEX_TYPE_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 164872, "to": "mm"}, - "name": "VGT_INDX_OFFSET" - }, - { - "chips": ["gfx9"], - "map": {"at": 198952, "to": "mm"}, - "name": "VGT_INDX_OFFSET" - }, - { - "chips": ["gfx9"], - "map": {"at": 199016, "to": "mm"}, - "name": "VGT_INSTANCE_BASE_ID" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166560, "to": "mm"}, - "name": "VGT_INSTANCE_STEP_RATE_0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166564, "to": "mm"}, - "name": "VGT_INSTANCE_STEP_RATE_1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166744, "to": "mm"}, - "name": "VGT_LS_HS_CONFIG", - "type_ref": "VGT_LS_HS_CONFIG" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 164864, "to": "mm"}, - "name": "VGT_MAX_VTX_INDX" - }, - { - "chips": ["gfx9"], - "map": {"at": 198944, "to": "mm"}, - "name": "VGT_MAX_VTX_INDX" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 164868, "to": "mm"}, - "name": "VGT_MIN_VTX_INDX" - }, - { - "chips": ["gfx9"], - "map": {"at": 198948, "to": "mm"}, - "name": "VGT_MIN_VTX_INDX" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 166548, "to": "mm"}, - "name": "VGT_MULTI_PRIM_IB_RESET_EN", - "type_ref": "VGT_MULTI_PRIM_IB_RESET_EN" - }, - { - "chips": ["gfx9"], - "map": {"at": 198956, "to": "mm"}, - "name": "VGT_MULTI_PRIM_IB_RESET_EN", - "type_ref": "VGT_MULTI_PRIM_IB_RESET_EN_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 164876, "to": "mm"}, - "name": "VGT_MULTI_PRIM_IB_RESET_INDX" - }, - { - "chips": ["gfx6"], - "map": {"at": 35184, "to": "mm"}, - "name": "VGT_NUM_INDICES" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 198960, "to": "mm"}, - "name": "VGT_NUM_INDICES" - }, - { - "chips": ["gfx6"], - "map": {"at": 35188, "to": "mm"}, - "name": "VGT_NUM_INSTANCES" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 198964, "to": "mm"}, - "name": "VGT_NUM_INSTANCES" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166416, "to": "mm"}, - "name": "VGT_OUTPUT_PATH_CNTL", - "type_ref": "VGT_OUTPUT_PATH_CNTL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 167004, "to": "mm"}, - "name": "VGT_OUT_DEALLOC_CNTL", - "type_ref": "VGT_OUT_DEALLOC_CNTL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 213572, "to": "mm"}, - "name": "VGT_PERFCOUNTER0_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 213568, "to": "mm"}, - "name": "VGT_PERFCOUNTER0_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 221744, "to": "mm"}, - "name": "VGT_PERFCOUNTER0_SELECT", - "type_ref": "IA_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 221760, "to": "mm"}, - "name": "VGT_PERFCOUNTER0_SELECT1", - "type_ref": "IA_PERFCOUNTER0_SELECT1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 213580, "to": "mm"}, - "name": "VGT_PERFCOUNTER1_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 213576, "to": "mm"}, - "name": "VGT_PERFCOUNTER1_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 221748, "to": "mm"}, - "name": "VGT_PERFCOUNTER1_SELECT", - "type_ref": "IA_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 221764, "to": "mm"}, - "name": "VGT_PERFCOUNTER1_SELECT1", - "type_ref": "IA_PERFCOUNTER0_SELECT1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 213588, "to": "mm"}, - "name": "VGT_PERFCOUNTER2_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 213584, "to": "mm"}, - "name": "VGT_PERFCOUNTER2_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 221752, "to": "mm"}, - "name": "VGT_PERFCOUNTER2_SELECT", - "type_ref": "IA_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 213596, "to": "mm"}, - "name": "VGT_PERFCOUNTER3_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 213592, "to": "mm"}, - "name": "VGT_PERFCOUNTER3_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 221756, "to": "mm"}, - "name": "VGT_PERFCOUNTER3_SELECT", - "type_ref": "IA_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 221776, "to": "mm"}, - "name": "VGT_PERFCOUNTER_SEID_MASK", - "type_ref": "VGT_PERFCOUNTER_SEID_MASK" - }, - { - "chips": ["gfx6"], - "map": {"at": 166532, "to": "mm"}, - "name": "VGT_PRIMITIVEID_EN", - "type_ref": "VGT_PRIMITIVEID_EN" - }, - { - "chips": ["gfx9"], - "map": {"at": 166532, "to": "mm"}, - "name": "VGT_PRIMITIVEID_EN", - "type_ref": "VGT_PRIMITIVEID_EN_gfx9" - }, - { - "chips": ["gfx9", "gfx6"], - "map": {"at": 166540, "to": "mm"}, - "name": "VGT_PRIMITIVEID_RESET" - }, - { - "chips": ["gfx6"], - "map": {"at": 35160, "to": "mm"}, - "name": "VGT_PRIMITIVE_TYPE", - "type_ref": "VGT_PRIMITIVE_TYPE" - }, - { - "chips": ["gfx7", "fiji", "stoney", "gfx8"], - "map": {"at": 198920, "to": "mm"}, - "name": "VGT_PRIMITIVE_TYPE", - "type_ref": "VGT_PRIMITIVE_TYPE_cik" - }, - { - "chips": ["gfx9"], - "map": {"at": 198920, "to": "mm"}, - "name": "VGT_PRIMITIVE_TYPE", - "type_ref": "VGT_PRIMITIVE_TYPE" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166580, "to": "mm"}, - "name": "VGT_REUSE_OFF", - "type_ref": "VGT_REUSE_OFF" - }, - { - "chips": ["gfx7", "gfx6"], - "map": {"at": 166740, "to": "mm"}, - "name": "VGT_SHADER_STAGES_EN", - "type_ref": "VGT_SHADER_STAGES_EN" - }, - { - "chips": ["fiji", "stoney", "gfx8"], - "map": {"at": 166740, "to": "mm"}, - "name": "VGT_SHADER_STAGES_EN", - "type_ref": "VGT_SHADER_STAGES_EN_vi" - }, - { - "chips": ["gfx9"], - "map": {"at": 166740, "to": "mm"}, - "name": "VGT_SHADER_STAGES_EN", - "type_ref": "VGT_SHADER_STAGES_EN_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166808, "to": "mm"}, - "name": "VGT_STRMOUT_BUFFER_CONFIG", - "type_ref": "VGT_STRMOUT_BUFFER_CONFIG" - }, - { - "chips": ["gfx6"], - "map": {"at": 35168, "to": "mm"}, - "name": "VGT_STRMOUT_BUFFER_FILLED_SIZE_0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 198928, "to": "mm"}, - "name": "VGT_STRMOUT_BUFFER_FILLED_SIZE_0" - }, - { - "chips": ["gfx6"], - "map": {"at": 35172, "to": "mm"}, - "name": "VGT_STRMOUT_BUFFER_FILLED_SIZE_1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 198932, "to": "mm"}, - "name": "VGT_STRMOUT_BUFFER_FILLED_SIZE_1" - }, - { - "chips": ["gfx6"], - "map": {"at": 35176, "to": "mm"}, - "name": "VGT_STRMOUT_BUFFER_FILLED_SIZE_2" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 198936, "to": "mm"}, - "name": "VGT_STRMOUT_BUFFER_FILLED_SIZE_2" - }, - { - "chips": ["gfx6"], - "map": {"at": 35180, "to": "mm"}, - "name": "VGT_STRMOUT_BUFFER_FILLED_SIZE_3" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 198940, "to": "mm"}, - "name": "VGT_STRMOUT_BUFFER_FILLED_SIZE_3" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166620, "to": "mm"}, - "name": "VGT_STRMOUT_BUFFER_OFFSET_0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166636, "to": "mm"}, - "name": "VGT_STRMOUT_BUFFER_OFFSET_1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166652, "to": "mm"}, - "name": "VGT_STRMOUT_BUFFER_OFFSET_2" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166668, "to": "mm"}, - "name": "VGT_STRMOUT_BUFFER_OFFSET_3" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166608, "to": "mm"}, - "name": "VGT_STRMOUT_BUFFER_SIZE_0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166624, "to": "mm"}, - "name": "VGT_STRMOUT_BUFFER_SIZE_1" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166640, "to": "mm"}, - "name": "VGT_STRMOUT_BUFFER_SIZE_2" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166656, "to": "mm"}, - "name": "VGT_STRMOUT_BUFFER_SIZE_3" - }, - { - "chips": ["gfx7", "fiji", "gfx6", "stoney", "gfx8"], - "map": {"at": 166804, "to": "mm"}, - "name": "VGT_STRMOUT_CONFIG", - "type_ref": "VGT_STRMOUT_CONFIG" - }, - { - "chips": ["gfx9"], - "map": {"at": 166804, "to": "mm"}, - "name": "VGT_STRMOUT_CONFIG", - "type_ref": "VGT_STRMOUT_CONFIG_gfx9" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166700, "to": "mm"}, - "name": "VGT_STRMOUT_DRAW_OPAQUE_BUFFER_FILLED_SIZE" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166696, "to": "mm"}, - "name": "VGT_STRMOUT_DRAW_OPAQUE_OFFSET" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166704, "to": "mm"}, - "name": "VGT_STRMOUT_DRAW_OPAQUE_VERTEX_STRIDE", - "type_ref": "VGT_STRMOUT_DRAW_OPAQUE_VERTEX_STRIDE" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166612, "to": "mm"}, - "name": "VGT_STRMOUT_VTX_STRIDE_0", - "type_ref": "VGT_STRMOUT_VTX_STRIDE_0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166628, "to": "mm"}, - "name": "VGT_STRMOUT_VTX_STRIDE_1", - "type_ref": "VGT_STRMOUT_VTX_STRIDE_0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166644, "to": "mm"}, - "name": "VGT_STRMOUT_VTX_STRIDE_2", - "type_ref": "VGT_STRMOUT_VTX_STRIDE_0" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166660, "to": "mm"}, - "name": "VGT_STRMOUT_VTX_STRIDE_3", - "type_ref": "VGT_STRMOUT_VTX_STRIDE_0" - }, - { - "chips": ["stoney", "gfx8"], - "map": {"at": 166736, "to": "mm"}, - "name": "VGT_TESS_DISTRIBUTION", - "type_ref": "VGT_TESS_DISTRIBUTION" - }, - { - "chips": ["fiji", "gfx9"], - "map": {"at": 166736, "to": "mm"}, - "name": "VGT_TESS_DISTRIBUTION", - "type_ref": "VGT_TESS_DISTRIBUTION_fiji" - }, - { - "chips": ["gfx6"], - "map": {"at": 35256, "to": "mm"}, - "name": "VGT_TF_MEMORY_BASE" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 198976, "to": "mm"}, - "name": "VGT_TF_MEMORY_BASE" - }, - { - "chips": ["gfx9"], - "map": {"at": 198980, "to": "mm"}, - "name": "VGT_TF_MEMORY_BASE_HI", - "type_ref": "VGT_TF_MEMORY_BASE_HI" - }, - { - "chips": ["gfx6"], - "map": {"at": 166764, "to": "mm"}, - "name": "VGT_TF_PARAM", - "type_ref": "VGT_TF_PARAM" - }, - { - "chips": ["gfx7"], - "map": {"at": 166764, "to": "mm"}, - "name": "VGT_TF_PARAM", - "type_ref": "VGT_TF_PARAM_cik" - }, - { - "chips": ["stoney", "gfx8"], - "map": {"at": 166764, "to": "mm"}, - "name": "VGT_TF_PARAM", - "type_ref": "VGT_TF_PARAM_vi" - }, - { - "chips": ["fiji"], - "map": {"at": 166764, "to": "mm"}, - "name": "VGT_TF_PARAM", - "type_ref": "VGT_TF_PARAM_fiji" - }, - { - "chips": ["gfx9"], - "map": {"at": 166764, "to": "mm"}, - "name": "VGT_TF_PARAM", - "type_ref": "VGT_TF_PARAM_gfx9" - }, - { - "chips": ["gfx6"], - "map": {"at": 35208, "to": "mm"}, - "name": "VGT_TF_RING_SIZE", - "type_ref": "VGT_TF_RING_SIZE" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "stoney", "gfx8"], - "map": {"at": 198968, "to": "mm"}, - "name": "VGT_TF_RING_SIZE", - "type_ref": "VGT_TF_RING_SIZE" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 167000, "to": "mm"}, - "name": "VGT_VERTEX_REUSE_BLOCK_CNTL", - "type_ref": "VGT_VERTEX_REUSE_BLOCK_CNTL" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166584, "to": "mm"}, - "name": "VGT_VTX_CNT_EN", - "type_ref": "VGT_VTX_CNT_EN" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 34992, "to": "mm"}, - "name": "VGT_VTX_VECT_EJECT_REG", - "type_ref": "VGT_VTX_VECT_EJECT_REG" - }, - { - "chips": ["gfx9"], - "map": {"at": 198992, "to": "mm"}, - "name": "WD_CNTL_SB_BUF_BASE" - }, - { - "chips": ["gfx9"], - "map": {"at": 198996, "to": "mm"}, - "name": "WD_CNTL_SB_BUF_BASE_HI", - "type_ref": "VGT_TF_MEMORY_BASE_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 166528, "to": "mm"}, - "name": "WD_ENHANCE" - }, - { - "chips": ["gfx9"], - "map": {"at": 199000, "to": "mm"}, - "name": "WD_INDEX_BUF_BASE" - }, - { - "chips": ["gfx9"], - "map": {"at": 199004, "to": "mm"}, - "name": "WD_INDEX_BUF_BASE_HI", - "type_ref": "VGT_TF_MEMORY_BASE_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 213508, "to": "mm"}, - "name": "WD_PERFCOUNTER0_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 213504, "to": "mm"}, - "name": "WD_PERFCOUNTER0_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 221696, "to": "mm"}, - "name": "WD_PERFCOUNTER0_SELECT", - "type_ref": "WD_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 213516, "to": "mm"}, - "name": "WD_PERFCOUNTER1_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 213512, "to": "mm"}, - "name": "WD_PERFCOUNTER1_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 221700, "to": "mm"}, - "name": "WD_PERFCOUNTER1_SELECT", - "type_ref": "WD_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 213524, "to": "mm"}, - "name": "WD_PERFCOUNTER2_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 213520, "to": "mm"}, - "name": "WD_PERFCOUNTER2_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 221704, "to": "mm"}, - "name": "WD_PERFCOUNTER2_SELECT", - "type_ref": "WD_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 213532, "to": "mm"}, - "name": "WD_PERFCOUNTER3_HI" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 213528, "to": "mm"}, - "name": "WD_PERFCOUNTER3_LO" - }, - { - "chips": ["gfx7", "fiji", "gfx9", "gfx6", "stoney", "gfx8"], - "map": {"at": 221708, "to": "mm"}, - "name": "WD_PERFCOUNTER3_SELECT", - "type_ref": "WD_PERFCOUNTER0_SELECT" - }, - { - "chips": ["gfx9"], - "map": {"at": 198984, "to": "mm"}, - "name": "WD_POS_BUF_BASE" - }, - { - "chips": ["gfx9"], - "map": {"at": 198988, "to": "mm"}, - "name": "WD_POS_BUF_BASE_HI", - "type_ref": "VGT_TF_MEMORY_BASE_HI" - }, - { - "chips": ["gfx8"], - "map": {"at": 2, "to": "hw"}, - "name": "SQ_HW_REG_STATUS", - "type_ref": "SQ_WAVE_STATUS_vi" - }, - { - "chips": ["gfx8"], - "map": {"at": 3, "to": "hw"}, - "name": "SQ_HW_REG_TRAP_STS", - "type_ref": "SQ_WAVE_TRAP_STS" - }, - { - "chips": ["gfx8"], - "map": {"at": 4, "to": "hw"}, - "name": "SQ_HW_REG_HW_ID", - "type_ref": "SQ_WAVE_HW_ID_cik_vi" - }, - { - "chips": ["gfx8"], - "map": {"at": 5, "to": "hw"}, - "name": "SQ_HW_REG_GPR_ALLOC", - "type_ref": "SQ_WAVE_GPR_ALLOC" - }, - { - "chips": ["gfx8"], - "map": {"at": 6, "to": "hw"}, - "name": "SQ_HW_REG_LDS_ALLOC", - "type_ref": "SQ_WAVE_LDS_ALLOC" - }, - { - "chips": ["gfx8"], - "map": {"at": 7, "to": "hw"}, - "name": "SQ_HW_REG_IB_STS", - "type_ref": "SQ_WAVE_IB_STS_cik_vi" - } - ], - "register_types": { - "CB_BLEND0_CONTROL": { - "fields": [ - {"bits": [0, 4], "enum_ref": "BlendOp", "name": "COLOR_SRCBLEND"}, - {"bits": [5, 7], "enum_ref": "CombFunc", "name": "COLOR_COMB_FCN"}, - {"bits": [8, 12], "enum_ref": "BlendOp", "name": "COLOR_DESTBLEND"}, - {"bits": [16, 20], "enum_ref": "BlendOp", "name": "ALPHA_SRCBLEND"}, - {"bits": [21, 23], "enum_ref": "CombFunc", "name": "ALPHA_COMB_FCN"}, - {"bits": [24, 28], "enum_ref": "BlendOp", "name": "ALPHA_DESTBLEND"}, - {"bits": [29, 29], "name": "SEPARATE_ALPHA_BLEND"}, - {"bits": [30, 30], "name": "ENABLE"}, - {"bits": [31, 31], "name": "DISABLE_ROP3"} - ] - }, - "CB_COLOR0_ATTRIB": { - "fields": [ - {"bits": [0, 4], "name": "TILE_MODE_INDEX"}, - {"bits": [5, 9], "name": "FMASK_TILE_MODE_INDEX"}, - {"bits": [10, 11], "name": "FMASK_BANK_HEIGHT"}, - {"bits": [12, 14], "name": "NUM_SAMPLES"}, - {"bits": [15, 16], "name": "NUM_FRAGMENTS"}, - {"bits": [17, 17], "name": "FORCE_DST_ALPHA_1"} - ] - }, - "CB_COLOR0_ATTRIB2": { - "fields": [ - {"bits": [0, 13], "name": "MIP0_HEIGHT"}, - {"bits": [14, 27], "name": "MIP0_WIDTH"}, - {"bits": [28, 31], "name": "MAX_MIP"} - ] - }, - "CB_COLOR0_ATTRIB_gfx9": { - "fields": [ - {"bits": [0, 10], "name": "MIP0_DEPTH"}, - {"bits": [11, 11], "name": "META_LINEAR"}, - {"bits": [12, 14], "name": "NUM_SAMPLES"}, - {"bits": [15, 16], "name": "NUM_FRAGMENTS"}, - {"bits": [17, 17], "name": "FORCE_DST_ALPHA_1"}, - {"bits": [18, 22], "name": "COLOR_SW_MODE"}, - {"bits": [23, 27], "name": "FMASK_SW_MODE"}, - {"bits": [28, 29], "enum_ref": "CB_COLOR0_ATTRIB__RESOURCE_TYPE", "name": "RESOURCE_TYPE"}, - {"bits": [30, 30], "name": "RB_ALIGNED"}, - {"bits": [31, 31], "name": "PIPE_ALIGNED"} - ] - }, - "CB_COLOR0_BASE_EXT": { - "fields": [ - {"bits": [0, 7], "name": "BASE_256B"} - ] - }, - "CB_COLOR0_CMASK_SLICE": { - "fields": [ - {"bits": [0, 13], "name": "TILE_MAX"} - ] - }, - "CB_COLOR0_DCC_CONTROL": { - "fields": [ - {"bits": [0, 0], "name": "OVERWRITE_COMBINER_DISABLE"}, - {"bits": [1, 1], "name": "KEY_CLEAR_ENABLE"}, - {"bits": [2, 3], "enum_ref": "CB_COLOR_DCC_CONTROL__MAX_UNCOMPRESSED_BLOCK_SIZE", "name": "MAX_UNCOMPRESSED_BLOCK_SIZE"}, - {"bits": [4, 4], "enum_ref": "CB_COLOR_DCC_CONTROL__MIN_COMPRESSED_BLOCK_SIZE", "name": "MIN_COMPRESSED_BLOCK_SIZE"}, - {"bits": [5, 6], "name": "MAX_COMPRESSED_BLOCK_SIZE"}, - {"bits": [7, 8], "name": "COLOR_TRANSFORM"}, - {"bits": [9, 9], "name": "INDEPENDENT_64B_BLOCKS"}, - {"bits": [10, 13], "name": "LOSSY_RGB_PRECISION"}, - {"bits": [14, 17], "name": "LOSSY_ALPHA_PRECISION"} - ] - }, - "CB_COLOR0_INFO": { - "fields": [ - {"bits": [0, 1], "enum_ref": "CB_COLOR0_INFO__ENDIAN", "name": "ENDIAN"}, - {"bits": [2, 6], "enum_ref": "CB_COLOR0_INFO__FORMAT", "name": "FORMAT"}, - {"bits": [7, 7], "name": "LINEAR_GENERAL"}, - {"bits": [8, 10], "enum_ref": "CB_COLOR0_INFO__NUMBER_TYPE", "name": "NUMBER_TYPE"}, - {"bits": [11, 12], "enum_ref": "CB_COLOR0_INFO__COMP_SWAP", "name": "COMP_SWAP"}, - {"bits": [13, 13], "name": "FAST_CLEAR"}, - {"bits": [14, 14], "name": "COMPRESSION"}, - {"bits": [15, 15], "name": "BLEND_CLAMP"}, - {"bits": [16, 16], "name": "BLEND_BYPASS"}, - {"bits": [17, 17], "name": "SIMPLE_FLOAT"}, - {"bits": [18, 18], "name": "ROUND_MODE"}, - {"bits": [19, 19], "name": "CMASK_IS_LINEAR"}, - {"bits": [20, 22], "enum_ref": "BlendOpt", "name": "BLEND_OPT_DONT_RD_DST"}, - {"bits": [23, 25], "enum_ref": "BlendOpt", "name": "BLEND_OPT_DISCARD_PIXEL"} - ] - }, - "CB_COLOR0_INFO_cik": { - "fields": [ - {"bits": [0, 1], "enum_ref": "CB_COLOR0_INFO__ENDIAN", "name": "ENDIAN"}, - {"bits": [2, 6], "enum_ref": "CB_COLOR0_INFO__FORMAT", "name": "FORMAT"}, - {"bits": [7, 7], "name": "LINEAR_GENERAL"}, - {"bits": [8, 10], "enum_ref": "CB_COLOR0_INFO__NUMBER_TYPE", "name": "NUMBER_TYPE"}, - {"bits": [11, 12], "enum_ref": "CB_COLOR0_INFO__COMP_SWAP", "name": "COMP_SWAP"}, - {"bits": [13, 13], "name": "FAST_CLEAR"}, - {"bits": [14, 14], "name": "COMPRESSION"}, - {"bits": [15, 15], "name": "BLEND_CLAMP"}, - {"bits": [16, 16], "name": "BLEND_BYPASS"}, - {"bits": [17, 17], "name": "SIMPLE_FLOAT"}, - {"bits": [18, 18], "name": "ROUND_MODE"}, - {"bits": [19, 19], "name": "CMASK_IS_LINEAR"}, - {"bits": [20, 22], "enum_ref": "BlendOpt", "name": "BLEND_OPT_DONT_RD_DST"}, - {"bits": [23, 25], "enum_ref": "BlendOpt", "name": "BLEND_OPT_DISCARD_PIXEL"}, - {"bits": [26, 26], "name": "FMASK_COMPRESSION_DISABLE"} - ] - }, - "CB_COLOR0_INFO_gfx9": { - "fields": [ - {"bits": [0, 1], "enum_ref": "CB_COLOR0_INFO__ENDIAN", "name": "ENDIAN"}, - {"bits": [2, 6], "enum_ref": "CB_COLOR0_INFO__FORMAT", "name": "FORMAT"}, - {"bits": [8, 10], "enum_ref": "CB_COLOR0_INFO__NUMBER_TYPE", "name": "NUMBER_TYPE"}, - {"bits": [11, 12], "enum_ref": "CB_COLOR0_INFO__COMP_SWAP", "name": "COMP_SWAP"}, - {"bits": [13, 13], "name": "FAST_CLEAR"}, - {"bits": [14, 14], "name": "COMPRESSION"}, - {"bits": [15, 15], "name": "BLEND_CLAMP"}, - {"bits": [16, 16], "name": "BLEND_BYPASS"}, - {"bits": [17, 17], "name": "SIMPLE_FLOAT"}, - {"bits": [18, 18], "name": "ROUND_MODE"}, - {"bits": [20, 22], "enum_ref": "BlendOpt", "name": "BLEND_OPT_DONT_RD_DST"}, - {"bits": [23, 25], "enum_ref": "BlendOpt", "name": "BLEND_OPT_DISCARD_PIXEL"}, - {"bits": [26, 26], "name": "FMASK_COMPRESSION_DISABLE"}, - {"bits": [27, 27], "name": "FMASK_COMPRESS_1FRAG_ONLY"}, - {"bits": [28, 28], "name": "DCC_ENABLE"}, - {"bits": [29, 30], "name": "CMASK_ADDR_TYPE"} - ] - }, - "CB_COLOR0_INFO_vi": { - "fields": [ - {"bits": [0, 1], "enum_ref": "CB_COLOR0_INFO__ENDIAN", "name": "ENDIAN"}, - {"bits": [2, 6], "enum_ref": "CB_COLOR0_INFO__FORMAT", "name": "FORMAT"}, - {"bits": [7, 7], "name": "LINEAR_GENERAL"}, - {"bits": [8, 10], "enum_ref": "CB_COLOR0_INFO__NUMBER_TYPE", "name": "NUMBER_TYPE"}, - {"bits": [11, 12], "enum_ref": "CB_COLOR0_INFO__COMP_SWAP", "name": "COMP_SWAP"}, - {"bits": [13, 13], "name": "FAST_CLEAR"}, - {"bits": [14, 14], "name": "COMPRESSION"}, - {"bits": [15, 15], "name": "BLEND_CLAMP"}, - {"bits": [16, 16], "name": "BLEND_BYPASS"}, - {"bits": [17, 17], "name": "SIMPLE_FLOAT"}, - {"bits": [18, 18], "name": "ROUND_MODE"}, - {"bits": [19, 19], "name": "CMASK_IS_LINEAR"}, - {"bits": [20, 22], "enum_ref": "BlendOpt", "name": "BLEND_OPT_DONT_RD_DST"}, - {"bits": [23, 25], "enum_ref": "BlendOpt", "name": "BLEND_OPT_DISCARD_PIXEL"}, - {"bits": [26, 26], "name": "FMASK_COMPRESSION_DISABLE"}, - {"bits": [27, 27], "name": "FMASK_COMPRESS_1FRAG_ONLY"}, - {"bits": [28, 28], "name": "DCC_ENABLE"}, - {"bits": [29, 30], "name": "CMASK_ADDR_TYPE"} - ] - }, - "CB_COLOR0_PITCH": { - "fields": [ - {"bits": [0, 10], "name": "TILE_MAX"} - ] - }, - "CB_COLOR0_PITCH_cik": { - "fields": [ - {"bits": [0, 10], "name": "TILE_MAX"}, - {"bits": [20, 30], "name": "FMASK_TILE_MAX"} - ] - }, - "CB_COLOR0_SLICE": { - "fields": [ - {"bits": [0, 21], "name": "TILE_MAX"} - ] - }, - "CB_COLOR0_VIEW": { - "fields": [ - {"bits": [0, 10], "name": "SLICE_START"}, - {"bits": [13, 23], "name": "SLICE_MAX"} - ] - }, - "CB_COLOR0_VIEW_gfx9": { - "fields": [ - {"bits": [0, 10], "name": "SLICE_START"}, - {"bits": [13, 23], "name": "SLICE_MAX"}, - {"bits": [24, 27], "name": "MIP_LEVEL"} - ] - }, - "CB_COLOR_CONTROL": { - "fields": [ - {"bits": [0, 0], "name": "DISABLE_DUAL_QUAD"}, - {"bits": [3, 3], "name": "DEGAMMA_ENABLE"}, - {"bits": [4, 6], "enum_ref": "CBMode", "name": "MODE"}, - {"bits": [16, 23], "enum_ref": "CB_COLOR_CONTROL__ROP3", "name": "ROP3"} - ] - }, - "CB_DCC_CONTROL": { - "fields": [ - {"bits": [0, 0], "name": "OVERWRITE_COMBINER_DISABLE"}, - {"bits": [1, 1], "name": "OVERWRITE_COMBINER_MRT_SHARING_DISABLE"}, - {"bits": [2, 6], "name": "OVERWRITE_COMBINER_WATERMARK"} - ] - }, - "CB_DCC_CONTROL_gfx9": { - "fields": [ - {"bits": [0, 0], "name": "OVERWRITE_COMBINER_DISABLE"}, - {"bits": [1, 1], "name": "OVERWRITE_COMBINER_MRT_SHARING_DISABLE"}, - {"bits": [2, 6], "name": "OVERWRITE_COMBINER_WATERMARK"}, - {"bits": [10, 10], "comment": "Raven2+", "name": "DISABLE_CONSTANT_ENCODE_REG"} - ] - }, - "CB_PERFCOUNTER0_SELECT": { - "fields": [ - {"bits": [0, 8], "name": "PERF_SEL"}, - {"bits": [10, 18], "name": "PERF_SEL1"}, - {"bits": [20, 23], "name": "CNTR_MODE"}, - {"bits": [24, 27], "name": "PERF_MODE1"}, - {"bits": [28, 31], "name": "PERF_MODE"} - ] - }, - "CB_PERFCOUNTER0_SELECT1": { - "fields": [ - {"bits": [0, 8], "name": "PERF_SEL2"}, - {"bits": [10, 18], "name": "PERF_SEL3"}, - {"bits": [24, 27], "name": "PERF_MODE3"}, - {"bits": [28, 31], "name": "PERF_MODE2"} - ] - }, - "CB_PERFCOUNTER_FILTER": { - "fields": [ - {"bits": [0, 0], "name": "OP_FILTER_ENABLE"}, - {"bits": [1, 3], "name": "OP_FILTER_SEL"}, - {"bits": [4, 4], "name": "FORMAT_FILTER_ENABLE"}, - {"bits": [5, 9], "name": "FORMAT_FILTER_SEL"}, - {"bits": [10, 10], "name": "CLEAR_FILTER_ENABLE"}, - {"bits": [11, 11], "name": "CLEAR_FILTER_SEL"}, - {"bits": [12, 12], "name": "MRT_FILTER_ENABLE"}, - {"bits": [13, 15], "name": "MRT_FILTER_SEL"}, - {"bits": [17, 17], "name": "NUM_SAMPLES_FILTER_ENABLE"}, - {"bits": [18, 20], "name": "NUM_SAMPLES_FILTER_SEL"}, - {"bits": [21, 21], "name": "NUM_FRAGMENTS_FILTER_ENABLE"}, - {"bits": [22, 23], "name": "NUM_FRAGMENTS_FILTER_SEL"} - ] - }, - "CB_SHADER_MASK": { - "fields": [ - {"bits": [0, 3], "name": "OUTPUT0_ENABLE"}, - {"bits": [4, 7], "name": "OUTPUT1_ENABLE"}, - {"bits": [8, 11], "name": "OUTPUT2_ENABLE"}, - {"bits": [12, 15], "name": "OUTPUT3_ENABLE"}, - {"bits": [16, 19], "name": "OUTPUT4_ENABLE"}, - {"bits": [20, 23], "name": "OUTPUT5_ENABLE"}, - {"bits": [24, 27], "name": "OUTPUT6_ENABLE"}, - {"bits": [28, 31], "name": "OUTPUT7_ENABLE"} - ] - }, - "CB_TARGET_MASK": { - "fields": [ - {"bits": [0, 3], "name": "TARGET0_ENABLE"}, - {"bits": [4, 7], "name": "TARGET1_ENABLE"}, - {"bits": [8, 11], "name": "TARGET2_ENABLE"}, - {"bits": [12, 15], "name": "TARGET3_ENABLE"}, - {"bits": [16, 19], "name": "TARGET4_ENABLE"}, - {"bits": [20, 23], "name": "TARGET5_ENABLE"}, - {"bits": [24, 27], "name": "TARGET6_ENABLE"}, - {"bits": [28, 31], "name": "TARGET7_ENABLE"} - ] - }, - "COHER_DEST_BASE_HI_0": { - "fields": [ - {"bits": [0, 7], "name": "DEST_BASE_HI_256B"} - ] - }, - "COMPUTE_DISPATCH_INITIATOR": { - "fields": [ - {"bits": [0, 0], "name": "COMPUTE_SHADER_EN"}, - {"bits": [1, 1], "name": "PARTIAL_TG_EN"}, - {"bits": [2, 2], "name": "FORCE_START_AT_000"}, - {"bits": [3, 3], "name": "ORDERED_APPEND_ENBL"} - ] - }, - "COMPUTE_DISPATCH_INITIATOR_cik": { - "fields": [ - {"bits": [0, 0], "name": "COMPUTE_SHADER_EN"}, - {"bits": [1, 1], "name": "PARTIAL_TG_EN"}, - {"bits": [2, 2], "name": "FORCE_START_AT_000"}, - {"bits": [3, 3], "name": "ORDERED_APPEND_ENBL"}, - {"bits": [4, 4], "name": "ORDERED_APPEND_MODE"}, - {"bits": [5, 5], "name": "USE_THREAD_DIMENSIONS"}, - {"bits": [6, 6], "name": "ORDER_MODE"}, - {"bits": [7, 9], "name": "DISPATCH_CACHE_CNTL"}, - {"bits": [10, 10], "name": "SCALAR_L1_INV_VOL"}, - {"bits": [11, 11], "name": "VECTOR_L1_INV_VOL"}, - {"bits": [12, 12], "name": "DATA_ATC"}, - {"bits": [14, 14], "name": "RESTORE"} - ] - }, - "COMPUTE_DISPATCH_INITIATOR_gfx9": { - "fields": [ - {"bits": [0, 0], "name": "COMPUTE_SHADER_EN"}, - {"bits": [1, 1], "name": "PARTIAL_TG_EN"}, - {"bits": [2, 2], "name": "FORCE_START_AT_000"}, - {"bits": [3, 3], "name": "ORDERED_APPEND_ENBL"}, - {"bits": [4, 4], "name": "ORDERED_APPEND_MODE"}, - {"bits": [5, 5], "name": "USE_THREAD_DIMENSIONS"}, - {"bits": [6, 6], "name": "ORDER_MODE"}, - {"bits": [10, 10], "name": "SCALAR_L1_INV_VOL"}, - {"bits": [11, 11], "name": "VECTOR_L1_INV_VOL"}, - {"bits": [12, 12], "name": "RESERVED"}, - {"bits": [14, 14], "name": "RESTORE"} - ] - }, - "COMPUTE_MISC_RESERVED": { - "fields": [ - {"bits": [0, 1], "name": "SEND_SEID"}, - {"bits": [2, 2], "name": "RESERVED2"}, - {"bits": [3, 3], "name": "RESERVED3"}, - {"bits": [4, 4], "name": "RESERVED4"} - ] - }, - "COMPUTE_MISC_RESERVED_vi": { - "fields": [ - {"bits": [0, 1], "name": "SEND_SEID"}, - {"bits": [2, 2], "name": "RESERVED2"}, - {"bits": [3, 3], "name": "RESERVED3"}, - {"bits": [4, 4], "name": "RESERVED4"}, - {"bits": [5, 16], "name": "WAVE_ID_BASE"} - ] - }, - "COMPUTE_NUM_THREAD_X": { - "fields": [ - {"bits": [0, 15], "name": "NUM_THREAD_FULL"}, - {"bits": [16, 31], "name": "NUM_THREAD_PARTIAL"} - ] - }, - "COMPUTE_PERFCOUNT_ENABLE": { - "fields": [ - {"bits": [0, 0], "name": "PERFCOUNT_ENABLE"} - ] - }, - "COMPUTE_PGM_HI": { - "fields": [ - {"bits": [0, 7], "name": "DATA"} - ] - }, - "COMPUTE_PGM_HI_cik": { - "fields": [ - {"bits": [0, 7], "name": "DATA"}, - {"bits": [8, 8], "name": "INST_ATC"} - ] - }, - "COMPUTE_PGM_RSRC1": { - "fields": [ - {"bits": [0, 5], "name": "VGPRS"}, - {"bits": [6, 9], "name": "SGPRS"}, - {"bits": [10, 11], "name": "PRIORITY"}, - {"bits": [12, 19], "enum_ref": "SPI_SHADER_PGM_RSRC1_PS__FLOAT_MODE", "name": "FLOAT_MODE"}, - {"bits": [20, 20], "name": "PRIV"}, - {"bits": [21, 21], "name": "DX10_CLAMP"}, - {"bits": [22, 22], "name": "DEBUG_MODE"}, - {"bits": [23, 23], "name": "IEEE_MODE"}, - {"bits": [24, 24], "name": "BULKY"}, - {"bits": [25, 25], "name": "CDBG_USER"} - ] - }, - "COMPUTE_PGM_RSRC1_gfx9": { - "fields": [ - {"bits": [0, 5], "name": "VGPRS"}, - {"bits": [6, 9], "name": "SGPRS"}, - {"bits": [10, 11], "name": "PRIORITY"}, - {"bits": [12, 19], "enum_ref": "SPI_SHADER_PGM_RSRC1_PS__FLOAT_MODE", "name": "FLOAT_MODE"}, - {"bits": [20, 20], "name": "PRIV"}, - {"bits": [21, 21], "name": "DX10_CLAMP"}, - {"bits": [22, 22], "name": "DEBUG_MODE"}, - {"bits": [23, 23], "name": "IEEE_MODE"}, - {"bits": [24, 24], "name": "BULKY"}, - {"bits": [25, 25], "name": "CDBG_USER"}, - {"bits": [26, 26], "name": "FP16_OVFL"} - ] - }, - "COMPUTE_PGM_RSRC2": { - "fields": [ - {"bits": [0, 0], "name": "SCRATCH_EN"}, - {"bits": [1, 5], "name": "USER_SGPR"}, - {"bits": [6, 6], "name": "TRAP_PRESENT"}, - {"bits": [7, 7], "name": "TGID_X_EN"}, - {"bits": [8, 8], "name": "TGID_Y_EN"}, - {"bits": [9, 9], "name": "TGID_Z_EN"}, - {"bits": [10, 10], "name": "TG_SIZE_EN"}, - {"bits": [11, 12], "name": "TIDIG_COMP_CNT"}, - {"bits": [15, 23], "name": "LDS_SIZE"}, - {"bits": [24, 30], "name": "EXCP_EN"} - ] - }, - "COMPUTE_PGM_RSRC2_cik": { - "fields": [ - {"bits": [0, 0], "name": "SCRATCH_EN"}, - {"bits": [1, 5], "name": "USER_SGPR"}, - {"bits": [6, 6], "name": "TRAP_PRESENT"}, - {"bits": [7, 7], "name": "TGID_X_EN"}, - {"bits": [8, 8], "name": "TGID_Y_EN"}, - {"bits": [9, 9], "name": "TGID_Z_EN"}, - {"bits": [10, 10], "name": "TG_SIZE_EN"}, - {"bits": [11, 12], "name": "TIDIG_COMP_CNT"}, - {"bits": [13, 14], "name": "EXCP_EN_MSB"}, - {"bits": [15, 23], "name": "LDS_SIZE"}, - {"bits": [24, 30], "name": "EXCP_EN"} - ] - }, - "COMPUTE_PGM_RSRC2_gfx9": { - "fields": [ - {"bits": [0, 0], "name": "SCRATCH_EN"}, - {"bits": [1, 5], "name": "USER_SGPR"}, - {"bits": [6, 6], "name": "TRAP_PRESENT"}, - {"bits": [7, 7], "name": "TGID_X_EN"}, - {"bits": [8, 8], "name": "TGID_Y_EN"}, - {"bits": [9, 9], "name": "TGID_Z_EN"}, - {"bits": [10, 10], "name": "TG_SIZE_EN"}, - {"bits": [11, 12], "name": "TIDIG_COMP_CNT"}, - {"bits": [13, 14], "name": "EXCP_EN_MSB"}, - {"bits": [15, 23], "name": "LDS_SIZE"}, - {"bits": [24, 30], "name": "EXCP_EN"}, - {"bits": [31, 31], "name": "SKIP_USGPR0"} - ] - }, - "COMPUTE_PIPELINESTAT_ENABLE": { - "fields": [ - {"bits": [0, 0], "name": "PIPELINESTAT_ENABLE"} - ] - }, - "COMPUTE_RELAUNCH": { - "fields": [ - {"bits": [0, 29], "name": "PAYLOAD"}, - {"bits": [30, 30], "name": "IS_EVENT"}, - {"bits": [31, 31], "name": "IS_STATE"} - ] - }, - "COMPUTE_RESOURCE_LIMITS": { - "fields": [ - {"bits": [0, 5], "name": "WAVES_PER_SH_SI"}, - {"bits": [12, 15], "name": "TG_PER_CU"}, - {"bits": [16, 21], "name": "LOCK_THRESHOLD"}, - {"bits": [22, 22], "name": "SIMD_DEST_CNTL"} - ] - }, - "COMPUTE_RESOURCE_LIMITS_cik": { - "fields": [ - {"bits": [0, 5], "name": "WAVES_PER_SH_SI"}, - {"bits": [0, 9], "comment": "CIK+", "name": "WAVES_PER_SH"}, - {"bits": [12, 15], "name": "TG_PER_CU"}, - {"bits": [16, 21], "name": "LOCK_THRESHOLD"}, - {"bits": [22, 22], "name": "SIMD_DEST_CNTL"}, - {"bits": [23, 23], "name": "FORCE_SIMD_DIST"}, - {"bits": [24, 26], "name": "CU_GROUP_COUNT"} - ] - }, - "COMPUTE_RESOURCE_LIMITS_gfx9": { - "fields": [ - {"bits": [0, 9], "name": "WAVES_PER_SH"}, - {"bits": [12, 15], "name": "TG_PER_CU"}, - {"bits": [16, 21], "name": "LOCK_THRESHOLD"}, - {"bits": [22, 22], "name": "SIMD_DEST_CNTL"}, - {"bits": [23, 23], "name": "FORCE_SIMD_DIST"}, - {"bits": [24, 26], "name": "CU_GROUP_COUNT"}, - {"bits": [27, 30], "name": "SIMD_DISABLE"} - ] - }, - "COMPUTE_STATIC_THREAD_MGMT_SE0": { - "fields": [ - {"bits": [0, 15], "name": "SH0_CU_EN"}, - {"bits": [16, 31], "name": "SH1_CU_EN"} - ] - }, - "COMPUTE_TMPRING_SIZE": { - "fields": [ - {"bits": [0, 11], "name": "WAVES"}, - {"bits": [12, 24], "name": "WAVESIZE"} - ] - }, - "COMPUTE_VMID": { - "fields": [ - {"bits": [0, 3], "name": "DATA"} - ] - }, - "COMPUTE_WAVE_RESTORE_ADDR_HI": { - "fields": [ - {"bits": [0, 15], "name": "ADDR"} - ] - }, - "COMPUTE_WAVE_RESTORE_CONTROL": { - "fields": [ - {"bits": [0, 0], "name": "ATC"}, - {"bits": [1, 2], "name": "MTYPE"} - ] - }, - "CPC_LATENCY_STATS_SELECT": { - "fields": [ - {"bits": [0, 2], "name": "INDEX"}, - {"bits": [30, 30], "name": "CLEAR"}, - {"bits": [31, 31], "name": "ENABLE"} - ] - }, - "CPF_LATENCY_STATS_SELECT": { - "fields": [ - {"bits": [0, 3], "name": "INDEX"}, - {"bits": [30, 30], "name": "CLEAR"}, - {"bits": [31, 31], "name": "ENABLE"} - ] - }, - "CPF_TC_PERF_COUNTER_WINDOW_SELECT": { - "fields": [ - {"bits": [0, 2], "name": "INDEX"}, - {"bits": [30, 30], "name": "ALWAYS"}, - {"bits": [31, 31], "name": "ENABLE"} - ] - }, - "CPG_LATENCY_STATS_SELECT": { - "fields": [ - {"bits": [0, 4], "name": "INDEX"}, - {"bits": [30, 30], "name": "CLEAR"}, - {"bits": [31, 31], "name": "ENABLE"} - ] - }, - "CPG_PERFCOUNTER0_SELECT": { - "fields": [ - {"bits": [0, 5], "name": "PERF_SEL"}, - {"bits": [10, 15], "name": "PERF_SEL1"}, - {"bits": [20, 23], "name": "CNTR_MODE"} - ] - }, - "CPG_PERFCOUNTER0_SELECT1": { - "fields": [ - {"bits": [0, 5], "name": "PERF_SEL2"}, - {"bits": [10, 15], "name": "PERF_SEL3"} - ] - }, - "CPG_PERFCOUNTER0_SELECT1_gfx9": { - "fields": [ - {"bits": [0, 9], "name": "CNTR_SEL2"}, - {"bits": [10, 19], "name": "CNTR_SEL3"}, - {"bits": [24, 27], "name": "CNTR_MODE3"}, - {"bits": [28, 31], "name": "CNTR_MODE2"} - ] - }, - "CPG_PERFCOUNTER0_SELECT_gfx9": { - "fields": [ - {"bits": [0, 9], "name": "CNTR_SEL0"}, - {"bits": [10, 19], "name": "CNTR_SEL1"}, - {"bits": [20, 23], "name": "SPM_MODE"}, - {"bits": [24, 27], "name": "CNTR_MODE1"}, - {"bits": [28, 31], "name": "CNTR_MODE0"} - ] - }, - "CPG_TC_PERF_COUNTER_WINDOW_SELECT": { - "fields": [ - {"bits": [0, 4], "name": "INDEX"}, - {"bits": [30, 30], "name": "ALWAYS"}, - {"bits": [31, 31], "name": "ENABLE"} - ] - }, - "CP_COHER_BASE_HI": { - "fields": [ - {"bits": [0, 7], "name": "COHER_BASE_HI_256B"} - ] - }, - "CP_COHER_CNTL": { - "fields": [ - {"bits": [0, 0], "name": "DEST_BASE_0_ENA"}, - {"bits": [1, 1], "name": "DEST_BASE_1_ENA"}, - {"bits": [6, 6], "name": "CB0_DEST_BASE_ENA"}, - {"bits": [7, 7], "name": "CB1_DEST_BASE_ENA"}, - {"bits": [8, 8], "name": "CB2_DEST_BASE_ENA"}, - {"bits": [9, 9], "name": "CB3_DEST_BASE_ENA"}, - {"bits": [10, 10], "name": "CB4_DEST_BASE_ENA"}, - {"bits": [11, 11], "name": "CB5_DEST_BASE_ENA"}, - {"bits": [12, 12], "name": "CB6_DEST_BASE_ENA"}, - {"bits": [13, 13], "name": "CB7_DEST_BASE_ENA"}, - {"bits": [14, 14], "name": "DB_DEST_BASE_ENA"}, - {"bits": [19, 19], "name": "DEST_BASE_2_ENA"}, - {"bits": [21, 21], "name": "DEST_BASE_3_ENA"}, - {"bits": [22, 22], "name": "TCL1_ACTION_ENA"}, - {"bits": [23, 23], "name": "TC_ACTION_ENA"}, - {"bits": [25, 25], "name": "CB_ACTION_ENA"}, - {"bits": [26, 26], "name": "DB_ACTION_ENA"}, - {"bits": [27, 27], "name": "SH_KCACHE_ACTION_ENA"}, - {"bits": [29, 29], "name": "SH_ICACHE_ACTION_ENA"} - ] - }, - "CP_COHER_CNTL_cik": { - "fields": [ - {"bits": [0, 0], "name": "DEST_BASE_0_ENA"}, - {"bits": [1, 1], "name": "DEST_BASE_1_ENA"}, - {"bits": [6, 6], "name": "CB0_DEST_BASE_ENA"}, - {"bits": [7, 7], "name": "CB1_DEST_BASE_ENA"}, - {"bits": [8, 8], "name": "CB2_DEST_BASE_ENA"}, - {"bits": [9, 9], "name": "CB3_DEST_BASE_ENA"}, - {"bits": [10, 10], "name": "CB4_DEST_BASE_ENA"}, - {"bits": [11, 11], "name": "CB5_DEST_BASE_ENA"}, - {"bits": [12, 12], "name": "CB6_DEST_BASE_ENA"}, - {"bits": [13, 13], "name": "CB7_DEST_BASE_ENA"}, - {"bits": [14, 14], "name": "DB_DEST_BASE_ENA"}, - {"bits": [15, 15], "name": "TCL1_VOL_ACTION_ENA"}, - {"bits": [16, 16], "comment": "not on VI", "name": "TC_VOL_ACTION_ENA"}, - {"bits": [18, 18], "name": "TC_WB_ACTION_ENA"}, - {"bits": [19, 19], "name": "DEST_BASE_2_ENA"}, - {"bits": [21, 21], "name": "DEST_BASE_3_ENA"}, - {"bits": [22, 22], "name": "TCL1_ACTION_ENA"}, - {"bits": [23, 23], "name": "TC_ACTION_ENA"}, - {"bits": [25, 25], "name": "CB_ACTION_ENA"}, - {"bits": [26, 26], "name": "DB_ACTION_ENA"}, - {"bits": [27, 27], "name": "SH_KCACHE_ACTION_ENA"}, - {"bits": [28, 28], "name": "SH_KCACHE_VOL_ACTION_ENA"}, - {"bits": [29, 29], "name": "SH_ICACHE_ACTION_ENA"} - ] - }, - "CP_COHER_CNTL_gfx9": { - "fields": [ - {"bits": [3, 3], "name": "TC_NC_ACTION_ENA"}, - {"bits": [4, 4], "name": "TC_WC_ACTION_ENA"}, - {"bits": [5, 5], "name": "TC_INV_METADATA_ACTION_ENA"}, - {"bits": [15, 15], "name": "TCL1_VOL_ACTION_ENA"}, - {"bits": [18, 18], "name": "TC_WB_ACTION_ENA"}, - {"bits": [22, 22], "name": "TCL1_ACTION_ENA"}, - {"bits": [23, 23], "name": "TC_ACTION_ENA"}, - {"bits": [25, 25], "name": "CB_ACTION_ENA"}, - {"bits": [26, 26], "name": "DB_ACTION_ENA"}, - {"bits": [27, 27], "name": "SH_KCACHE_ACTION_ENA"}, - {"bits": [28, 28], "name": "SH_KCACHE_VOL_ACTION_ENA"}, - {"bits": [29, 29], "name": "SH_ICACHE_ACTION_ENA"}, - {"bits": [30, 30], "name": "SH_KCACHE_WB_ACTION_ENA"} - ] - }, - "CP_COHER_CNTL_vi": { - "fields": [ - {"bits": [0, 0], "name": "DEST_BASE_0_ENA"}, - {"bits": [1, 1], "name": "DEST_BASE_1_ENA"}, - {"bits": [2, 2], "name": "TC_SD_ACTION_ENA"}, - {"bits": [3, 3], "name": "TC_NC_ACTION_ENA"}, - {"bits": [6, 6], "name": "CB0_DEST_BASE_ENA"}, - {"bits": [7, 7], "name": "CB1_DEST_BASE_ENA"}, - {"bits": [8, 8], "name": "CB2_DEST_BASE_ENA"}, - {"bits": [9, 9], "name": "CB3_DEST_BASE_ENA"}, - {"bits": [10, 10], "name": "CB4_DEST_BASE_ENA"}, - {"bits": [11, 11], "name": "CB5_DEST_BASE_ENA"}, - {"bits": [12, 12], "name": "CB6_DEST_BASE_ENA"}, - {"bits": [13, 13], "name": "CB7_DEST_BASE_ENA"}, - {"bits": [14, 14], "name": "DB_DEST_BASE_ENA"}, - {"bits": [15, 15], "name": "TCL1_VOL_ACTION_ENA"}, - {"bits": [18, 18], "name": "TC_WB_ACTION_ENA"}, - {"bits": [19, 19], "name": "DEST_BASE_2_ENA"}, - {"bits": [21, 21], "name": "DEST_BASE_3_ENA"}, - {"bits": [22, 22], "name": "TCL1_ACTION_ENA"}, - {"bits": [23, 23], "name": "TC_ACTION_ENA"}, - {"bits": [25, 25], "name": "CB_ACTION_ENA"}, - {"bits": [26, 26], "name": "DB_ACTION_ENA"}, - {"bits": [27, 27], "name": "SH_KCACHE_ACTION_ENA"}, - {"bits": [28, 28], "name": "SH_KCACHE_VOL_ACTION_ENA"}, - {"bits": [29, 29], "name": "SH_ICACHE_ACTION_ENA"}, - {"bits": [30, 30], "name": "SH_KCACHE_WB_ACTION_ENA"}, - {"bits": [31, 31], "name": "SH_SD_ACTION_ENA"} - ] - }, - "CP_COHER_SIZE_HI": { - "fields": [ - {"bits": [0, 7], "name": "COHER_SIZE_HI_256B"} - ] - }, - "CP_COHER_START_DELAY": { - "fields": [ - {"bits": [0, 5], "name": "START_DELAY_COUNT"} - ] - }, - "CP_COHER_STATUS": { - "fields": [ - {"bits": [0, 7], "name": "MATCHING_GFX_CNTX"}, - {"bits": [24, 25], "name": "MEID"}, - {"bits": [30, 30], "name": "PHASE1_STATUS"}, - {"bits": [31, 31], "name": "STATUS"} - ] - }, - "CP_COHER_STATUS_gfx9": { - "fields": [ - {"bits": [24, 25], "name": "MEID"}, - {"bits": [31, 31], "name": "STATUS"} - ] - }, - "CP_CPC_BUSY_STAT": { - "fields": [ - {"bits": [0, 0], "name": "MEC1_LOAD_BUSY"}, - {"bits": [1, 1], "name": "MEC1_SEMAPOHRE_BUSY"}, - {"bits": [2, 2], "name": "MEC1_MUTEX_BUSY"}, - {"bits": [3, 3], "name": "MEC1_MESSAGE_BUSY"}, - {"bits": [4, 4], "name": "MEC1_EOP_QUEUE_BUSY"}, - {"bits": [5, 5], "name": "MEC1_IQ_QUEUE_BUSY"}, - {"bits": [6, 6], "name": "MEC1_IB_QUEUE_BUSY"}, - {"bits": [7, 7], "name": "MEC1_TC_BUSY"}, - {"bits": [8, 8], "name": "MEC1_DMA_BUSY"}, - {"bits": [9, 9], "name": "MEC1_PARTIAL_FLUSH_BUSY"}, - {"bits": [10, 10], "name": "MEC1_PIPE0_BUSY"}, - {"bits": [11, 11], "name": "MEC1_PIPE1_BUSY"}, - {"bits": [12, 12], "name": "MEC1_PIPE2_BUSY"}, - {"bits": [13, 13], "name": "MEC1_PIPE3_BUSY"}, - {"bits": [16, 16], "name": "MEC2_LOAD_BUSY"}, - {"bits": [17, 17], "name": "MEC2_SEMAPOHRE_BUSY"}, - {"bits": [18, 18], "name": "MEC2_MUTEX_BUSY"}, - {"bits": [19, 19], "name": "MEC2_MESSAGE_BUSY"}, - {"bits": [20, 20], "name": "MEC2_EOP_QUEUE_BUSY"}, - {"bits": [21, 21], "name": "MEC2_IQ_QUEUE_BUSY"}, - {"bits": [22, 22], "name": "MEC2_IB_QUEUE_BUSY"}, - {"bits": [23, 23], "name": "MEC2_TC_BUSY"}, - {"bits": [24, 24], "name": "MEC2_DMA_BUSY"}, - {"bits": [25, 25], "name": "MEC2_PARTIAL_FLUSH_BUSY"}, - {"bits": [26, 26], "name": "MEC2_PIPE0_BUSY"}, - {"bits": [27, 27], "name": "MEC2_PIPE1_BUSY"}, - {"bits": [28, 28], "name": "MEC2_PIPE2_BUSY"}, - {"bits": [29, 29], "name": "MEC2_PIPE3_BUSY"} - ] - }, - "CP_CPC_STALLED_STAT1": { - "fields": [ - {"bits": [3, 3], "name": "RCIU_TX_FREE_STALL"}, - {"bits": [4, 4], "name": "RCIU_PRIV_VIOLATION"}, - {"bits": [6, 6], "name": "TCIU_TX_FREE_STALL"}, - {"bits": [8, 8], "name": "MEC1_DECODING_PACKET"}, - {"bits": [9, 9], "name": "MEC1_WAIT_ON_RCIU"}, - {"bits": [10, 10], "name": "MEC1_WAIT_ON_RCIU_READ"}, - {"bits": [13, 13], "name": "MEC1_WAIT_ON_ROQ_DATA"}, - {"bits": [16, 16], "name": "MEC2_DECODING_PACKET"}, - {"bits": [17, 17], "name": "MEC2_WAIT_ON_RCIU"}, - {"bits": [18, 18], "name": "MEC2_WAIT_ON_RCIU_READ"}, - {"bits": [21, 21], "name": "MEC2_WAIT_ON_ROQ_DATA"}, - {"bits": [22, 22], "name": "ATCL2IU_WAITING_ON_FREE"}, - {"bits": [23, 23], "name": "ATCL2IU_WAITING_ON_TAGS"}, - {"bits": [24, 24], "name": "ATCL1_WAITING_ON_TRANS"} - ] - }, - "CP_CPC_STALLED_STAT1_gfx9": { - "fields": [ - {"bits": [3, 3], "name": "RCIU_TX_FREE_STALL"}, - {"bits": [4, 4], "name": "RCIU_PRIV_VIOLATION"}, - {"bits": [6, 6], "name": "TCIU_TX_FREE_STALL"}, - {"bits": [8, 8], "name": "MEC1_DECODING_PACKET"}, - {"bits": [9, 9], "name": "MEC1_WAIT_ON_RCIU"}, - {"bits": [10, 10], "name": "MEC1_WAIT_ON_RCIU_READ"}, - {"bits": [13, 13], "name": "MEC1_WAIT_ON_ROQ_DATA"}, - {"bits": [16, 16], "name": "MEC2_DECODING_PACKET"}, - {"bits": [17, 17], "name": "MEC2_WAIT_ON_RCIU"}, - {"bits": [18, 18], "name": "MEC2_WAIT_ON_RCIU_READ"}, - {"bits": [21, 21], "name": "MEC2_WAIT_ON_ROQ_DATA"}, - {"bits": [22, 22], "name": "UTCL2IU_WAITING_ON_FREE"}, - {"bits": [23, 23], "name": "UTCL2IU_WAITING_ON_TAGS"}, - {"bits": [24, 24], "name": "UTCL1_WAITING_ON_TRANS"} - ] - }, - "CP_CPC_STATUS": { - "fields": [ - {"bits": [0, 0], "name": "MEC1_BUSY"}, - {"bits": [1, 1], "name": "MEC2_BUSY"}, - {"bits": [2, 2], "name": "DC0_BUSY"}, - {"bits": [3, 3], "name": "DC1_BUSY"}, - {"bits": [4, 4], "name": "RCIU1_BUSY"}, - {"bits": [5, 5], "name": "RCIU2_BUSY"}, - {"bits": [6, 6], "name": "ROQ1_BUSY"}, - {"bits": [7, 7], "name": "ROQ2_BUSY"}, - {"bits": [10, 10], "name": "TCIU_BUSY"}, - {"bits": [11, 11], "name": "SCRATCH_RAM_BUSY"}, - {"bits": [12, 12], "name": "QU_BUSY"}, - {"bits": [13, 13], "name": "ATCL2IU_BUSY"}, - {"bits": [29, 29], "name": "CPG_CPC_BUSY"}, - {"bits": [30, 30], "name": "CPF_CPC_BUSY"}, - {"bits": [31, 31], "name": "CPC_BUSY"} - ] - }, - "CP_CPC_STATUS_gfx9": { - "fields": [ - {"bits": [0, 0], "name": "MEC1_BUSY"}, - {"bits": [1, 1], "name": "MEC2_BUSY"}, - {"bits": [2, 2], "name": "DC0_BUSY"}, - {"bits": [3, 3], "name": "DC1_BUSY"}, - {"bits": [4, 4], "name": "RCIU1_BUSY"}, - {"bits": [5, 5], "name": "RCIU2_BUSY"}, - {"bits": [6, 6], "name": "ROQ1_BUSY"}, - {"bits": [7, 7], "name": "ROQ2_BUSY"}, - {"bits": [10, 10], "name": "TCIU_BUSY"}, - {"bits": [11, 11], "name": "SCRATCH_RAM_BUSY"}, - {"bits": [12, 12], "name": "QU_BUSY"}, - {"bits": [13, 13], "name": "UTCL2IU_BUSY"}, - {"bits": [14, 14], "name": "SAVE_RESTORE_BUSY"}, - {"bits": [29, 29], "name": "CPG_CPC_BUSY"}, - {"bits": [30, 30], "name": "CPF_CPC_BUSY"}, - {"bits": [31, 31], "name": "CPC_BUSY"} - ] - }, - "CP_CPF_BUSY_STAT": { - "fields": [ - {"bits": [0, 0], "name": "REG_BUS_FIFO_BUSY"}, - {"bits": [1, 1], "name": "CSF_RING_BUSY"}, - {"bits": [2, 2], "name": "CSF_INDIRECT1_BUSY"}, - {"bits": [3, 3], "name": "CSF_INDIRECT2_BUSY"}, - {"bits": [4, 4], "name": "CSF_STATE_BUSY"}, - {"bits": [5, 5], "name": "CSF_CE_INDR1_BUSY"}, - {"bits": [6, 6], "name": "CSF_CE_INDR2_BUSY"}, - {"bits": [7, 7], "name": "CSF_ARBITER_BUSY"}, - {"bits": [8, 8], "name": "CSF_INPUT_BUSY"}, - {"bits": [9, 9], "name": "OUTSTANDING_READ_TAGS"}, - {"bits": [11, 11], "name": "HPD_PROCESSING_EOP_BUSY"}, - {"bits": [12, 12], "name": "HQD_DISPATCH_BUSY"}, - {"bits": [13, 13], "name": "HQD_IQ_TIMER_BUSY"}, - {"bits": [14, 14], "name": "HQD_DMA_OFFLOAD_BUSY"}, - {"bits": [15, 15], "name": "HQD_WAIT_SEMAPHORE_BUSY"}, - {"bits": [16, 16], "name": "HQD_SIGNAL_SEMAPHORE_BUSY"}, - {"bits": [17, 17], "name": "HQD_MESSAGE_BUSY"}, - {"bits": [18, 18], "name": "HQD_PQ_FETCHER_BUSY"}, - {"bits": [19, 19], "name": "HQD_IB_FETCHER_BUSY"}, - {"bits": [20, 20], "name": "HQD_IQ_FETCHER_BUSY"}, - {"bits": [21, 21], "name": "HQD_EOP_FETCHER_BUSY"}, - {"bits": [22, 22], "name": "HQD_CONSUMED_RPTR_BUSY"}, - {"bits": [23, 23], "name": "HQD_FETCHER_ARB_BUSY"}, - {"bits": [24, 24], "name": "HQD_ROQ_ALIGN_BUSY"}, - {"bits": [25, 25], "name": "HQD_ROQ_EOP_BUSY"}, - {"bits": [26, 26], "name": "HQD_ROQ_IQ_BUSY"}, - {"bits": [27, 27], "name": "HQD_ROQ_PQ_BUSY"}, - {"bits": [28, 28], "name": "HQD_ROQ_IB_BUSY"}, - {"bits": [29, 29], "name": "HQD_WPTR_POLL_BUSY"}, - {"bits": [30, 30], "name": "HQD_PQ_BUSY"}, - {"bits": [31, 31], "name": "HQD_IB_BUSY"} - ] - }, - "CP_CPF_STALLED_STAT1": { - "fields": [ - {"bits": [0, 0], "name": "RING_FETCHING_DATA"}, - {"bits": [1, 1], "name": "INDR1_FETCHING_DATA"}, - {"bits": [2, 2], "name": "INDR2_FETCHING_DATA"}, - {"bits": [3, 3], "name": "STATE_FETCHING_DATA"}, - {"bits": [5, 5], "name": "TCIU_WAITING_ON_FREE"}, - {"bits": [6, 6], "name": "TCIU_WAITING_ON_TAGS"}, - {"bits": [7, 7], "name": "ATCL2IU_WAITING_ON_FREE"}, - {"bits": [8, 8], "name": "ATCL2IU_WAITING_ON_TAGS"}, - {"bits": [9, 9], "name": "ATCL1_WAITING_ON_TRANS"} - ] - }, - "CP_CPF_STALLED_STAT1_gfx9": { - "fields": [ - {"bits": [0, 0], "name": "RING_FETCHING_DATA"}, - {"bits": [1, 1], "name": "INDR1_FETCHING_DATA"}, - {"bits": [2, 2], "name": "INDR2_FETCHING_DATA"}, - {"bits": [3, 3], "name": "STATE_FETCHING_DATA"}, - {"bits": [5, 5], "name": "TCIU_WAITING_ON_FREE"}, - {"bits": [6, 6], "name": "TCIU_WAITING_ON_TAGS"}, - {"bits": [7, 7], "name": "UTCL2IU_WAITING_ON_FREE"}, - {"bits": [8, 8], "name": "UTCL2IU_WAITING_ON_TAGS"}, - {"bits": [9, 9], "name": "GFX_UTCL1_WAITING_ON_TRANS"}, - {"bits": [10, 10], "name": "CMP_UTCL1_WAITING_ON_TRANS"}, - {"bits": [11, 11], "name": "RCIU_WAITING_ON_FREE"} - ] - }, - "CP_CPF_STATUS": { - "fields": [ - {"bits": [0, 0], "name": "POST_WPTR_GFX_BUSY"}, - {"bits": [1, 1], "name": "CSF_BUSY"}, - {"bits": [4, 4], "name": "ROQ_ALIGN_BUSY"}, - {"bits": [5, 5], "name": "ROQ_RING_BUSY"}, - {"bits": [6, 6], "name": "ROQ_INDIRECT1_BUSY"}, - {"bits": [7, 7], "name": "ROQ_INDIRECT2_BUSY"}, - {"bits": [8, 8], "name": "ROQ_STATE_BUSY"}, - {"bits": [9, 9], "name": "ROQ_CE_RING_BUSY"}, - {"bits": [10, 10], "name": "ROQ_CE_INDIRECT1_BUSY"}, - {"bits": [11, 11], "name": "ROQ_CE_INDIRECT2_BUSY"}, - {"bits": [12, 12], "name": "SEMAPHORE_BUSY"}, - {"bits": [13, 13], "name": "INTERRUPT_BUSY"}, - {"bits": [14, 14], "name": "TCIU_BUSY"}, - {"bits": [15, 15], "name": "HQD_BUSY"}, - {"bits": [16, 16], "name": "PRT_BUSY"}, - {"bits": [17, 17], "name": "ATCL2IU_BUSY"}, - {"bits": [26, 26], "name": "CPF_GFX_BUSY"}, - {"bits": [27, 27], "name": "CPF_CMP_BUSY"}, - {"bits": [28, 29], "name": "GRBM_CPF_STAT_BUSY"}, - {"bits": [30, 30], "name": "CPC_CPF_BUSY"}, - {"bits": [31, 31], "name": "CPF_BUSY"} - ] - }, - "CP_CPF_STATUS_gfx9": { - "fields": [ - {"bits": [0, 0], "name": "POST_WPTR_GFX_BUSY"}, - {"bits": [1, 1], "name": "CSF_BUSY"}, - {"bits": [4, 4], "name": "ROQ_ALIGN_BUSY"}, - {"bits": [5, 5], "name": "ROQ_RING_BUSY"}, - {"bits": [6, 6], "name": "ROQ_INDIRECT1_BUSY"}, - {"bits": [7, 7], "name": "ROQ_INDIRECT2_BUSY"}, - {"bits": [8, 8], "name": "ROQ_STATE_BUSY"}, - {"bits": [9, 9], "name": "ROQ_CE_RING_BUSY"}, - {"bits": [10, 10], "name": "ROQ_CE_INDIRECT1_BUSY"}, - {"bits": [11, 11], "name": "ROQ_CE_INDIRECT2_BUSY"}, - {"bits": [12, 12], "name": "SEMAPHORE_BUSY"}, - {"bits": [13, 13], "name": "INTERRUPT_BUSY"}, - {"bits": [14, 14], "name": "TCIU_BUSY"}, - {"bits": [15, 15], "name": "HQD_BUSY"}, - {"bits": [16, 16], "name": "PRT_BUSY"}, - {"bits": [17, 17], "name": "UTCL2IU_BUSY"}, - {"bits": [26, 26], "name": "CPF_GFX_BUSY"}, - {"bits": [27, 27], "name": "CPF_CMP_BUSY"}, - {"bits": [28, 29], "name": "GRBM_CPF_STAT_BUSY"}, - {"bits": [30, 30], "name": "CPC_CPF_BUSY"}, - {"bits": [31, 31], "name": "CPF_BUSY"} - ] - }, - "CP_PERFMON_CNTL": { - "fields": [ - {"bits": [0, 3], "enum_ref": "CP_PERFMON_STATE", "name": "PERFMON_STATE"}, - {"bits": [4, 7], "name": "SPM_PERFMON_STATE"}, - {"bits": [8, 9], "name": "PERFMON_ENABLE_MODE"}, - {"bits": [10, 10], "name": "PERFMON_SAMPLE_ENABLE"} - ] - }, - "CP_PERFMON_CNTX_CNTL": { - "fields": [ - {"bits": [31, 31], "name": "PERFMON_ENABLE"} - ] - }, - "CP_STALLED_STAT1": { - "fields": [ - {"bits": [0, 0], "name": "RBIU_TO_DMA_NOT_RDY_TO_RCV"}, - {"bits": [2, 2], "name": "RBIU_TO_SEM_NOT_RDY_TO_RCV"}, - {"bits": [4, 4], "name": "RBIU_TO_MEMWR_NOT_RDY_TO_RCV"}, - {"bits": [10, 10], "name": "ME_HAS_ACTIVE_CE_BUFFER_FLAG"}, - {"bits": [11, 11], "name": "ME_HAS_ACTIVE_DE_BUFFER_FLAG"}, - {"bits": [12, 12], "name": "ME_STALLED_ON_TC_WR_CONFIRM"}, - {"bits": [13, 13], "name": "ME_STALLED_ON_ATOMIC_RTN_DATA"}, - {"bits": [14, 14], "name": "ME_WAITING_ON_TC_READ_DATA"}, - {"bits": [15, 15], "name": "ME_WAITING_ON_REG_READ_DATA"}, - {"bits": [23, 23], "name": "RCIU_WAITING_ON_GDS_FREE"}, - {"bits": [24, 24], "name": "RCIU_WAITING_ON_GRBM_FREE"}, - {"bits": [25, 25], "name": "RCIU_WAITING_ON_VGT_FREE"}, - {"bits": [26, 26], "name": "RCIU_STALLED_ON_ME_READ"}, - {"bits": [27, 27], "name": "RCIU_STALLED_ON_DMA_READ"}, - {"bits": [28, 28], "name": "RCIU_STALLED_ON_APPEND_READ"}, - {"bits": [29, 29], "name": "RCIU_HALTED_BY_REG_VIOLATION"} - ] - }, - "CP_STALLED_STAT2": { - "fields": [ - {"bits": [0, 0], "name": "PFP_TO_CSF_NOT_RDY_TO_RCV"}, - {"bits": [1, 1], "name": "PFP_TO_MEQ_NOT_RDY_TO_RCV"}, - {"bits": [2, 2], "name": "PFP_TO_RCIU_NOT_RDY_TO_RCV"}, - {"bits": [4, 4], "name": "PFP_TO_VGT_WRITES_PENDING"}, - {"bits": [5, 5], "name": "PFP_RCIU_READ_PENDING"}, - {"bits": [8, 8], "name": "PFP_WAITING_ON_BUFFER_DATA"}, - {"bits": [9, 9], "name": "ME_WAIT_ON_CE_COUNTER"}, - {"bits": [10, 10], "name": "ME_WAIT_ON_AVAIL_BUFFER"}, - {"bits": [11, 11], "name": "GFX_CNTX_NOT_AVAIL_TO_ME"}, - {"bits": [12, 12], "name": "ME_RCIU_NOT_RDY_TO_RCV"}, - {"bits": [13, 13], "name": "ME_TO_CONST_NOT_RDY_TO_RCV"}, - {"bits": [14, 14], "name": "ME_WAITING_DATA_FROM_PFP"}, - {"bits": [15, 15], "name": "ME_WAITING_ON_PARTIAL_FLUSH"}, - {"bits": [16, 16], "name": "MEQ_TO_ME_NOT_RDY_TO_RCV"}, - {"bits": [17, 17], "name": "STQ_TO_ME_NOT_RDY_TO_RCV"}, - {"bits": [18, 18], "name": "ME_WAITING_DATA_FROM_STQ"}, - {"bits": [19, 19], "name": "PFP_STALLED_ON_TC_WR_CONFIRM"}, - {"bits": [20, 20], "name": "PFP_STALLED_ON_ATOMIC_RTN_DATA"}, - {"bits": [21, 21], "name": "EOPD_FIFO_NEEDS_SC_EOP_DONE"}, - {"bits": [22, 22], "name": "EOPD_FIFO_NEEDS_WR_CONFIRM"}, - {"bits": [23, 23], "name": "STRMO_WR_OF_PRIM_DATA_PENDING"}, - {"bits": [24, 24], "name": "PIPE_STATS_WR_DATA_PENDING"}, - {"bits": [25, 25], "name": "APPEND_RDY_WAIT_ON_CS_DONE"}, - {"bits": [26, 26], "name": "APPEND_RDY_WAIT_ON_PS_DONE"}, - {"bits": [27, 27], "name": "APPEND_WAIT_ON_WR_CONFIRM"}, - {"bits": [28, 28], "name": "APPEND_ACTIVE_PARTITION"}, - {"bits": [29, 29], "name": "APPEND_WAITING_TO_SEND_MEMWRITE"}, - {"bits": [30, 30], "name": "SURF_SYNC_NEEDS_IDLE_CNTXS"}, - {"bits": [31, 31], "name": "SURF_SYNC_NEEDS_ALL_CLEAN"} - ] - }, - "CP_STALLED_STAT3": { - "fields": [ - {"bits": [0, 0], "name": "CE_TO_CSF_NOT_RDY_TO_RCV"}, - {"bits": [1, 1], "name": "CE_TO_RAM_INIT_FETCHER_NOT_RDY_TO_RCV"}, - {"bits": [2, 2], "name": "CE_WAITING_ON_DATA_FROM_RAM_INIT_FETCHER"}, - {"bits": [3, 3], "name": "CE_TO_RAM_INIT_NOT_RDY"}, - {"bits": [4, 4], "name": "CE_TO_RAM_DUMP_NOT_RDY"}, - {"bits": [5, 5], "name": "CE_TO_RAM_WRITE_NOT_RDY"}, - {"bits": [6, 6], "name": "CE_TO_INC_FIFO_NOT_RDY_TO_RCV"}, - {"bits": [7, 7], "name": "CE_TO_WR_FIFO_NOT_RDY_TO_RCV"}, - {"bits": [10, 10], "name": "CE_WAITING_ON_BUFFER_DATA"}, - {"bits": [11, 11], "name": "CE_WAITING_ON_CE_BUFFER_FLAG"}, - {"bits": [12, 12], "name": "CE_WAITING_ON_DE_COUNTER"}, - {"bits": [13, 13], "name": "CE_WAITING_ON_DE_COUNTER_UNDERFLOW"}, - {"bits": [14, 14], "name": "TCIU_WAITING_ON_FREE"}, - {"bits": [15, 15], "name": "TCIU_WAITING_ON_TAGS"}, - {"bits": [16, 16], "name": "CE_STALLED_ON_TC_WR_CONFIRM"}, - {"bits": [17, 17], "name": "CE_STALLED_ON_ATOMIC_RTN_DATA"}, - {"bits": [18, 18], "name": "ATCL2IU_WAITING_ON_FREE"}, - {"bits": [19, 19], "name": "ATCL2IU_WAITING_ON_TAGS"}, - {"bits": [20, 20], "name": "ATCL1_WAITING_ON_TRANS"} - ] - }, - "CP_STALLED_STAT3_gfx9": { - "fields": [ - {"bits": [0, 0], "name": "CE_TO_CSF_NOT_RDY_TO_RCV"}, - {"bits": [1, 1], "name": "CE_TO_RAM_INIT_FETCHER_NOT_RDY_TO_RCV"}, - {"bits": [2, 2], "name": "CE_WAITING_ON_DATA_FROM_RAM_INIT_FETCHER"}, - {"bits": [3, 3], "name": "CE_TO_RAM_INIT_NOT_RDY"}, - {"bits": [4, 4], "name": "CE_TO_RAM_DUMP_NOT_RDY"}, - {"bits": [5, 5], "name": "CE_TO_RAM_WRITE_NOT_RDY"}, - {"bits": [6, 6], "name": "CE_TO_INC_FIFO_NOT_RDY_TO_RCV"}, - {"bits": [7, 7], "name": "CE_TO_WR_FIFO_NOT_RDY_TO_RCV"}, - {"bits": [10, 10], "name": "CE_WAITING_ON_BUFFER_DATA"}, - {"bits": [11, 11], "name": "CE_WAITING_ON_CE_BUFFER_FLAG"}, - {"bits": [12, 12], "name": "CE_WAITING_ON_DE_COUNTER"}, - {"bits": [13, 13], "name": "CE_WAITING_ON_DE_COUNTER_UNDERFLOW"}, - {"bits": [14, 14], "name": "TCIU_WAITING_ON_FREE"}, - {"bits": [15, 15], "name": "TCIU_WAITING_ON_TAGS"}, - {"bits": [16, 16], "name": "CE_STALLED_ON_TC_WR_CONFIRM"}, - {"bits": [17, 17], "name": "CE_STALLED_ON_ATOMIC_RTN_DATA"}, - {"bits": [18, 18], "name": "UTCL2IU_WAITING_ON_FREE"}, - {"bits": [19, 19], "name": "UTCL2IU_WAITING_ON_TAGS"}, - {"bits": [20, 20], "name": "UTCL1_WAITING_ON_TRANS"} - ] - }, - "CP_STAT": { - "fields": [ - {"bits": [9, 9], "name": "ROQ_RING_BUSY"}, - {"bits": [10, 10], "name": "ROQ_INDIRECT1_BUSY"}, - {"bits": [11, 11], "name": "ROQ_INDIRECT2_BUSY"}, - {"bits": [12, 12], "name": "ROQ_STATE_BUSY"}, - {"bits": [13, 13], "name": "DC_BUSY"}, - {"bits": [14, 14], "name": "ATCL2IU_BUSY"}, - {"bits": [15, 15], "name": "PFP_BUSY"}, - {"bits": [16, 16], "name": "MEQ_BUSY"}, - {"bits": [17, 17], "name": "ME_BUSY"}, - {"bits": [18, 18], "name": "QUERY_BUSY"}, - {"bits": [19, 19], "name": "SEMAPHORE_BUSY"}, - {"bits": [20, 20], "name": "INTERRUPT_BUSY"}, - {"bits": [21, 21], "name": "SURFACE_SYNC_BUSY"}, - {"bits": [22, 22], "name": "DMA_BUSY"}, - {"bits": [23, 23], "name": "RCIU_BUSY"}, - {"bits": [24, 24], "name": "SCRATCH_RAM_BUSY"}, - {"bits": [25, 25], "name": "CPC_CPG_BUSY"}, - {"bits": [26, 26], "name": "CE_BUSY"}, - {"bits": [27, 27], "name": "TCIU_BUSY"}, - {"bits": [28, 28], "name": "ROQ_CE_RING_BUSY"}, - {"bits": [29, 29], "name": "ROQ_CE_INDIRECT1_BUSY"}, - {"bits": [30, 30], "name": "ROQ_CE_INDIRECT2_BUSY"}, - {"bits": [31, 31], "name": "CP_BUSY"} - ] - }, - "CP_STAT_gfx9": { - "fields": [ - {"bits": [9, 9], "name": "ROQ_RING_BUSY"}, - {"bits": [10, 10], "name": "ROQ_INDIRECT1_BUSY"}, - {"bits": [11, 11], "name": "ROQ_INDIRECT2_BUSY"}, - {"bits": [12, 12], "name": "ROQ_STATE_BUSY"}, - {"bits": [13, 13], "name": "DC_BUSY"}, - {"bits": [14, 14], "name": "UTCL2IU_BUSY"}, - {"bits": [15, 15], "name": "PFP_BUSY"}, - {"bits": [16, 16], "name": "MEQ_BUSY"}, - {"bits": [17, 17], "name": "ME_BUSY"}, - {"bits": [18, 18], "name": "QUERY_BUSY"}, - {"bits": [19, 19], "name": "SEMAPHORE_BUSY"}, - {"bits": [20, 20], "name": "INTERRUPT_BUSY"}, - {"bits": [21, 21], "name": "SURFACE_SYNC_BUSY"}, - {"bits": [22, 22], "name": "DMA_BUSY"}, - {"bits": [23, 23], "name": "RCIU_BUSY"}, - {"bits": [24, 24], "name": "SCRATCH_RAM_BUSY"}, - {"bits": [26, 26], "name": "CE_BUSY"}, - {"bits": [27, 27], "name": "TCIU_BUSY"}, - {"bits": [28, 28], "name": "ROQ_CE_RING_BUSY"}, - {"bits": [29, 29], "name": "ROQ_CE_INDIRECT1_BUSY"}, - {"bits": [30, 30], "name": "ROQ_CE_INDIRECT2_BUSY"}, - {"bits": [31, 31], "name": "CP_BUSY"} - ] - }, - "CP_STRMOUT_CNTL": { - "fields": [ - {"bits": [0, 0], "name": "OFFSET_UPDATE_DONE"} - ] - }, - "CS_COPY_STATE": { - "fields": [ - {"bits": [0, 2], "name": "SRC_STATE_ID"} - ] - }, - "DB_ALPHA_TO_MASK": { - "fields": [ - {"bits": [0, 0], "name": "ALPHA_TO_MASK_ENABLE"}, - {"bits": [8, 9], "name": "ALPHA_TO_MASK_OFFSET0"}, - {"bits": [10, 11], "name": "ALPHA_TO_MASK_OFFSET1"}, - {"bits": [12, 13], "name": "ALPHA_TO_MASK_OFFSET2"}, - {"bits": [14, 15], "name": "ALPHA_TO_MASK_OFFSET3"}, - {"bits": [16, 16], "name": "OFFSET_ROUND"} - ] - }, - "DB_COUNT_CONTROL": { - "fields": [ - {"bits": [0, 0], "name": "ZPASS_INCREMENT_DISABLE"}, - {"bits": [1, 1], "name": "PERFECT_ZPASS_COUNTS"}, - {"bits": [4, 6], "name": "SAMPLE_RATE"} - ] - }, - "DB_COUNT_CONTROL_cik": { - "fields": [ - {"bits": [0, 0], "name": "ZPASS_INCREMENT_DISABLE"}, - {"bits": [1, 1], "name": "PERFECT_ZPASS_COUNTS"}, - {"bits": [4, 6], "name": "SAMPLE_RATE"}, - {"bits": [8, 11], "name": "ZPASS_ENABLE"}, - {"bits": [12, 15], "name": "ZFAIL_ENABLE"}, - {"bits": [16, 19], "name": "SFAIL_ENABLE"}, - {"bits": [20, 23], "name": "DBFAIL_ENABLE"}, - {"bits": [24, 27], "name": "SLICE_EVEN_ENABLE"}, - {"bits": [28, 31], "name": "SLICE_ODD_ENABLE"} - ] - }, - "DB_DEPTH_CONTROL": { - "fields": [ - {"bits": [0, 0], "name": "STENCIL_ENABLE"}, - {"bits": [1, 1], "name": "Z_ENABLE"}, - {"bits": [2, 2], "name": "Z_WRITE_ENABLE"}, - {"bits": [3, 3], "name": "DEPTH_BOUNDS_ENABLE"}, - {"bits": [4, 6], "enum_ref": "CompareFrag", "name": "ZFUNC"}, - {"bits": [7, 7], "name": "BACKFACE_ENABLE"}, - {"bits": [8, 10], "enum_ref": "DB_DEPTH_CONTROL__STENCILFUNC", "name": "STENCILFUNC"}, - {"bits": [20, 22], "enum_ref": "DB_DEPTH_CONTROL__STENCILFUNC", "name": "STENCILFUNC_BF"}, - {"bits": [30, 30], "name": "ENABLE_COLOR_WRITES_ON_DEPTH_FAIL"}, - {"bits": [31, 31], "name": "DISABLE_COLOR_WRITES_ON_DEPTH_PASS"} - ] - }, - "DB_DEPTH_INFO": { - "fields": [ - {"bits": [0, 3], "name": "ADDR5_SWIZZLE_MASK"} - ] - }, - "DB_DEPTH_INFO_cik": { - "fields": [ - {"bits": [0, 3], "name": "ADDR5_SWIZZLE_MASK"}, - {"bits": [4, 7], "enum_ref": "DB_DEPTH_INFO__ARRAY_MODE", "name": "ARRAY_MODE"}, - {"bits": [8, 12], "enum_ref": "DB_DEPTH_INFO__PIPE_CONFIG", "name": "PIPE_CONFIG"}, - {"bits": [13, 14], "enum_ref": "GB_TILE_MODE0__BANK_WIDTH", "name": "BANK_WIDTH"}, - {"bits": [15, 16], "enum_ref": "GB_TILE_MODE0__BANK_HEIGHT", "name": "BANK_HEIGHT"}, - {"bits": [17, 18], "enum_ref": "GB_TILE_MODE0__MACRO_TILE_ASPECT", "name": "MACRO_TILE_ASPECT"}, - {"bits": [19, 20], "enum_ref": "GB_TILE_MODE0__NUM_BANKS", "name": "NUM_BANKS"} - ] - }, - "DB_DEPTH_SIZE": { - "fields": [ - {"bits": [0, 10], "name": "PITCH_TILE_MAX"}, - {"bits": [11, 21], "name": "HEIGHT_TILE_MAX"} - ] - }, - "DB_DEPTH_SIZE_gfx9": { - "fields": [ - {"bits": [0, 13], "name": "X_MAX"}, - {"bits": [16, 29], "name": "Y_MAX"} - ] - }, - "DB_DEPTH_SLICE": { - "fields": [ - {"bits": [0, 21], "name": "SLICE_TILE_MAX"} - ] - }, - "DB_DEPTH_VIEW": { - "fields": [ - {"bits": [0, 10], "name": "SLICE_START"}, - {"bits": [13, 23], "name": "SLICE_MAX"}, - {"bits": [24, 24], "name": "Z_READ_ONLY"}, - {"bits": [25, 25], "name": "STENCIL_READ_ONLY"} - ] - }, - "DB_DEPTH_VIEW_gfx9": { - "fields": [ - {"bits": [0, 10], "name": "SLICE_START"}, - {"bits": [13, 23], "name": "SLICE_MAX"}, - {"bits": [24, 24], "name": "Z_READ_ONLY"}, - {"bits": [25, 25], "name": "STENCIL_READ_ONLY"}, - {"bits": [26, 29], "name": "MIPID"} - ] - }, - "DB_DFSM_CONTROL": { - "fields": [ - {"bits": [0, 1], "enum_ref": "DB_DFSM_CONTROL__PUNCHOUT_MODE", "name": "PUNCHOUT_MODE"}, - {"bits": [2, 2], "name": "POPS_DRAIN_PS_ON_OVERLAP"}, - {"bits": [3, 3], "name": "DISALLOW_OVERFLOW"} - ] - }, - "DB_EQAA": { - "fields": [ - {"bits": [0, 2], "name": "MAX_ANCHOR_SAMPLES"}, - {"bits": [4, 6], "name": "PS_ITER_SAMPLES"}, - {"bits": [8, 10], "name": "MASK_EXPORT_NUM_SAMPLES"}, - {"bits": [12, 14], "name": "ALPHA_TO_MASK_NUM_SAMPLES"}, - {"bits": [16, 16], "name": "HIGH_QUALITY_INTERSECTIONS"}, - {"bits": [17, 17], "name": "INCOHERENT_EQAA_READS"}, - {"bits": [18, 18], "name": "INTERPOLATE_COMP_Z"}, - {"bits": [19, 19], "name": "INTERPOLATE_SRC_Z"}, - {"bits": [20, 20], "name": "STATIC_ANCHOR_ASSOCIATIONS"}, - {"bits": [21, 21], "name": "ALPHA_TO_MASK_EQAA_DISABLE"}, - {"bits": [24, 26], "name": "OVERRASTERIZATION_AMOUNT"}, - {"bits": [27, 27], "name": "ENABLE_POSTZ_OVERRASTERIZATION"} - ] - }, - "DB_HTILE_SURFACE": { - "fields": [ - {"bits": [0, 0], "name": "LINEAR"}, - {"bits": [1, 1], "name": "FULL_CACHE"}, - {"bits": [2, 2], "name": "HTILE_USES_PRELOAD_WIN"}, - {"bits": [3, 3], "name": "PRELOAD"}, - {"bits": [4, 9], "name": "PREFETCH_WIDTH"}, - {"bits": [10, 15], "name": "PREFETCH_HEIGHT"}, - {"bits": [16, 16], "name": "DST_OUTSIDE_ZERO_TO_ONE"} - ] - }, - "DB_HTILE_SURFACE_gfx9": { - "fields": [ - {"bits": [1, 1], "name": "FULL_CACHE"}, - {"bits": [2, 2], "name": "HTILE_USES_PRELOAD_WIN"}, - {"bits": [3, 3], "name": "PRELOAD"}, - {"bits": [4, 9], "name": "PREFETCH_WIDTH"}, - {"bits": [10, 15], "name": "PREFETCH_HEIGHT"}, - {"bits": [16, 16], "name": "DST_OUTSIDE_ZERO_TO_ONE"}, - {"bits": [18, 18], "name": "PIPE_ALIGNED"}, - {"bits": [19, 19], "name": "RB_ALIGNED"} - ] - }, - "DB_HTILE_SURFACE_vi": { - "fields": [ - {"bits": [0, 0], "name": "LINEAR"}, - {"bits": [1, 1], "name": "FULL_CACHE"}, - {"bits": [2, 2], "name": "HTILE_USES_PRELOAD_WIN"}, - {"bits": [3, 3], "name": "PRELOAD"}, - {"bits": [4, 9], "name": "PREFETCH_WIDTH"}, - {"bits": [10, 15], "name": "PREFETCH_HEIGHT"}, - {"bits": [16, 16], "name": "DST_OUTSIDE_ZERO_TO_ONE"}, - {"bits": [17, 17], "name": "TC_COMPATIBLE"} - ] - }, - "DB_OCCLUSION_COUNT1_HI": { - "fields": [ - {"bits": [0, 30], "name": "COUNT_HI"} - ] - }, - "DB_PRELOAD_CONTROL": { - "fields": [ - {"bits": [0, 7], "name": "START_X"}, - {"bits": [8, 15], "name": "START_Y"}, - {"bits": [16, 23], "name": "MAX_X"}, - {"bits": [24, 31], "name": "MAX_Y"} - ] - }, - "DB_RENDER_CONTROL": { - "fields": [ - {"bits": [0, 0], "name": "DEPTH_CLEAR_ENABLE"}, - {"bits": [1, 1], "name": "STENCIL_CLEAR_ENABLE"}, - {"bits": [2, 2], "name": "DEPTH_COPY"}, - {"bits": [3, 3], "name": "STENCIL_COPY"}, - {"bits": [4, 4], "name": "RESUMMARIZE_ENABLE"}, - {"bits": [5, 5], "name": "STENCIL_COMPRESS_DISABLE"}, - {"bits": [6, 6], "name": "DEPTH_COMPRESS_DISABLE"}, - {"bits": [7, 7], "name": "COPY_CENTROID"}, - {"bits": [8, 11], "name": "COPY_SAMPLE"} - ] - }, - "DB_RENDER_CONTROL_vi": { - "fields": [ - {"bits": [0, 0], "name": "DEPTH_CLEAR_ENABLE"}, - {"bits": [1, 1], "name": "STENCIL_CLEAR_ENABLE"}, - {"bits": [2, 2], "name": "DEPTH_COPY"}, - {"bits": [3, 3], "name": "STENCIL_COPY"}, - {"bits": [4, 4], "name": "RESUMMARIZE_ENABLE"}, - {"bits": [5, 5], "name": "STENCIL_COMPRESS_DISABLE"}, - {"bits": [6, 6], "name": "DEPTH_COMPRESS_DISABLE"}, - {"bits": [7, 7], "name": "COPY_CENTROID"}, - {"bits": [8, 11], "name": "COPY_SAMPLE"}, - {"bits": [12, 12], "name": "DECOMPRESS_ENABLE"} - ] - }, - "DB_RENDER_OVERRIDE": { - "fields": [ - {"bits": [0, 1], "enum_ref": "ForceControl", "name": "FORCE_HIZ_ENABLE"}, - {"bits": [2, 3], "enum_ref": "ForceControl", "name": "FORCE_HIS_ENABLE0"}, - {"bits": [4, 5], "enum_ref": "ForceControl", "name": "FORCE_HIS_ENABLE1"}, - {"bits": [6, 6], "name": "FORCE_SHADER_Z_ORDER"}, - {"bits": [7, 7], "name": "FAST_Z_DISABLE"}, - {"bits": [8, 8], "name": "FAST_STENCIL_DISABLE"}, - {"bits": [9, 9], "name": "NOOP_CULL_DISABLE"}, - {"bits": [10, 10], "name": "FORCE_COLOR_KILL"}, - {"bits": [11, 11], "name": "FORCE_Z_READ"}, - {"bits": [12, 12], "name": "FORCE_STENCIL_READ"}, - {"bits": [13, 14], "enum_ref": "ForceControl", "name": "FORCE_FULL_Z_RANGE"}, - {"bits": [15, 15], "name": "FORCE_QC_SMASK_CONFLICT"}, - {"bits": [16, 16], "name": "DISABLE_VIEWPORT_CLAMP"}, - {"bits": [17, 17], "name": "IGNORE_SC_ZRANGE"}, - {"bits": [18, 18], "name": "DISABLE_FULLY_COVERED"}, - {"bits": [19, 20], "enum_ref": "ZLimitSumm", "name": "FORCE_Z_LIMIT_SUMM"}, - {"bits": [21, 25], "name": "MAX_TILES_IN_DTT"}, - {"bits": [26, 26], "name": "DISABLE_TILE_RATE_TILES"}, - {"bits": [27, 27], "name": "FORCE_Z_DIRTY"}, - {"bits": [28, 28], "name": "FORCE_STENCIL_DIRTY"}, - {"bits": [29, 29], "name": "FORCE_Z_VALID"}, - {"bits": [30, 30], "name": "FORCE_STENCIL_VALID"}, - {"bits": [31, 31], "name": "PRESERVE_COMPRESSION"} - ] - }, - "DB_RENDER_OVERRIDE2": { - "fields": [ - {"bits": [0, 1], "enum_ref": "DbPSLControl", "name": "PARTIAL_SQUAD_LAUNCH_CONTROL"}, - {"bits": [2, 4], "name": "PARTIAL_SQUAD_LAUNCH_COUNTDOWN"}, - {"bits": [5, 5], "name": "DISABLE_ZMASK_EXPCLEAR_OPTIMIZATION"}, - {"bits": [6, 6], "name": "DISABLE_SMEM_EXPCLEAR_OPTIMIZATION"}, - {"bits": [7, 7], "name": "DISABLE_COLOR_ON_VALIDATION"}, - {"bits": [8, 8], "name": "DECOMPRESS_Z_ON_FLUSH"}, - {"bits": [9, 9], "name": "DISABLE_REG_SNOOP"}, - {"bits": [10, 10], "name": "DEPTH_BOUNDS_HIER_DEPTH_DISABLE"} - ] - }, - "DB_RENDER_OVERRIDE2_cik": { - "fields": [ - {"bits": [0, 1], "enum_ref": "DbPSLControl", "name": "PARTIAL_SQUAD_LAUNCH_CONTROL"}, - {"bits": [2, 4], "name": "PARTIAL_SQUAD_LAUNCH_COUNTDOWN"}, - {"bits": [5, 5], "name": "DISABLE_ZMASK_EXPCLEAR_OPTIMIZATION"}, - {"bits": [6, 6], "name": "DISABLE_SMEM_EXPCLEAR_OPTIMIZATION"}, - {"bits": [7, 7], "name": "DISABLE_COLOR_ON_VALIDATION"}, - {"bits": [8, 8], "name": "DECOMPRESS_Z_ON_FLUSH"}, - {"bits": [9, 9], "name": "DISABLE_REG_SNOOP"}, - {"bits": [10, 10], "name": "DEPTH_BOUNDS_HIER_DEPTH_DISABLE"}, - {"bits": [11, 11], "name": "SEPARATE_HIZS_FUNC_ENABLE"}, - {"bits": [12, 14], "name": "HIZ_ZFUNC"}, - {"bits": [15, 17], "name": "HIS_SFUNC_FF"}, - {"bits": [18, 20], "name": "HIS_SFUNC_BF"}, - {"bits": [21, 21], "name": "PRESERVE_ZRANGE"}, - {"bits": [22, 22], "name": "PRESERVE_SRESULTS"}, - {"bits": [23, 23], "name": "DISABLE_FAST_PASS"} - ] - }, - "DB_RENDER_OVERRIDE2_gfx9": { - "fields": [ - {"bits": [0, 1], "name": "PARTIAL_SQUAD_LAUNCH_CONTROL"}, - {"bits": [2, 4], "name": "PARTIAL_SQUAD_LAUNCH_COUNTDOWN"}, - {"bits": [5, 5], "name": "DISABLE_ZMASK_EXPCLEAR_OPTIMIZATION"}, - {"bits": [6, 6], "name": "DISABLE_SMEM_EXPCLEAR_OPTIMIZATION"}, - {"bits": [7, 7], "name": "DISABLE_COLOR_ON_VALIDATION"}, - {"bits": [8, 8], "name": "DECOMPRESS_Z_ON_FLUSH"}, - {"bits": [9, 9], "name": "DISABLE_REG_SNOOP"}, - {"bits": [10, 10], "name": "DEPTH_BOUNDS_HIER_DEPTH_DISABLE"}, - {"bits": [11, 11], "name": "SEPARATE_HIZS_FUNC_ENABLE"}, - {"bits": [12, 14], "name": "HIZ_ZFUNC"}, - {"bits": [15, 17], "name": "HIS_SFUNC_FF"}, - {"bits": [18, 20], "name": "HIS_SFUNC_BF"}, - {"bits": [21, 21], "name": "PRESERVE_ZRANGE"}, - {"bits": [22, 22], "name": "PRESERVE_SRESULTS"}, - {"bits": [23, 23], "name": "DISABLE_FAST_PASS"}, - {"bits": [25, 25], "name": "ALLOW_PARTIAL_RES_HIER_KILL"} - ] - }, - "DB_SHADER_CONTROL": { - "fields": [ - {"bits": [0, 0], "name": "Z_EXPORT_ENABLE"}, - {"bits": [1, 1], "name": "STENCIL_TEST_VAL_EXPORT_ENABLE"}, - {"bits": [2, 2], "name": "STENCIL_OP_VAL_EXPORT_ENABLE"}, - {"bits": [4, 5], "enum_ref": "ZOrder", "name": "Z_ORDER"}, - {"bits": [6, 6], "name": "KILL_ENABLE"}, - {"bits": [7, 7], "name": "COVERAGE_TO_MASK_ENABLE"}, - {"bits": [8, 8], "name": "MASK_EXPORT_ENABLE"}, - {"bits": [9, 9], "name": "EXEC_ON_HIER_FAIL"}, - {"bits": [10, 10], "name": "EXEC_ON_NOOP"}, - {"bits": [11, 11], "name": "ALPHA_TO_MASK_DISABLE"}, - {"bits": [12, 12], "name": "DEPTH_BEFORE_SHADER"} - ] - }, - "DB_SHADER_CONTROL_cik": { - "fields": [ - {"bits": [0, 0], "name": "Z_EXPORT_ENABLE"}, - {"bits": [1, 1], "name": "STENCIL_TEST_VAL_EXPORT_ENABLE"}, - {"bits": [2, 2], "name": "STENCIL_OP_VAL_EXPORT_ENABLE"}, - {"bits": [4, 5], "enum_ref": "ZOrder", "name": "Z_ORDER"}, - {"bits": [6, 6], "name": "KILL_ENABLE"}, - {"bits": [7, 7], "name": "COVERAGE_TO_MASK_ENABLE"}, - {"bits": [8, 8], "name": "MASK_EXPORT_ENABLE"}, - {"bits": [9, 9], "name": "EXEC_ON_HIER_FAIL"}, - {"bits": [10, 10], "name": "EXEC_ON_NOOP"}, - {"bits": [11, 11], "name": "ALPHA_TO_MASK_DISABLE"}, - {"bits": [12, 12], "name": "DEPTH_BEFORE_SHADER"}, - {"bits": [13, 14], "enum_ref": "ConservativeZExport", "name": "CONSERVATIVE_Z_EXPORT"} - ] - }, - "DB_SHADER_CONTROL_gfx9": { - "fields": [ - {"bits": [0, 0], "name": "Z_EXPORT_ENABLE"}, - {"bits": [1, 1], "name": "STENCIL_TEST_VAL_EXPORT_ENABLE"}, - {"bits": [2, 2], "name": "STENCIL_OP_VAL_EXPORT_ENABLE"}, - {"bits": [4, 5], "enum_ref": "ZOrder", "name": "Z_ORDER"}, - {"bits": [6, 6], "name": "KILL_ENABLE"}, - {"bits": [7, 7], "name": "COVERAGE_TO_MASK_ENABLE"}, - {"bits": [8, 8], "name": "MASK_EXPORT_ENABLE"}, - {"bits": [9, 9], "name": "EXEC_ON_HIER_FAIL"}, - {"bits": [10, 10], "name": "EXEC_ON_NOOP"}, - {"bits": [11, 11], "name": "ALPHA_TO_MASK_DISABLE"}, - {"bits": [12, 12], "name": "DEPTH_BEFORE_SHADER"}, - {"bits": [13, 14], "enum_ref": "ConservativeZExport", "name": "CONSERVATIVE_Z_EXPORT"}, - {"bits": [15, 15], "name": "DUAL_QUAD_DISABLE"}, - {"bits": [16, 16], "name": "PRIMITIVE_ORDERED_PIXEL_SHADER"}, - {"bits": [17, 17], "name": "EXEC_IF_OVERLAPPED"}, - {"bits": [20, 22], "name": "POPS_OVERLAP_NUM_SAMPLES"} - ] - }, - "DB_SHADER_CONTROL_stoney": { - "fields": [ - {"bits": [0, 0], "name": "Z_EXPORT_ENABLE"}, - {"bits": [1, 1], "name": "STENCIL_TEST_VAL_EXPORT_ENABLE"}, - {"bits": [2, 2], "name": "STENCIL_OP_VAL_EXPORT_ENABLE"}, - {"bits": [4, 5], "enum_ref": "ZOrder", "name": "Z_ORDER"}, - {"bits": [6, 6], "name": "KILL_ENABLE"}, - {"bits": [7, 7], "name": "COVERAGE_TO_MASK_ENABLE"}, - {"bits": [8, 8], "name": "MASK_EXPORT_ENABLE"}, - {"bits": [9, 9], "name": "EXEC_ON_HIER_FAIL"}, - {"bits": [10, 10], "name": "EXEC_ON_NOOP"}, - {"bits": [11, 11], "name": "ALPHA_TO_MASK_DISABLE"}, - {"bits": [12, 12], "name": "DEPTH_BEFORE_SHADER"}, - {"bits": [13, 14], "enum_ref": "ConservativeZExport", "name": "CONSERVATIVE_Z_EXPORT"}, - {"bits": [15, 15], "name": "DUAL_QUAD_DISABLE"} - ] - }, - "DB_SRESULTS_COMPARE_STATE0": { - "fields": [ - {"bits": [0, 2], "enum_ref": "DB_DEPTH_CONTROL__STENCILFUNC", "name": "COMPAREFUNC0"}, - {"bits": [4, 11], "name": "COMPAREVALUE0"}, - {"bits": [12, 19], "name": "COMPAREMASK0"}, - {"bits": [24, 24], "name": "ENABLE0"} - ] - }, - "DB_SRESULTS_COMPARE_STATE1": { - "fields": [ - {"bits": [0, 2], "enum_ref": "DB_DEPTH_CONTROL__STENCILFUNC", "name": "COMPAREFUNC1"}, - {"bits": [4, 11], "name": "COMPAREVALUE1"}, - {"bits": [12, 19], "name": "COMPAREMASK1"}, - {"bits": [24, 24], "name": "ENABLE1"} - ] - }, - "DB_STENCILREFMASK": { - "fields": [ - {"bits": [0, 7], "name": "STENCILTESTVAL"}, - {"bits": [8, 15], "name": "STENCILMASK"}, - {"bits": [16, 23], "name": "STENCILWRITEMASK"}, - {"bits": [24, 31], "name": "STENCILOPVAL"} - ] - }, - "DB_STENCILREFMASK_BF": { - "fields": [ - {"bits": [0, 7], "name": "STENCILTESTVAL_BF"}, - {"bits": [8, 15], "name": "STENCILMASK_BF"}, - {"bits": [16, 23], "name": "STENCILWRITEMASK_BF"}, - {"bits": [24, 31], "name": "STENCILOPVAL_BF"} - ] - }, - "DB_STENCIL_CLEAR": { - "fields": [ - {"bits": [0, 7], "name": "CLEAR"} - ] - }, - "DB_STENCIL_CONTROL": { - "fields": [ - {"bits": [0, 3], "enum_ref": "StencilOp", "name": "STENCILFAIL"}, - {"bits": [4, 7], "enum_ref": "StencilOp", "name": "STENCILZPASS"}, - {"bits": [8, 11], "enum_ref": "StencilOp", "name": "STENCILZFAIL"}, - {"bits": [12, 15], "enum_ref": "StencilOp", "name": "STENCILFAIL_BF"}, - {"bits": [16, 19], "enum_ref": "StencilOp", "name": "STENCILZPASS_BF"}, - {"bits": [20, 23], "enum_ref": "StencilOp", "name": "STENCILZFAIL_BF"} - ] - }, - "DB_STENCIL_INFO": { - "fields": [ - {"bits": [0, 0], "enum_ref": "DB_STENCIL_INFO__FORMAT", "name": "FORMAT"}, - {"bits": [20, 22], "comment": "not on CIK", "name": "TILE_MODE_INDEX"}, - {"bits": [27, 27], "name": "ALLOW_EXPCLEAR"}, - {"bits": [29, 29], "name": "TILE_STENCIL_DISABLE"} - ] - }, - "DB_STENCIL_INFO_cik": { - "fields": [ - {"bits": [0, 0], "enum_ref": "DB_STENCIL_INFO__FORMAT", "name": "FORMAT"}, - {"bits": [13, 15], "enum_ref": "GB_TILE_MODE0__TILE_SPLIT", "name": "TILE_SPLIT"}, - {"bits": [27, 27], "name": "ALLOW_EXPCLEAR"}, - {"bits": [29, 29], "name": "TILE_STENCIL_DISABLE"} - ] - }, - "DB_STENCIL_INFO_gfx9": { - "fields": [ - {"bits": [0, 0], "name": "FORMAT"}, - {"bits": [4, 8], "name": "SW_MODE"}, - {"bits": [12, 12], "name": "PARTIALLY_RESIDENT"}, - {"bits": [13, 14], "name": "FAULT_BEHAVIOR"}, - {"bits": [15, 15], "name": "ITERATE_FLUSH"}, - {"bits": [27, 27], "name": "ALLOW_EXPCLEAR"}, - {"bits": [29, 29], "name": "TILE_STENCIL_DISABLE"}, - {"bits": [30, 30], "name": "CLEAR_DISALLOWED"} - ] - }, - "DB_STENCIL_INFO_vi": { - "fields": [ - {"bits": [0, 0], "enum_ref": "DB_STENCIL_INFO__FORMAT", "name": "FORMAT"}, - {"bits": [13, 15], "enum_ref": "GB_TILE_MODE0__TILE_SPLIT", "name": "TILE_SPLIT"}, - {"bits": [27, 27], "name": "ALLOW_EXPCLEAR"}, - {"bits": [29, 29], "name": "TILE_STENCIL_DISABLE"}, - {"bits": [30, 30], "name": "CLEAR_DISALLOWED"} - ] - }, - "DB_SUBTILE_CONTROL": { - "fields": [ - {"bits": [0, 1], "name": "MSAA1_X"}, - {"bits": [2, 3], "name": "MSAA1_Y"}, - {"bits": [4, 5], "name": "MSAA2_X"}, - {"bits": [6, 7], "name": "MSAA2_Y"}, - {"bits": [8, 9], "name": "MSAA4_X"}, - {"bits": [10, 11], "name": "MSAA4_Y"}, - {"bits": [12, 13], "name": "MSAA8_X"}, - {"bits": [14, 15], "name": "MSAA8_Y"}, - {"bits": [16, 17], "name": "MSAA16_X"}, - {"bits": [18, 19], "name": "MSAA16_Y"} - ] - }, - "DB_Z_INFO": { - "fields": [ - {"bits": [0, 1], "enum_ref": "DB_Z_INFO__FORMAT", "name": "FORMAT"}, - {"bits": [2, 3], "name": "NUM_SAMPLES"}, - {"bits": [20, 22], "comment": "not on CIK", "name": "TILE_MODE_INDEX"}, - {"bits": [27, 27], "name": "ALLOW_EXPCLEAR"}, - {"bits": [28, 28], "name": "READ_SIZE"}, - {"bits": [29, 29], "name": "TILE_SURFACE_ENABLE"}, - {"bits": [31, 31], "name": "ZRANGE_PRECISION"} - ] - }, - "DB_Z_INFO2": { - "fields": [ - {"bits": [0, 15], "name": "EPITCH"} - ] - }, - "DB_Z_INFO_cik": { - "fields": [ - {"bits": [0, 1], "enum_ref": "DB_Z_INFO__FORMAT", "name": "FORMAT"}, - {"bits": [2, 3], "name": "NUM_SAMPLES"}, - {"bits": [13, 15], "enum_ref": "GB_TILE_MODE0__TILE_SPLIT", "name": "TILE_SPLIT"}, - {"bits": [27, 27], "name": "ALLOW_EXPCLEAR"}, - {"bits": [28, 28], "name": "READ_SIZE"}, - {"bits": [29, 29], "name": "TILE_SURFACE_ENABLE"}, - {"bits": [31, 31], "name": "ZRANGE_PRECISION"} - ] - }, - "DB_Z_INFO_gfx9": { - "fields": [ - {"bits": [0, 1], "name": "FORMAT"}, - {"bits": [2, 3], "name": "NUM_SAMPLES"}, - {"bits": [4, 8], "name": "SW_MODE"}, - {"bits": [12, 12], "name": "PARTIALLY_RESIDENT"}, - {"bits": [13, 14], "name": "FAULT_BEHAVIOR"}, - {"bits": [15, 15], "name": "ITERATE_FLUSH"}, - {"bits": [16, 19], "name": "MAXMIP"}, - {"bits": [23, 26], "name": "DECOMPRESS_ON_N_ZPLANES"}, - {"bits": [27, 27], "name": "ALLOW_EXPCLEAR"}, - {"bits": [28, 28], "name": "READ_SIZE"}, - {"bits": [29, 29], "name": "TILE_SURFACE_ENABLE"}, - {"bits": [30, 30], "name": "CLEAR_DISALLOWED"}, - {"bits": [31, 31], "name": "ZRANGE_PRECISION"} - ] - }, - "DB_Z_INFO_vi": { - "fields": [ - {"bits": [0, 1], "enum_ref": "DB_Z_INFO__FORMAT", "name": "FORMAT"}, - {"bits": [2, 3], "name": "NUM_SAMPLES"}, - {"bits": [13, 15], "enum_ref": "GB_TILE_MODE0__TILE_SPLIT", "name": "TILE_SPLIT"}, - {"bits": [23, 26], "name": "DECOMPRESS_ON_N_ZPLANES"}, - {"bits": [27, 27], "name": "ALLOW_EXPCLEAR"}, - {"bits": [28, 28], "name": "READ_SIZE"}, - {"bits": [29, 29], "name": "TILE_SURFACE_ENABLE"}, - {"bits": [30, 30], "name": "CLEAR_DISALLOWED"}, - {"bits": [31, 31], "name": "ZRANGE_PRECISION"} - ] - }, - "GB_ADDR_CONFIG": { - "fields": [ - {"bits": [0, 2], "name": "NUM_PIPES"}, - {"bits": [4, 6], "name": "PIPE_INTERLEAVE_SIZE"}, - {"bits": [8, 10], "name": "BANK_INTERLEAVE_SIZE"}, - {"bits": [12, 13], "name": "NUM_SHADER_ENGINES"}, - {"bits": [16, 18], "name": "SHADER_ENGINE_TILE_SIZE"}, - {"bits": [20, 22], "name": "NUM_GPUS"}, - {"bits": [24, 25], "name": "MULTI_GPU_TILE_SIZE"}, - {"bits": [28, 29], "name": "ROW_SIZE"}, - {"bits": [30, 30], "name": "NUM_LOWER_PIPES"} - ] - }, - "GB_ADDR_CONFIG_gfx9": { - "fields": [ - {"bits": [0, 2], "name": "NUM_PIPES"}, - {"bits": [3, 5], "name": "PIPE_INTERLEAVE_SIZE"}, - {"bits": [6, 7], "name": "MAX_COMPRESSED_FRAGS"}, - {"bits": [8, 10], "name": "BANK_INTERLEAVE_SIZE"}, - {"bits": [12, 14], "name": "NUM_BANKS"}, - {"bits": [16, 18], "name": "SHADER_ENGINE_TILE_SIZE"}, - {"bits": [19, 20], "name": "NUM_SHADER_ENGINES"}, - {"bits": [21, 23], "name": "NUM_GPUS"}, - {"bits": [24, 25], "name": "MULTI_GPU_TILE_SIZE"}, - {"bits": [26, 27], "name": "NUM_RB_PER_SE"}, - {"bits": [28, 29], "name": "ROW_SIZE"}, - {"bits": [30, 30], "name": "NUM_LOWER_PIPES"}, - {"bits": [31, 31], "name": "SE_ENABLE"} - ] - }, - "GB_MACROTILE_MODE0": { - "fields": [ - {"bits": [0, 1], "name": "BANK_WIDTH"}, - {"bits": [2, 3], "name": "BANK_HEIGHT"}, - {"bits": [4, 5], "name": "MACRO_TILE_ASPECT"}, - {"bits": [6, 7], "name": "NUM_BANKS"} - ] - }, - "GB_TILE_MODE0": { - "fields": [ - {"bits": [0, 1], "enum_ref": "GB_TILE_MODE0__MICRO_TILE_MODE", "name": "MICRO_TILE_MODE"}, - {"bits": [2, 5], "enum_ref": "GB_TILE_MODE0__ARRAY_MODE", "name": "ARRAY_MODE"}, - {"bits": [6, 10], "enum_ref": "GB_TILE_MODE0__PIPE_CONFIG", "name": "PIPE_CONFIG"}, - {"bits": [11, 13], "enum_ref": "GB_TILE_MODE0__TILE_SPLIT", "name": "TILE_SPLIT"}, - {"bits": [14, 15], "enum_ref": "GB_TILE_MODE0__BANK_WIDTH", "name": "BANK_WIDTH"}, - {"bits": [16, 17], "enum_ref": "GB_TILE_MODE0__BANK_HEIGHT", "name": "BANK_HEIGHT"}, - {"bits": [18, 19], "enum_ref": "GB_TILE_MODE0__MACRO_TILE_ASPECT", "name": "MACRO_TILE_ASPECT"}, - {"bits": [20, 21], "enum_ref": "GB_TILE_MODE0__NUM_BANKS", "name": "NUM_BANKS"}, - {"bits": [22, 24], "enum_ref": "GB_TILE_MODE0__MICRO_TILE_MODE_NEW", "name": "MICRO_TILE_MODE_NEW"}, - {"bits": [25, 26], "name": "SAMPLE_SPLIT"} - ] - }, - "GB_TILE_MODE0_gfx9": { - "fields": [ - {"bits": [2, 5], "name": "ARRAY_MODE"}, - {"bits": [6, 10], "name": "PIPE_CONFIG"}, - {"bits": [11, 13], "name": "TILE_SPLIT"}, - {"bits": [22, 24], "name": "MICRO_TILE_MODE_NEW"}, - {"bits": [25, 26], "name": "SAMPLE_SPLIT"} - ] - }, - "GDS_OA_ADDRESS": { - "fields": [ - {"bits": [0, 15], "name": "DS_ADDRESS"}, - {"bits": [16, 19], "name": "CRAWLER"}, - {"bits": [20, 21], "name": "CRAWLER_TYPE"}, - {"bits": [30, 30], "name": "NO_ALLOC"}, - {"bits": [31, 31], "name": "ENABLE"} - ] - }, - "GDS_OA_CNTL": { - "fields": [ - {"bits": [0, 3], "name": "INDEX"} - ] - }, - "GDS_OA_COUNTER": { - "fields": [ - {"bits": [0, 31], "name": "SPACE_AVAILABLE"} - ] - }, - "GDS_OA_INCDEC": { - "fields": [ - {"bits": [0, 30], "name": "VALUE"}, - {"bits": [31, 31], "name": "INCDEC"} - ] - }, - "GDS_OA_RING_SIZE": { - "fields": [ - {"bits": [0, 31], "name": "RING_SIZE"} - ] - }, - "GRBM_GFX_INDEX": { - "fields": [ - {"bits": [0, 7], "name": "INSTANCE_INDEX"}, - {"bits": [8, 15], "name": "SH_INDEX"}, - {"bits": [16, 23], "name": "SE_INDEX"}, - {"bits": [29, 29], "name": "SH_BROADCAST_WRITES"}, - {"bits": [30, 30], "name": "INSTANCE_BROADCAST_WRITES"}, - {"bits": [31, 31], "name": "SE_BROADCAST_WRITES"} - ] - }, - "GRBM_PERFCOUNTER0_SELECT": { - "fields": [ - {"bits": [0, 5], "name": "PERF_SEL"}, - {"bits": [10, 10], "name": "DB_CLEAN_USER_DEFINED_MASK"}, - {"bits": [11, 11], "name": "CB_CLEAN_USER_DEFINED_MASK"}, - {"bits": [12, 12], "name": "VGT_BUSY_USER_DEFINED_MASK"}, - {"bits": [13, 13], "name": "TA_BUSY_USER_DEFINED_MASK"}, - {"bits": [14, 14], "name": "SX_BUSY_USER_DEFINED_MASK"}, - {"bits": [16, 16], "name": "SPI_BUSY_USER_DEFINED_MASK"}, - {"bits": [17, 17], "name": "SC_BUSY_USER_DEFINED_MASK"}, - {"bits": [18, 18], "name": "PA_BUSY_USER_DEFINED_MASK"}, - {"bits": [19, 19], "name": "GRBM_BUSY_USER_DEFINED_MASK"}, - {"bits": [20, 20], "name": "DB_BUSY_USER_DEFINED_MASK"}, - {"bits": [21, 21], "name": "CB_BUSY_USER_DEFINED_MASK"}, - {"bits": [22, 22], "name": "CP_BUSY_USER_DEFINED_MASK"}, - {"bits": [23, 23], "name": "IA_BUSY_USER_DEFINED_MASK"}, - {"bits": [24, 24], "name": "GDS_BUSY_USER_DEFINED_MASK"}, - {"bits": [25, 25], "name": "BCI_BUSY_USER_DEFINED_MASK"}, - {"bits": [26, 26], "name": "RLC_BUSY_USER_DEFINED_MASK"}, - {"bits": [27, 27], "name": "TC_BUSY_USER_DEFINED_MASK"}, - {"bits": [28, 28], "name": "WD_BUSY_USER_DEFINED_MASK"} - ] - }, - "GRBM_PERFCOUNTER0_SELECT_gfx9": { - "fields": [ - {"bits": [0, 5], "name": "PERF_SEL"}, - {"bits": [10, 10], "name": "DB_CLEAN_USER_DEFINED_MASK"}, - {"bits": [11, 11], "name": "CB_CLEAN_USER_DEFINED_MASK"}, - {"bits": [12, 12], "name": "VGT_BUSY_USER_DEFINED_MASK"}, - {"bits": [13, 13], "name": "TA_BUSY_USER_DEFINED_MASK"}, - {"bits": [14, 14], "name": "SX_BUSY_USER_DEFINED_MASK"}, - {"bits": [16, 16], "name": "SPI_BUSY_USER_DEFINED_MASK"}, - {"bits": [17, 17], "name": "SC_BUSY_USER_DEFINED_MASK"}, - {"bits": [18, 18], "name": "PA_BUSY_USER_DEFINED_MASK"}, - {"bits": [19, 19], "name": "GRBM_BUSY_USER_DEFINED_MASK"}, - {"bits": [20, 20], "name": "DB_BUSY_USER_DEFINED_MASK"}, - {"bits": [21, 21], "name": "CB_BUSY_USER_DEFINED_MASK"}, - {"bits": [22, 22], "name": "CP_BUSY_USER_DEFINED_MASK"}, - {"bits": [23, 23], "name": "IA_BUSY_USER_DEFINED_MASK"}, - {"bits": [24, 24], "name": "GDS_BUSY_USER_DEFINED_MASK"}, - {"bits": [25, 25], "name": "BCI_BUSY_USER_DEFINED_MASK"}, - {"bits": [26, 26], "name": "RLC_BUSY_USER_DEFINED_MASK"}, - {"bits": [27, 27], "name": "TC_BUSY_USER_DEFINED_MASK"}, - {"bits": [28, 28], "name": "WD_BUSY_USER_DEFINED_MASK"}, - {"bits": [29, 29], "name": "UTCL2_BUSY_USER_DEFINED_MASK"}, - {"bits": [30, 30], "name": "EA_BUSY_USER_DEFINED_MASK"}, - {"bits": [31, 31], "name": "RMI_BUSY_USER_DEFINED_MASK"} - ] - }, - "GRBM_SE0_PERFCOUNTER_SELECT": { - "fields": [ - {"bits": [0, 5], "name": "PERF_SEL"}, - {"bits": [10, 10], "name": "DB_CLEAN_USER_DEFINED_MASK"}, - {"bits": [11, 11], "name": "CB_CLEAN_USER_DEFINED_MASK"}, - {"bits": [12, 12], "name": "TA_BUSY_USER_DEFINED_MASK"}, - {"bits": [13, 13], "name": "SX_BUSY_USER_DEFINED_MASK"}, - {"bits": [15, 15], "name": "SPI_BUSY_USER_DEFINED_MASK"}, - {"bits": [16, 16], "name": "SC_BUSY_USER_DEFINED_MASK"}, - {"bits": [17, 17], "name": "DB_BUSY_USER_DEFINED_MASK"}, - {"bits": [18, 18], "name": "CB_BUSY_USER_DEFINED_MASK"}, - {"bits": [19, 19], "name": "VGT_BUSY_USER_DEFINED_MASK"}, - {"bits": [20, 20], "name": "PA_BUSY_USER_DEFINED_MASK"}, - {"bits": [21, 21], "name": "BCI_BUSY_USER_DEFINED_MASK"} - ] - }, - "GRBM_SE0_PERFCOUNTER_SELECT_gfx9": { - "fields": [ - {"bits": [0, 5], "name": "PERF_SEL"}, - {"bits": [10, 10], "name": "DB_CLEAN_USER_DEFINED_MASK"}, - {"bits": [11, 11], "name": "CB_CLEAN_USER_DEFINED_MASK"}, - {"bits": [12, 12], "name": "TA_BUSY_USER_DEFINED_MASK"}, - {"bits": [13, 13], "name": "SX_BUSY_USER_DEFINED_MASK"}, - {"bits": [15, 15], "name": "SPI_BUSY_USER_DEFINED_MASK"}, - {"bits": [16, 16], "name": "SC_BUSY_USER_DEFINED_MASK"}, - {"bits": [17, 17], "name": "DB_BUSY_USER_DEFINED_MASK"}, - {"bits": [18, 18], "name": "CB_BUSY_USER_DEFINED_MASK"}, - {"bits": [19, 19], "name": "VGT_BUSY_USER_DEFINED_MASK"}, - {"bits": [20, 20], "name": "PA_BUSY_USER_DEFINED_MASK"}, - {"bits": [21, 21], "name": "BCI_BUSY_USER_DEFINED_MASK"}, - {"bits": [22, 22], "name": "RMI_BUSY_USER_DEFINED_MASK"} - ] - }, - "GRBM_STATUS": { - "fields": [ - {"bits": [0, 3], "name": "ME0PIPE0_CMDFIFO_AVAIL"}, - {"bits": [5, 5], "name": "SRBM_RQ_PENDING"}, - {"bits": [7, 7], "name": "ME0PIPE0_CF_RQ_PENDING"}, - {"bits": [8, 8], "name": "ME0PIPE0_PF_RQ_PENDING"}, - {"bits": [9, 9], "name": "GDS_DMA_RQ_PENDING"}, - {"bits": [12, 12], "name": "DB_CLEAN"}, - {"bits": [13, 13], "name": "CB_CLEAN"}, - {"bits": [14, 14], "name": "TA_BUSY"}, - {"bits": [15, 15], "name": "GDS_BUSY"}, - {"bits": [16, 16], "name": "WD_BUSY_NO_DMA"}, - {"bits": [17, 17], "name": "VGT_BUSY"}, - {"bits": [18, 18], "name": "IA_BUSY_NO_DMA"}, - {"bits": [19, 19], "name": "IA_BUSY"}, - {"bits": [20, 20], "name": "SX_BUSY"}, - {"bits": [21, 21], "name": "WD_BUSY"}, - {"bits": [22, 22], "name": "SPI_BUSY"}, - {"bits": [23, 23], "name": "BCI_BUSY"}, - {"bits": [24, 24], "name": "SC_BUSY"}, - {"bits": [25, 25], "name": "PA_BUSY"}, - {"bits": [26, 26], "name": "DB_BUSY"}, - {"bits": [28, 28], "name": "CP_COHERENCY_BUSY"}, - {"bits": [29, 29], "name": "CP_BUSY"}, - {"bits": [30, 30], "name": "CB_BUSY"}, - {"bits": [31, 31], "name": "GUI_ACTIVE"} - ] - }, - "GRBM_STATUS2": { - "fields": [ - {"bits": [0, 3], "name": "ME0PIPE1_CMDFIFO_AVAIL"}, - {"bits": [4, 4], "name": "ME0PIPE1_CF_RQ_PENDING"}, - {"bits": [5, 5], "name": "ME0PIPE1_PF_RQ_PENDING"}, - {"bits": [6, 6], "name": "ME1PIPE0_RQ_PENDING"}, - {"bits": [7, 7], "name": "ME1PIPE1_RQ_PENDING"}, - {"bits": [8, 8], "name": "ME1PIPE2_RQ_PENDING"}, - {"bits": [9, 9], "name": "ME1PIPE3_RQ_PENDING"}, - {"bits": [10, 10], "name": "ME2PIPE0_RQ_PENDING"}, - {"bits": [11, 11], "name": "ME2PIPE1_RQ_PENDING"}, - {"bits": [12, 12], "name": "ME2PIPE2_RQ_PENDING"}, - {"bits": [13, 13], "name": "ME2PIPE3_RQ_PENDING"}, - {"bits": [14, 14], "name": "RLC_RQ_PENDING"}, - {"bits": [24, 24], "name": "RLC_BUSY"}, - {"bits": [25, 25], "name": "TC_BUSY"}, - {"bits": [26, 26], "name": "TCC_CC_RESIDENT"}, - {"bits": [28, 28], "name": "CPF_BUSY"}, - {"bits": [29, 29], "name": "CPC_BUSY"}, - {"bits": [30, 30], "name": "CPG_BUSY"} - ] - }, - "GRBM_STATUS2_gfx9": { - "fields": [ - {"bits": [0, 3], "name": "ME0PIPE1_CMDFIFO_AVAIL"}, - {"bits": [4, 4], "name": "ME0PIPE1_CF_RQ_PENDING"}, - {"bits": [5, 5], "name": "ME0PIPE1_PF_RQ_PENDING"}, - {"bits": [6, 6], "name": "ME1PIPE0_RQ_PENDING"}, - {"bits": [7, 7], "name": "ME1PIPE1_RQ_PENDING"}, - {"bits": [8, 8], "name": "ME1PIPE2_RQ_PENDING"}, - {"bits": [9, 9], "name": "ME1PIPE3_RQ_PENDING"}, - {"bits": [10, 10], "name": "ME2PIPE0_RQ_PENDING"}, - {"bits": [11, 11], "name": "ME2PIPE1_RQ_PENDING"}, - {"bits": [12, 12], "name": "ME2PIPE2_RQ_PENDING"}, - {"bits": [13, 13], "name": "ME2PIPE3_RQ_PENDING"}, - {"bits": [14, 14], "name": "RLC_RQ_PENDING"}, - {"bits": [15, 15], "name": "UTCL2_BUSY"}, - {"bits": [16, 16], "name": "EA_BUSY"}, - {"bits": [17, 17], "name": "RMI_BUSY"}, - {"bits": [18, 18], "name": "UTCL2_RQ_PENDING"}, - {"bits": [19, 19], "name": "CPF_RQ_PENDING"}, - {"bits": [20, 20], "name": "EA_LINK_BUSY"}, - {"bits": [24, 24], "name": "RLC_BUSY"}, - {"bits": [25, 25], "name": "TC_BUSY"}, - {"bits": [26, 26], "name": "TCC_CC_RESIDENT"}, - {"bits": [28, 28], "name": "CPF_BUSY"}, - {"bits": [29, 29], "name": "CPC_BUSY"}, - {"bits": [30, 30], "name": "CPG_BUSY"}, - {"bits": [31, 31], "name": "CPAXI_BUSY"} - ] - }, - "GRBM_STATUS_SE0": { - "fields": [ - {"bits": [1, 1], "name": "DB_CLEAN"}, - {"bits": [2, 2], "name": "CB_CLEAN"}, - {"bits": [22, 22], "name": "BCI_BUSY"}, - {"bits": [23, 23], "name": "VGT_BUSY"}, - {"bits": [24, 24], "name": "PA_BUSY"}, - {"bits": [25, 25], "name": "TA_BUSY"}, - {"bits": [26, 26], "name": "SX_BUSY"}, - {"bits": [27, 27], "name": "SPI_BUSY"}, - {"bits": [29, 29], "name": "SC_BUSY"}, - {"bits": [30, 30], "name": "DB_BUSY"}, - {"bits": [31, 31], "name": "CB_BUSY"} - ] - }, - "GRBM_STATUS_SE0_gfx9": { - "fields": [ - {"bits": [1, 1], "name": "DB_CLEAN"}, - {"bits": [2, 2], "name": "CB_CLEAN"}, - {"bits": [21, 21], "name": "RMI_BUSY"}, - {"bits": [22, 22], "name": "BCI_BUSY"}, - {"bits": [23, 23], "name": "VGT_BUSY"}, - {"bits": [24, 24], "name": "PA_BUSY"}, - {"bits": [25, 25], "name": "TA_BUSY"}, - {"bits": [26, 26], "name": "SX_BUSY"}, - {"bits": [27, 27], "name": "SPI_BUSY"}, - {"bits": [29, 29], "name": "SC_BUSY"}, - {"bits": [30, 30], "name": "DB_BUSY"}, - {"bits": [31, 31], "name": "CB_BUSY"} - ] - }, - "GRBM_STATUS_gfx9": { - "fields": [ - {"bits": [0, 3], "name": "ME0PIPE0_CMDFIFO_AVAIL"}, - {"bits": [5, 5], "name": "RSMU_RQ_PENDING"}, - {"bits": [7, 7], "name": "ME0PIPE0_CF_RQ_PENDING"}, - {"bits": [8, 8], "name": "ME0PIPE0_PF_RQ_PENDING"}, - {"bits": [9, 9], "name": "GDS_DMA_RQ_PENDING"}, - {"bits": [12, 12], "name": "DB_CLEAN"}, - {"bits": [13, 13], "name": "CB_CLEAN"}, - {"bits": [14, 14], "name": "TA_BUSY"}, - {"bits": [15, 15], "name": "GDS_BUSY"}, - {"bits": [16, 16], "name": "WD_BUSY_NO_DMA"}, - {"bits": [17, 17], "name": "VGT_BUSY"}, - {"bits": [18, 18], "name": "IA_BUSY_NO_DMA"}, - {"bits": [19, 19], "name": "IA_BUSY"}, - {"bits": [20, 20], "name": "SX_BUSY"}, - {"bits": [21, 21], "name": "WD_BUSY"}, - {"bits": [22, 22], "name": "SPI_BUSY"}, - {"bits": [23, 23], "name": "BCI_BUSY"}, - {"bits": [24, 24], "name": "SC_BUSY"}, - {"bits": [25, 25], "name": "PA_BUSY"}, - {"bits": [26, 26], "name": "DB_BUSY"}, - {"bits": [28, 28], "name": "CP_COHERENCY_BUSY"}, - {"bits": [29, 29], "name": "CP_BUSY"}, - {"bits": [30, 30], "name": "CB_BUSY"}, - {"bits": [31, 31], "name": "GUI_ACTIVE"} - ] - }, - "IA_MULTI_VGT_PARAM": { - "fields": [ - {"bits": [0, 15], "name": "PRIMGROUP_SIZE"}, - {"bits": [16, 16], "name": "PARTIAL_VS_WAVE_ON"}, - {"bits": [17, 17], "name": "SWITCH_ON_EOP"}, - {"bits": [18, 18], "name": "PARTIAL_ES_WAVE_ON"}, - {"bits": [19, 19], "name": "SWITCH_ON_EOI"} - ] - }, - "IA_MULTI_VGT_PARAM_cik": { - "fields": [ - {"bits": [0, 15], "name": "PRIMGROUP_SIZE"}, - {"bits": [16, 16], "name": "PARTIAL_VS_WAVE_ON"}, - {"bits": [17, 17], "name": "SWITCH_ON_EOP"}, - {"bits": [18, 18], "name": "PARTIAL_ES_WAVE_ON"}, - {"bits": [19, 19], "name": "SWITCH_ON_EOI"}, - {"bits": [20, 20], "name": "WD_SWITCH_ON_EOP"} - ] - }, - "IA_MULTI_VGT_PARAM_gfx9": { - "fields": [ - {"bits": [0, 15], "name": "PRIMGROUP_SIZE"}, - {"bits": [16, 16], "name": "PARTIAL_VS_WAVE_ON"}, - {"bits": [17, 17], "name": "SWITCH_ON_EOP"}, - {"bits": [18, 18], "name": "PARTIAL_ES_WAVE_ON"}, - {"bits": [19, 19], "name": "SWITCH_ON_EOI"}, - {"bits": [20, 20], "name": "WD_SWITCH_ON_EOP"}, - {"bits": [21, 21], "name": "EN_INST_OPT_BASIC"}, - {"bits": [22, 22], "name": "EN_INST_OPT_ADV"}, - {"bits": [23, 23], "name": "HW_USE_ONLY"} - ] - }, - "IA_MULTI_VGT_PARAM_vi": { - "fields": [ - {"bits": [0, 15], "name": "PRIMGROUP_SIZE"}, - {"bits": [16, 16], "name": "PARTIAL_VS_WAVE_ON"}, - {"bits": [17, 17], "name": "SWITCH_ON_EOP"}, - {"bits": [18, 18], "name": "PARTIAL_ES_WAVE_ON"}, - {"bits": [19, 19], "name": "SWITCH_ON_EOI"}, - {"bits": [20, 20], "name": "WD_SWITCH_ON_EOP"}, - {"bits": [28, 31], "name": "MAX_PRIMGRP_IN_WAVE"} - ] - }, - "IA_PERFCOUNTER0_SELECT": { - "fields": [ - {"bits": [0, 9], "name": "PERF_SEL"}, - {"bits": [10, 19], "name": "PERF_SEL1"}, - {"bits": [20, 23], "name": "CNTR_MODE"}, - {"bits": [24, 27], "name": "PERF_MODE1"}, - {"bits": [28, 31], "name": "PERF_MODE"} - ] - }, - "IA_PERFCOUNTER0_SELECT1": { - "fields": [ - {"bits": [0, 9], "name": "PERF_SEL2"}, - {"bits": [10, 19], "name": "PERF_SEL3"}, - {"bits": [24, 27], "name": "PERF_MODE3"}, - {"bits": [28, 31], "name": "PERF_MODE2"} - ] - }, - "PA_CL_CLIP_CNTL": { - "fields": [ - {"bits": [0, 0], "name": "UCP_ENA_0"}, - {"bits": [1, 1], "name": "UCP_ENA_1"}, - {"bits": [2, 2], "name": "UCP_ENA_2"}, - {"bits": [3, 3], "name": "UCP_ENA_3"}, - {"bits": [4, 4], "name": "UCP_ENA_4"}, - {"bits": [5, 5], "name": "UCP_ENA_5"}, - {"bits": [13, 13], "name": "PS_UCP_Y_SCALE_NEG"}, - {"bits": [14, 15], "name": "PS_UCP_MODE"}, - {"bits": [16, 16], "name": "CLIP_DISABLE"}, - {"bits": [17, 17], "name": "UCP_CULL_ONLY_ENA"}, - {"bits": [18, 18], "name": "BOUNDARY_EDGE_FLAG_ENA"}, - {"bits": [19, 19], "name": "DX_CLIP_SPACE_DEF"}, - {"bits": [20, 20], "name": "DIS_CLIP_ERR_DETECT"}, - {"bits": [21, 21], "name": "VTX_KILL_OR"}, - {"bits": [22, 22], "name": "DX_RASTERIZATION_KILL"}, - {"bits": [24, 24], "name": "DX_LINEAR_ATTR_CLIP_ENA"}, - {"bits": [25, 25], "name": "VTE_VPORT_PROVOKE_DISABLE"}, - {"bits": [26, 26], "name": "ZCLIP_NEAR_DISABLE"}, - {"bits": [27, 27], "name": "ZCLIP_FAR_DISABLE"} - ] - }, - "PA_CL_ENHANCE": { - "fields": [ - {"bits": [0, 0], "name": "CLIP_VTX_REORDER_ENA"}, - {"bits": [1, 2], "name": "NUM_CLIP_SEQ"}, - {"bits": [3, 3], "name": "CLIPPED_PRIM_SEQ_STALL"}, - {"bits": [4, 4], "name": "VE_NAN_PROC_DISABLE"} - ] - }, - "PA_CL_NANINF_CNTL": { - "fields": [ - {"bits": [0, 0], "name": "VTE_XY_INF_DISCARD"}, - {"bits": [1, 1], "name": "VTE_Z_INF_DISCARD"}, - {"bits": [2, 2], "name": "VTE_W_INF_DISCARD"}, - {"bits": [3, 3], "name": "VTE_0XNANINF_IS_0"}, - {"bits": [4, 4], "name": "VTE_XY_NAN_RETAIN"}, - {"bits": [5, 5], "name": "VTE_Z_NAN_RETAIN"}, - {"bits": [6, 6], "name": "VTE_W_NAN_RETAIN"}, - {"bits": [7, 7], "name": "VTE_W_RECIP_NAN_IS_0"}, - {"bits": [8, 8], "name": "VS_XY_NAN_TO_INF"}, - {"bits": [9, 9], "name": "VS_XY_INF_RETAIN"}, - {"bits": [10, 10], "name": "VS_Z_NAN_TO_INF"}, - {"bits": [11, 11], "name": "VS_Z_INF_RETAIN"}, - {"bits": [12, 12], "name": "VS_W_NAN_TO_INF"}, - {"bits": [13, 13], "name": "VS_W_INF_RETAIN"}, - {"bits": [14, 14], "name": "VS_CLIP_DIST_INF_DISCARD"}, - {"bits": [20, 20], "name": "VTE_NO_OUTPUT_NEG_0"} - ] - }, - "PA_CL_NGG_CNTL": { - "fields": [ - {"bits": [0, 0], "name": "VERTEX_REUSE_OFF"}, - {"bits": [1, 1], "name": "INDEX_BUF_EDGE_FLAG_ENA"} - ] - }, - "PA_CL_OBJPRIM_ID_CNTL": { - "fields": [ - {"bits": [0, 0], "name": "OBJ_ID_SEL"}, - {"bits": [1, 1], "name": "ADD_PIPED_PRIM_ID"}, - {"bits": [2, 2], "name": "EN_32BIT_OBJPRIMID"} - ] - }, - "PA_CL_VS_OUT_CNTL": { - "fields": [ - {"bits": [0, 0], "name": "CLIP_DIST_ENA_0"}, - {"bits": [1, 1], "name": "CLIP_DIST_ENA_1"}, - {"bits": [2, 2], "name": "CLIP_DIST_ENA_2"}, - {"bits": [3, 3], "name": "CLIP_DIST_ENA_3"}, - {"bits": [4, 4], "name": "CLIP_DIST_ENA_4"}, - {"bits": [5, 5], "name": "CLIP_DIST_ENA_5"}, - {"bits": [6, 6], "name": "CLIP_DIST_ENA_6"}, - {"bits": [7, 7], "name": "CLIP_DIST_ENA_7"}, - {"bits": [8, 8], "name": "CULL_DIST_ENA_0"}, - {"bits": [9, 9], "name": "CULL_DIST_ENA_1"}, - {"bits": [10, 10], "name": "CULL_DIST_ENA_2"}, - {"bits": [11, 11], "name": "CULL_DIST_ENA_3"}, - {"bits": [12, 12], "name": "CULL_DIST_ENA_4"}, - {"bits": [13, 13], "name": "CULL_DIST_ENA_5"}, - {"bits": [14, 14], "name": "CULL_DIST_ENA_6"}, - {"bits": [15, 15], "name": "CULL_DIST_ENA_7"}, - {"bits": [16, 16], "name": "USE_VTX_POINT_SIZE"}, - {"bits": [17, 17], "name": "USE_VTX_EDGE_FLAG"}, - {"bits": [18, 18], "name": "USE_VTX_RENDER_TARGET_INDX"}, - {"bits": [19, 19], "name": "USE_VTX_VIEWPORT_INDX"}, - {"bits": [20, 20], "name": "USE_VTX_KILL_FLAG"}, - {"bits": [21, 21], "name": "VS_OUT_MISC_VEC_ENA"}, - {"bits": [22, 22], "name": "VS_OUT_CCDIST0_VEC_ENA"}, - {"bits": [23, 23], "name": "VS_OUT_CCDIST1_VEC_ENA"}, - {"bits": [24, 24], "name": "VS_OUT_MISC_SIDE_BUS_ENA"}, - {"bits": [25, 25], "name": "USE_VTX_GS_CUT_FLAG"} - ] - }, - "PA_CL_VS_OUT_CNTL_gfx9": { - "fields": [ - {"bits": [0, 0], "name": "CLIP_DIST_ENA_0"}, - {"bits": [1, 1], "name": "CLIP_DIST_ENA_1"}, - {"bits": [2, 2], "name": "CLIP_DIST_ENA_2"}, - {"bits": [3, 3], "name": "CLIP_DIST_ENA_3"}, - {"bits": [4, 4], "name": "CLIP_DIST_ENA_4"}, - {"bits": [5, 5], "name": "CLIP_DIST_ENA_5"}, - {"bits": [6, 6], "name": "CLIP_DIST_ENA_6"}, - {"bits": [7, 7], "name": "CLIP_DIST_ENA_7"}, - {"bits": [8, 8], "name": "CULL_DIST_ENA_0"}, - {"bits": [9, 9], "name": "CULL_DIST_ENA_1"}, - {"bits": [10, 10], "name": "CULL_DIST_ENA_2"}, - {"bits": [11, 11], "name": "CULL_DIST_ENA_3"}, - {"bits": [12, 12], "name": "CULL_DIST_ENA_4"}, - {"bits": [13, 13], "name": "CULL_DIST_ENA_5"}, - {"bits": [14, 14], "name": "CULL_DIST_ENA_6"}, - {"bits": [15, 15], "name": "CULL_DIST_ENA_7"}, - {"bits": [16, 16], "name": "USE_VTX_POINT_SIZE"}, - {"bits": [17, 17], "name": "USE_VTX_EDGE_FLAG"}, - {"bits": [18, 18], "name": "USE_VTX_RENDER_TARGET_INDX"}, - {"bits": [19, 19], "name": "USE_VTX_VIEWPORT_INDX"}, - {"bits": [20, 20], "name": "USE_VTX_KILL_FLAG"}, - {"bits": [21, 21], "name": "VS_OUT_MISC_VEC_ENA"}, - {"bits": [22, 22], "name": "VS_OUT_CCDIST0_VEC_ENA"}, - {"bits": [23, 23], "name": "VS_OUT_CCDIST1_VEC_ENA"}, - {"bits": [24, 24], "name": "VS_OUT_MISC_SIDE_BUS_ENA"}, - {"bits": [25, 25], "name": "USE_VTX_GS_CUT_FLAG"}, - {"bits": [26, 26], "name": "USE_VTX_LINE_WIDTH"}, - {"bits": [27, 27], "name": "USE_VTX_SHD_OBJPRIM_ID"} - ] - }, - "PA_CL_VS_OUT_CNTL_vi": { - "fields": [ - {"bits": [0, 0], "name": "CLIP_DIST_ENA_0"}, - {"bits": [1, 1], "name": "CLIP_DIST_ENA_1"}, - {"bits": [2, 2], "name": "CLIP_DIST_ENA_2"}, - {"bits": [3, 3], "name": "CLIP_DIST_ENA_3"}, - {"bits": [4, 4], "name": "CLIP_DIST_ENA_4"}, - {"bits": [5, 5], "name": "CLIP_DIST_ENA_5"}, - {"bits": [6, 6], "name": "CLIP_DIST_ENA_6"}, - {"bits": [7, 7], "name": "CLIP_DIST_ENA_7"}, - {"bits": [8, 8], "name": "CULL_DIST_ENA_0"}, - {"bits": [9, 9], "name": "CULL_DIST_ENA_1"}, - {"bits": [10, 10], "name": "CULL_DIST_ENA_2"}, - {"bits": [11, 11], "name": "CULL_DIST_ENA_3"}, - {"bits": [12, 12], "name": "CULL_DIST_ENA_4"}, - {"bits": [13, 13], "name": "CULL_DIST_ENA_5"}, - {"bits": [14, 14], "name": "CULL_DIST_ENA_6"}, - {"bits": [15, 15], "name": "CULL_DIST_ENA_7"}, - {"bits": [16, 16], "name": "USE_VTX_POINT_SIZE"}, - {"bits": [17, 17], "name": "USE_VTX_EDGE_FLAG"}, - {"bits": [18, 18], "name": "USE_VTX_RENDER_TARGET_INDX"}, - {"bits": [19, 19], "name": "USE_VTX_VIEWPORT_INDX"}, - {"bits": [20, 20], "name": "USE_VTX_KILL_FLAG"}, - {"bits": [21, 21], "name": "VS_OUT_MISC_VEC_ENA"}, - {"bits": [22, 22], "name": "VS_OUT_CCDIST0_VEC_ENA"}, - {"bits": [23, 23], "name": "VS_OUT_CCDIST1_VEC_ENA"}, - {"bits": [24, 24], "name": "VS_OUT_MISC_SIDE_BUS_ENA"}, - {"bits": [25, 25], "name": "USE_VTX_GS_CUT_FLAG"}, - {"bits": [26, 26], "name": "USE_VTX_LINE_WIDTH"} - ] - }, - "PA_CL_VTE_CNTL": { - "fields": [ - {"bits": [0, 0], "name": "VPORT_X_SCALE_ENA"}, - {"bits": [1, 1], "name": "VPORT_X_OFFSET_ENA"}, - {"bits": [2, 2], "name": "VPORT_Y_SCALE_ENA"}, - {"bits": [3, 3], "name": "VPORT_Y_OFFSET_ENA"}, - {"bits": [4, 4], "name": "VPORT_Z_SCALE_ENA"}, - {"bits": [5, 5], "name": "VPORT_Z_OFFSET_ENA"}, - {"bits": [8, 8], "name": "VTX_XY_FMT"}, - {"bits": [9, 9], "name": "VTX_Z_FMT"}, - {"bits": [10, 10], "name": "VTX_W0_FMT"} - ] - }, - "PA_CL_VTE_CNTL_gfx9": { - "fields": [ - {"bits": [0, 0], "name": "VPORT_X_SCALE_ENA"}, - {"bits": [1, 1], "name": "VPORT_X_OFFSET_ENA"}, - {"bits": [2, 2], "name": "VPORT_Y_SCALE_ENA"}, - {"bits": [3, 3], "name": "VPORT_Y_OFFSET_ENA"}, - {"bits": [4, 4], "name": "VPORT_Z_SCALE_ENA"}, - {"bits": [5, 5], "name": "VPORT_Z_OFFSET_ENA"}, - {"bits": [8, 8], "name": "VTX_XY_FMT"}, - {"bits": [9, 9], "name": "VTX_Z_FMT"}, - {"bits": [10, 10], "name": "VTX_W0_FMT"}, - {"bits": [11, 11], "name": "PERFCOUNTER_REF"} - ] - }, - "PA_SC_AA_CONFIG": { - "fields": [ - {"bits": [0, 2], "name": "MSAA_NUM_SAMPLES"}, - {"bits": [4, 4], "name": "AA_MASK_CENTROID_DTMN"}, - {"bits": [13, 16], "name": "MAX_SAMPLE_DIST"}, - {"bits": [20, 22], "name": "MSAA_EXPOSED_SAMPLES"}, - {"bits": [24, 25], "name": "DETAIL_TO_EXPOSED_MODE"} - ] - }, - "PA_SC_AA_CONFIG_gfx9": { - "fields": [ - {"bits": [0, 2], "name": "MSAA_NUM_SAMPLES"}, - {"bits": [4, 4], "name": "AA_MASK_CENTROID_DTMN"}, - {"bits": [13, 16], "name": "MAX_SAMPLE_DIST"}, - {"bits": [20, 22], "name": "MSAA_EXPOSED_SAMPLES"}, - {"bits": [24, 25], "name": "DETAIL_TO_EXPOSED_MODE"}, - {"bits": [26, 27], "name": "COVERAGE_TO_SHADER_SELECT"} - ] - }, - "PA_SC_AA_MASK_X0Y0_X1Y0": { - "fields": [ - {"bits": [0, 15], "name": "AA_MASK_X0Y0"}, - {"bits": [16, 31], "name": "AA_MASK_X1Y0"} - ] - }, - "PA_SC_AA_MASK_X0Y1_X1Y1": { - "fields": [ - {"bits": [0, 15], "name": "AA_MASK_X0Y1"}, - {"bits": [16, 31], "name": "AA_MASK_X1Y1"} - ] - }, - "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_0": { - "fields": [ - {"bits": [0, 3], "name": "S0_X"}, - {"bits": [4, 7], "name": "S0_Y"}, - {"bits": [8, 11], "name": "S1_X"}, - {"bits": [12, 15], "name": "S1_Y"}, - {"bits": [16, 19], "name": "S2_X"}, - {"bits": [20, 23], "name": "S2_Y"}, - {"bits": [24, 27], "name": "S3_X"}, - {"bits": [28, 31], "name": "S3_Y"} - ] - }, - "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_1": { - "fields": [ - {"bits": [0, 3], "name": "S4_X"}, - {"bits": [4, 7], "name": "S4_Y"}, - {"bits": [8, 11], "name": "S5_X"}, - {"bits": [12, 15], "name": "S5_Y"}, - {"bits": [16, 19], "name": "S6_X"}, - {"bits": [20, 23], "name": "S6_Y"}, - {"bits": [24, 27], "name": "S7_X"}, - {"bits": [28, 31], "name": "S7_Y"} - ] - }, - "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_2": { - "fields": [ - {"bits": [0, 3], "name": "S8_X"}, - {"bits": [4, 7], "name": "S8_Y"}, - {"bits": [8, 11], "name": "S9_X"}, - {"bits": [12, 15], "name": "S9_Y"}, - {"bits": [16, 19], "name": "S10_X"}, - {"bits": [20, 23], "name": "S10_Y"}, - {"bits": [24, 27], "name": "S11_X"}, - {"bits": [28, 31], "name": "S11_Y"} - ] - }, - "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_3": { - "fields": [ - {"bits": [0, 3], "name": "S12_X"}, - {"bits": [4, 7], "name": "S12_Y"}, - {"bits": [8, 11], "name": "S13_X"}, - {"bits": [12, 15], "name": "S13_Y"}, - {"bits": [16, 19], "name": "S14_X"}, - {"bits": [20, 23], "name": "S14_Y"}, - {"bits": [24, 27], "name": "S15_X"}, - {"bits": [28, 31], "name": "S15_Y"} - ] - }, - "PA_SC_BINNER_CNTL_0": { - "fields": [ - {"bits": [0, 1], "enum_ref": "BinningMode", "name": "BINNING_MODE"}, - {"bits": [2, 2], "name": "BIN_SIZE_X"}, - {"bits": [3, 3], "name": "BIN_SIZE_Y"}, - {"bits": [4, 6], "name": "BIN_SIZE_X_EXTEND"}, - {"bits": [7, 9], "name": "BIN_SIZE_Y_EXTEND"}, - {"bits": [10, 12], "name": "CONTEXT_STATES_PER_BIN"}, - {"bits": [13, 17], "name": "PERSISTENT_STATES_PER_BIN"}, - {"bits": [18, 18], "name": "DISABLE_START_OF_PRIM"}, - {"bits": [19, 26], "name": "FPOVS_PER_BATCH"}, - {"bits": [27, 27], "name": "OPTIMAL_BIN_SELECTION"} - ] - }, - "PA_SC_BINNER_CNTL_1": { - "fields": [ - {"bits": [0, 15], "name": "MAX_ALLOC_COUNT"}, - {"bits": [16, 31], "name": "MAX_PRIM_PER_BATCH"} - ] - }, - "PA_SC_CENTROID_PRIORITY_0": { - "fields": [ - {"bits": [0, 3], "name": "DISTANCE_0"}, - {"bits": [4, 7], "name": "DISTANCE_1"}, - {"bits": [8, 11], "name": "DISTANCE_2"}, - {"bits": [12, 15], "name": "DISTANCE_3"}, - {"bits": [16, 19], "name": "DISTANCE_4"}, - {"bits": [20, 23], "name": "DISTANCE_5"}, - {"bits": [24, 27], "name": "DISTANCE_6"}, - {"bits": [28, 31], "name": "DISTANCE_7"} - ] - }, - "PA_SC_CENTROID_PRIORITY_1": { - "fields": [ - {"bits": [0, 3], "name": "DISTANCE_8"}, - {"bits": [4, 7], "name": "DISTANCE_9"}, - {"bits": [8, 11], "name": "DISTANCE_10"}, - {"bits": [12, 15], "name": "DISTANCE_11"}, - {"bits": [16, 19], "name": "DISTANCE_12"}, - {"bits": [20, 23], "name": "DISTANCE_13"}, - {"bits": [24, 27], "name": "DISTANCE_14"}, - {"bits": [28, 31], "name": "DISTANCE_15"} - ] - }, - "PA_SC_CLIPRECT_0_TL": { - "fields": [ - {"bits": [0, 14], "name": "TL_X"}, - {"bits": [16, 30], "name": "TL_Y"} - ] - }, - "PA_SC_CLIPRECT_RULE": { - "fields": [ - {"bits": [0, 15], "enum_ref": "PA_SC_CLIPRECT_RULE__CLIP_RULE", "name": "CLIP_RULE"} - ] - }, - "PA_SC_CONSERVATIVE_RASTERIZATION_CNTL": { - "fields": [ - {"bits": [0, 0], "name": "OVER_RAST_ENABLE"}, - {"bits": [1, 4], "name": "OVER_RAST_SAMPLE_SELECT"}, - {"bits": [5, 5], "name": "UNDER_RAST_ENABLE"}, - {"bits": [6, 9], "name": "UNDER_RAST_SAMPLE_SELECT"}, - {"bits": [10, 10], "name": "PBB_UNCERTAINTY_REGION_ENABLE"}, - {"bits": [11, 11], "name": "ZMM_TRI_EXTENT"}, - {"bits": [12, 12], "name": "ZMM_TRI_OFFSET"}, - {"bits": [13, 13], "name": "OVERRIDE_OVER_RAST_INNER_TO_NORMAL"}, - {"bits": [14, 14], "name": "OVERRIDE_UNDER_RAST_INNER_TO_NORMAL"}, - {"bits": [15, 15], "name": "DEGENERATE_OVERRIDE_INNER_TO_NORMAL_DISABLE"}, - {"bits": [16, 17], "name": "UNCERTAINTY_REGION_MODE"}, - {"bits": [18, 18], "name": "OUTER_UNCERTAINTY_EDGERULE_OVERRIDE"}, - {"bits": [19, 19], "name": "INNER_UNCERTAINTY_EDGERULE_OVERRIDE"}, - {"bits": [20, 20], "name": "NULL_SQUAD_AA_MASK_ENABLE"}, - {"bits": [21, 21], "name": "COVERAGE_AA_MASK_ENABLE"}, - {"bits": [22, 22], "name": "PREZ_AA_MASK_ENABLE"}, - {"bits": [23, 23], "name": "POSTZ_AA_MASK_ENABLE"}, - {"bits": [24, 24], "name": "CENTROID_SAMPLE_OVERRIDE"} - ] - }, - "PA_SC_EDGERULE": { - "fields": [ - {"bits": [0, 3], "name": "ER_TRI"}, - {"bits": [4, 7], "name": "ER_POINT"}, - {"bits": [8, 11], "name": "ER_RECT"}, - {"bits": [12, 17], "name": "ER_LINE_LR"}, - {"bits": [18, 23], "name": "ER_LINE_RL"}, - {"bits": [24, 27], "name": "ER_LINE_TB"}, - {"bits": [28, 31], "name": "ER_LINE_BT"} - ] - }, - "PA_SC_ENHANCE": { - "fields": [ - {"bits": [0, 0], "name": "ENABLE_PA_SC_OUT_OF_ORDER"}, - {"bits": [1, 1], "name": "DISABLE_SC_DB_TILE_FIX"}, - {"bits": [2, 2], "name": "DISABLE_AA_MASK_FULL_FIX"}, - {"bits": [3, 3], "name": "ENABLE_1XMSAA_SAMPLE_LOCATIONS"}, - {"bits": [4, 4], "name": "ENABLE_1XMSAA_SAMPLE_LOC_CENTROID"}, - {"bits": [5, 5], "name": "DISABLE_SCISSOR_FIX"}, - {"bits": [6, 7], "name": "DISABLE_PW_BUBBLE_COLLAPSE"}, - {"bits": [8, 8], "name": "SEND_UNLIT_STILES_TO_PACKER"}, - {"bits": [9, 9], "name": "DISABLE_DUALGRAD_PERF_OPTIMIZATION"} - ] - }, - "PA_SC_HORIZ_GRID": { - "fields": [ - {"bits": [0, 7], "name": "TOP_QTR"}, - {"bits": [8, 15], "name": "TOP_HALF"}, - {"bits": [16, 23], "name": "BOT_HALF"}, - {"bits": [24, 31], "name": "BOT_QTR"} - ] - }, - "PA_SC_LINE_CNTL": { - "fields": [ - {"bits": [9, 9], "name": "EXPAND_LINE_WIDTH"}, - {"bits": [10, 10], "name": "LAST_PIXEL"}, - {"bits": [11, 11], "name": "PERPENDICULAR_ENDCAP_ENA"}, - {"bits": [12, 12], "name": "DX10_DIAMOND_TEST_ENA"} - ] - }, - "PA_SC_LINE_STIPPLE": { - "fields": [ - {"bits": [0, 15], "name": "LINE_PATTERN"}, - {"bits": [16, 23], "name": "REPEAT_COUNT"}, - {"bits": [28, 28], "name": "PATTERN_BIT_ORDER"}, - {"bits": [29, 30], "name": "AUTO_RESET_CNTL"} - ] - }, - "PA_SC_LINE_STIPPLE_STATE": { - "fields": [ - {"bits": [0, 3], "name": "CURRENT_PTR"}, - {"bits": [8, 15], "name": "CURRENT_COUNT"} - ] - }, - "PA_SC_MODE_CNTL_0": { - "fields": [ - {"bits": [0, 0], "name": "MSAA_ENABLE"}, - {"bits": [1, 1], "name": "VPORT_SCISSOR_ENABLE"}, - {"bits": [2, 2], "name": "LINE_STIPPLE_ENABLE"}, - {"bits": [3, 3], "name": "SEND_UNLIT_STILES_TO_PKR"} - ] - }, - "PA_SC_MODE_CNTL_0_gfx9": { - "fields": [ - {"bits": [0, 0], "name": "MSAA_ENABLE"}, - {"bits": [1, 1], "name": "VPORT_SCISSOR_ENABLE"}, - {"bits": [2, 2], "name": "LINE_STIPPLE_ENABLE"}, - {"bits": [3, 3], "name": "SEND_UNLIT_STILES_TO_PKR"}, - {"bits": [4, 4], "name": "SCALE_LINE_WIDTH_PAD"}, - {"bits": [5, 5], "name": "ALTERNATE_RBS_PER_TILE"}, - {"bits": [6, 6], "name": "COARSE_TILE_STARTS_ON_EVEN_RB"} - ] - }, - "PA_SC_MODE_CNTL_1": { - "fields": [ - {"bits": [0, 0], "name": "WALK_SIZE"}, - {"bits": [1, 1], "name": "WALK_ALIGNMENT"}, - {"bits": [2, 2], "name": "WALK_ALIGN8_PRIM_FITS_ST"}, - {"bits": [3, 3], "name": "WALK_FENCE_ENABLE"}, - {"bits": [4, 6], "name": "WALK_FENCE_SIZE"}, - {"bits": [7, 7], "name": "SUPERTILE_WALK_ORDER_ENABLE"}, - {"bits": [8, 8], "name": "TILE_WALK_ORDER_ENABLE"}, - {"bits": [9, 9], "name": "TILE_COVER_DISABLE"}, - {"bits": [10, 10], "name": "TILE_COVER_NO_SCISSOR"}, - {"bits": [11, 11], "name": "ZMM_LINE_EXTENT"}, - {"bits": [12, 12], "name": "ZMM_LINE_OFFSET"}, - {"bits": [13, 13], "name": "ZMM_RECT_EXTENT"}, - {"bits": [14, 14], "name": "KILL_PIX_POST_HI_Z"}, - {"bits": [15, 15], "name": "KILL_PIX_POST_DETAIL_MASK"}, - {"bits": [16, 16], "name": "PS_ITER_SAMPLE"}, - {"bits": [17, 17], "name": "MULTI_SHADER_ENGINE_PRIM_DISCARD_ENABLE"}, - {"bits": [18, 18], "name": "MULTI_GPU_SUPERTILE_ENABLE"}, - {"bits": [19, 19], "name": "GPU_ID_OVERRIDE_ENABLE"}, - {"bits": [20, 23], "name": "GPU_ID_OVERRIDE"}, - {"bits": [24, 24], "name": "MULTI_GPU_PRIM_DISCARD_ENABLE"}, - {"bits": [25, 25], "name": "FORCE_EOV_CNTDWN_ENABLE"}, - {"bits": [26, 26], "name": "FORCE_EOV_REZ_ENABLE"}, - {"bits": [27, 27], "name": "OUT_OF_ORDER_PRIMITIVE_ENABLE"}, - {"bits": [28, 30], "name": "OUT_OF_ORDER_WATER_MARK"} - ] - }, - "PA_SC_NGG_MODE_CNTL": { - "fields": [ - {"bits": [0, 10], "name": "MAX_DEALLOCS_IN_WAVE"} - ] - }, - "PA_SC_RASTER_CONFIG": { - "fields": [ - {"bits": [0, 1], "enum_ref": "RbMap", "name": "RB_MAP_PKR0"}, - {"bits": [2, 3], "enum_ref": "RbMap", "name": "RB_MAP_PKR1"}, - {"bits": [4, 5], "enum_ref": "RbXsel2", "name": "RB_XSEL2"}, - {"bits": [6, 6], "name": "RB_XSEL"}, - {"bits": [7, 7], "name": "RB_YSEL"}, - {"bits": [8, 9], "enum_ref": "PkrMap", "name": "PKR_MAP"}, - {"bits": [10, 11], "enum_ref": "PkrXsel", "name": "PKR_XSEL"}, - {"bits": [12, 13], "enum_ref": "PkrYsel", "name": "PKR_YSEL"}, - {"bits": [14, 15], "enum_ref": "PkrXsel2", "name": "PKR_XSEL2"}, - {"bits": [16, 17], "enum_ref": "ScMap", "name": "SC_MAP"}, - {"bits": [18, 19], "enum_ref": "ScXsel", "name": "SC_XSEL"}, - {"bits": [20, 21], "enum_ref": "ScYsel", "name": "SC_YSEL"}, - {"bits": [24, 25], "enum_ref": "SeMap", "name": "SE_MAP"}, - {"bits": [26, 27], "enum_ref": "SeXsel", "name": "SE_XSEL"}, - {"bits": [28, 29], "enum_ref": "SeYsel", "name": "SE_YSEL"} - ] - }, - "PA_SC_RASTER_CONFIG_1": { - "fields": [ - {"bits": [0, 1], "enum_ref": "SePairMap", "name": "SE_PAIR_MAP"}, - {"bits": [2, 3], "enum_ref": "SePairXsel", "name": "SE_PAIR_XSEL"}, - {"bits": [4, 5], "enum_ref": "SePairYsel", "name": "SE_PAIR_YSEL"} - ] - }, - "PA_SC_RASTER_CONFIG_1_gfx9": { - "fields": [ - {"bits": [0, 1], "enum_ref": "SePairMap", "name": "SE_PAIR_MAP"}, - {"bits": [2, 4], "name": "SE_PAIR_XSEL"}, - {"bits": [5, 7], "name": "SE_PAIR_YSEL"} - ] - }, - "PA_SC_RASTER_CONFIG_gfx9": { - "fields": [ - {"bits": [0, 1], "enum_ref": "RbMap", "name": "RB_MAP_PKR0"}, - {"bits": [2, 3], "enum_ref": "RbMap", "name": "RB_MAP_PKR1"}, - {"bits": [4, 5], "enum_ref": "RbXsel2", "name": "RB_XSEL2"}, - {"bits": [6, 6], "name": "RB_XSEL"}, - {"bits": [7, 7], "name": "RB_YSEL"}, - {"bits": [8, 9], "enum_ref": "PkrMap", "name": "PKR_MAP"}, - {"bits": [10, 11], "enum_ref": "PkrXsel", "name": "PKR_XSEL"}, - {"bits": [12, 13], "enum_ref": "PkrYsel", "name": "PKR_YSEL"}, - {"bits": [14, 15], "enum_ref": "PkrXsel2", "name": "PKR_XSEL2"}, - {"bits": [16, 17], "enum_ref": "ScMap", "name": "SC_MAP"}, - {"bits": [18, 19], "enum_ref": "ScXsel", "name": "SC_XSEL"}, - {"bits": [20, 21], "enum_ref": "ScYsel", "name": "SC_YSEL"}, - {"bits": [24, 25], "enum_ref": "SeMap", "name": "SE_MAP"}, - {"bits": [26, 28], "name": "SE_XSEL"}, - {"bits": [29, 31], "name": "SE_YSEL"} - ] - }, - "PA_SC_RIGHT_VERT_GRID": { - "fields": [ - {"bits": [0, 7], "name": "LEFT_QTR"}, - {"bits": [8, 15], "name": "LEFT_HALF"}, - {"bits": [16, 23], "name": "RIGHT_HALF"}, - {"bits": [24, 31], "name": "RIGHT_QTR"} - ] - }, - "PA_SC_SCREEN_EXTENT_CONTROL": { - "fields": [ - {"bits": [0, 1], "name": "SLICE_EVEN_ENABLE"}, - {"bits": [2, 3], "name": "SLICE_ODD_ENABLE"} - ] - }, - "PA_SC_SCREEN_EXTENT_MIN_0": { - "fields": [ - {"bits": [0, 15], "name": "X"}, - {"bits": [16, 31], "name": "Y"} - ] - }, - "PA_SC_SCREEN_SCISSOR_BR": { - "fields": [ - {"bits": [0, 15], "name": "BR_X"}, - {"bits": [16, 31], "name": "BR_Y"} - ] - }, - "PA_SC_SCREEN_SCISSOR_TL": { - "fields": [ - {"bits": [0, 15], "name": "TL_X"}, - {"bits": [16, 31], "name": "TL_Y"} - ] - }, - "PA_SC_SHADER_CONTROL": { - "fields": [ - {"bits": [0, 1], "name": "REALIGN_DQUADS_AFTER_N_WAVES"} - ] - }, - "PA_SC_SHADER_CONTROL_gfx9": { - "fields": [ - {"bits": [0, 1], "name": "REALIGN_DQUADS_AFTER_N_WAVES"}, - {"bits": [2, 2], "name": "LOAD_COLLISION_WAVEID"}, - {"bits": [3, 3], "name": "LOAD_INTRAWAVE_COLLISION"} - ] - }, - "PA_SC_TILE_STEERING_OVERRIDE": { - "fields": [ - {"bits": [0, 0], "name": "ENABLE"}, - {"bits": [1, 2], "name": "NUM_SE"}, - {"bits": [5, 6], "name": "NUM_RB_PER_SE"} - ] - }, - "PA_SC_WINDOW_OFFSET": { - "fields": [ - {"bits": [0, 15], "name": "WINDOW_X_OFFSET"}, - {"bits": [16, 31], "name": "WINDOW_Y_OFFSET"} - ] - }, - "PA_SC_WINDOW_SCISSOR_BR": { - "fields": [ - {"bits": [0, 14], "name": "BR_X"}, - {"bits": [16, 30], "name": "BR_Y"} - ] - }, - "PA_SC_WINDOW_SCISSOR_TL": { - "fields": [ - {"bits": [0, 14], "name": "TL_X"}, - {"bits": [16, 30], "name": "TL_Y"}, - {"bits": [31, 31], "name": "WINDOW_OFFSET_DISABLE"} - ] - }, - "PA_SU_HARDWARE_SCREEN_OFFSET": { - "fields": [ - {"bits": [0, 8], "name": "HW_SCREEN_OFFSET_X"}, - {"bits": [16, 24], "name": "HW_SCREEN_OFFSET_Y"} - ] - }, - "PA_SU_LINE_CNTL": { - "fields": [ - {"bits": [0, 15], "name": "WIDTH"} - ] - }, - "PA_SU_LINE_STIPPLE_CNTL": { - "fields": [ - {"bits": [0, 1], "name": "LINE_STIPPLE_RESET"}, - {"bits": [2, 2], "name": "EXPAND_FULL_LENGTH"}, - {"bits": [3, 3], "name": "FRACTIONAL_ACCUM"}, - {"bits": [4, 4], "name": "DIAMOND_ADJUST"} - ] - }, - "PA_SU_LINE_STIPPLE_VALUE": { - "fields": [ - {"bits": [0, 23], "name": "LINE_STIPPLE_VALUE"} - ] - }, - "PA_SU_OVER_RASTERIZATION_CNTL": { - "fields": [ - {"bits": [0, 0], "name": "DISCARD_0_AREA_TRIANGLES"}, - {"bits": [1, 1], "name": "DISCARD_0_AREA_LINES"}, - {"bits": [2, 2], "name": "DISCARD_0_AREA_POINTS"}, - {"bits": [3, 3], "name": "DISCARD_0_AREA_RECTANGLES"}, - {"bits": [4, 4], "name": "USE_PROVOKING_ZW"} - ] - }, - "PA_SU_PERFCOUNTER0_HI": { - "fields": [ - {"bits": [0, 15], "name": "PERFCOUNTER_HI"} - ] - }, - "PA_SU_PERFCOUNTER0_SELECT": { - "fields": [ - {"bits": [0, 9], "name": "PERF_SEL"}, - {"bits": [10, 19], "name": "PERF_SEL1"}, - {"bits": [20, 23], "name": "CNTR_MODE"} - ] - }, - "PA_SU_PERFCOUNTER0_SELECT1": { - "fields": [ - {"bits": [0, 9], "name": "PERF_SEL2"}, - {"bits": [10, 19], "name": "PERF_SEL3"} - ] - }, - "PA_SU_POINT_MINMAX": { - "fields": [ - {"bits": [0, 15], "name": "MIN_SIZE"}, - {"bits": [16, 31], "name": "MAX_SIZE"} - ] - }, - "PA_SU_POINT_SIZE": { - "fields": [ - {"bits": [0, 15], "name": "HEIGHT"}, - {"bits": [16, 31], "name": "WIDTH"} - ] - }, - "PA_SU_POLY_OFFSET_DB_FMT_CNTL": { - "fields": [ - {"bits": [0, 7], "name": "POLY_OFFSET_NEG_NUM_DB_BITS"}, - {"bits": [8, 8], "name": "POLY_OFFSET_DB_IS_FLOAT_FMT"} - ] - }, - "PA_SU_PRIM_FILTER_CNTL": { - "fields": [ - {"bits": [0, 0], "name": "TRIANGLE_FILTER_DISABLE"}, - {"bits": [1, 1], "name": "LINE_FILTER_DISABLE"}, - {"bits": [2, 2], "name": "POINT_FILTER_DISABLE"}, - {"bits": [3, 3], "name": "RECTANGLE_FILTER_DISABLE"}, - {"bits": [4, 4], "name": "TRIANGLE_EXPAND_ENA"}, - {"bits": [5, 5], "name": "LINE_EXPAND_ENA"}, - {"bits": [6, 6], "name": "POINT_EXPAND_ENA"}, - {"bits": [7, 7], "name": "RECTANGLE_EXPAND_ENA"}, - {"bits": [8, 15], "name": "PRIM_EXPAND_CONSTANT"} - ] - }, - "PA_SU_PRIM_FILTER_CNTL_cik": { - "fields": [ - {"bits": [0, 0], "name": "TRIANGLE_FILTER_DISABLE"}, - {"bits": [1, 1], "name": "LINE_FILTER_DISABLE"}, - {"bits": [2, 2], "name": "POINT_FILTER_DISABLE"}, - {"bits": [3, 3], "name": "RECTANGLE_FILTER_DISABLE"}, - {"bits": [4, 4], "name": "TRIANGLE_EXPAND_ENA"}, - {"bits": [5, 5], "name": "LINE_EXPAND_ENA"}, - {"bits": [6, 6], "name": "POINT_EXPAND_ENA"}, - {"bits": [7, 7], "name": "RECTANGLE_EXPAND_ENA"}, - {"bits": [8, 15], "name": "PRIM_EXPAND_CONSTANT"}, - {"bits": [30, 30], "name": "XMAX_RIGHT_EXCLUSION"}, - {"bits": [31, 31], "name": "YMAX_BOTTOM_EXCLUSION"} - ] - }, - "PA_SU_SC_MODE_CNTL": { - "fields": [ - {"bits": [0, 0], "name": "CULL_FRONT"}, - {"bits": [1, 1], "name": "CULL_BACK"}, - {"bits": [2, 2], "name": "FACE"}, - {"bits": [3, 4], "enum_ref": "PA_SU_SC_MODE_CNTL__POLY_MODE", "name": "POLY_MODE"}, - {"bits": [5, 7], "enum_ref": "PA_SU_SC_MODE_CNTL__POLYMODE_FRONT_PTYPE", "name": "POLYMODE_FRONT_PTYPE"}, - {"bits": [8, 10], "enum_ref": "PA_SU_SC_MODE_CNTL__POLYMODE_FRONT_PTYPE", "name": "POLYMODE_BACK_PTYPE"}, - {"bits": [11, 11], "name": "POLY_OFFSET_FRONT_ENABLE"}, - {"bits": [12, 12], "name": "POLY_OFFSET_BACK_ENABLE"}, - {"bits": [13, 13], "name": "POLY_OFFSET_PARA_ENABLE"}, - {"bits": [16, 16], "name": "VTX_WINDOW_OFFSET_ENABLE"}, - {"bits": [19, 19], "name": "PROVOKING_VTX_LAST"}, - {"bits": [20, 20], "name": "PERSP_CORR_DIS"}, - {"bits": [21, 21], "name": "MULTI_PRIM_IB_ENA"} - ] - }, - "PA_SU_SC_MODE_CNTL_gfx9": { - "fields": [ - {"bits": [0, 0], "name": "CULL_FRONT"}, - {"bits": [1, 1], "name": "CULL_BACK"}, - {"bits": [2, 2], "name": "FACE"}, - {"bits": [3, 4], "enum_ref": "PA_SU_SC_MODE_CNTL__POLY_MODE", "name": "POLY_MODE"}, - {"bits": [5, 7], "enum_ref": "PA_SU_SC_MODE_CNTL__POLYMODE_FRONT_PTYPE", "name": "POLYMODE_FRONT_PTYPE"}, - {"bits": [8, 10], "enum_ref": "PA_SU_SC_MODE_CNTL__POLYMODE_FRONT_PTYPE", "name": "POLYMODE_BACK_PTYPE"}, - {"bits": [11, 11], "name": "POLY_OFFSET_FRONT_ENABLE"}, - {"bits": [12, 12], "name": "POLY_OFFSET_BACK_ENABLE"}, - {"bits": [13, 13], "name": "POLY_OFFSET_PARA_ENABLE"}, - {"bits": [16, 16], "name": "VTX_WINDOW_OFFSET_ENABLE"}, - {"bits": [19, 19], "name": "PROVOKING_VTX_LAST"}, - {"bits": [20, 20], "name": "PERSP_CORR_DIS"}, - {"bits": [21, 21], "name": "MULTI_PRIM_IB_ENA"}, - {"bits": [22, 22], "name": "RIGHT_TRIANGLE_ALTERNATE_GRADIENT_REF"}, - {"bits": [23, 23], "name": "NEW_QUAD_DECOMPOSITION"} - ] - }, - "PA_SU_SMALL_PRIM_FILTER_CNTL": { - "fields": [ - {"bits": [0, 0], "name": "SMALL_PRIM_FILTER_ENABLE"}, - {"bits": [1, 1], "name": "TRIANGLE_FILTER_DISABLE"}, - {"bits": [2, 2], "name": "LINE_FILTER_DISABLE"}, - {"bits": [3, 3], "name": "POINT_FILTER_DISABLE"}, - {"bits": [4, 4], "name": "RECTANGLE_FILTER_DISABLE"} - ] - }, - "PA_SU_VTX_CNTL": { - "fields": [ - {"bits": [0, 0], "name": "PIX_CENTER"}, - {"bits": [1, 2], "enum_ref": "PA_SU_VTX_CNTL__ROUND_MODE", "name": "ROUND_MODE"}, - {"bits": [3, 5], "enum_ref": "PA_SU_VTX_CNTL__QUANT_MODE", "name": "QUANT_MODE"} - ] - }, - "SDMA0_STATUS_REG": { - "fields": [ - {"bits": [0, 0], "name": "IDLE"}, - {"bits": [1, 1], "name": "REG_IDLE"}, - {"bits": [2, 2], "name": "RB_EMPTY"}, - {"bits": [3, 3], "name": "RB_FULL"}, - {"bits": [4, 4], "name": "RB_CMD_IDLE"}, - {"bits": [5, 5], "name": "RB_CMD_FULL"}, - {"bits": [6, 6], "name": "IB_CMD_IDLE"}, - {"bits": [7, 7], "name": "IB_CMD_FULL"}, - {"bits": [8, 8], "name": "BLOCK_IDLE"}, - {"bits": [9, 9], "name": "INSIDE_IB"}, - {"bits": [10, 10], "name": "EX_IDLE"}, - {"bits": [11, 11], "name": "EX_IDLE_POLL_TIMER_EXPIRE"}, - {"bits": [12, 12], "name": "PACKET_READY"}, - {"bits": [13, 13], "name": "MC_WR_IDLE"}, - {"bits": [14, 14], "name": "SRBM_IDLE"}, - {"bits": [15, 15], "name": "CONTEXT_EMPTY"}, - {"bits": [16, 16], "name": "DELTA_RPTR_FULL"}, - {"bits": [17, 17], "name": "RB_MC_RREQ_IDLE"}, - {"bits": [18, 18], "name": "IB_MC_RREQ_IDLE"}, - {"bits": [19, 19], "name": "MC_RD_IDLE"}, - {"bits": [20, 20], "name": "DELTA_RPTR_EMPTY"}, - {"bits": [21, 21], "name": "MC_RD_RET_STALL"}, - {"bits": [22, 22], "name": "MC_RD_NO_POLL_IDLE"}, - {"bits": [25, 25], "name": "PREV_CMD_IDLE"}, - {"bits": [26, 26], "name": "SEM_IDLE"}, - {"bits": [27, 27], "name": "SEM_REQ_STALL"}, - {"bits": [28, 29], "name": "SEM_RESP_STATE"}, - {"bits": [30, 30], "name": "INT_IDLE"}, - {"bits": [31, 31], "name": "INT_REQ_STALL"} - ] - }, - "SPI_ARB_CYCLES_0": { - "fields": [ - {"bits": [0, 15], "name": "TS0_DURATION"}, - {"bits": [16, 31], "name": "TS1_DURATION"} - ] - }, - "SPI_ARB_CYCLES_1": { - "fields": [ - {"bits": [0, 15], "name": "TS2_DURATION"} - ] - }, - "SPI_ARB_PRIORITY": { - "fields": [ - {"bits": [0, 2], "enum_ref": "SPI_ARB_PRIORITY__RING_ORDER_TS0", "name": "RING_ORDER_TS0"}, - {"bits": [3, 5], "name": "RING_ORDER_TS1"}, - {"bits": [6, 8], "name": "RING_ORDER_TS2"} - ] - }, - "SPI_ARB_PRIORITY_cik": { - "fields": [ - {"bits": [0, 2], "name": "PIPE_ORDER_TS0"}, - {"bits": [3, 5], "name": "PIPE_ORDER_TS1"}, - {"bits": [6, 8], "name": "PIPE_ORDER_TS2"}, - {"bits": [9, 11], "name": "PIPE_ORDER_TS3"}, - {"bits": [12, 13], "name": "TS0_DUR_MULT"}, - {"bits": [14, 15], "name": "TS1_DUR_MULT"}, - {"bits": [16, 17], "name": "TS2_DUR_MULT"}, - {"bits": [18, 19], "name": "TS3_DUR_MULT"} - ] - }, - "SPI_BARYC_CNTL": { - "fields": [ - {"bits": [0, 0], "name": "PERSP_CENTER_CNTL"}, - {"bits": [4, 4], "name": "PERSP_CENTROID_CNTL"}, - {"bits": [8, 8], "name": "LINEAR_CENTER_CNTL"}, - {"bits": [12, 12], "name": "LINEAR_CENTROID_CNTL"}, - {"bits": [16, 17], "enum_ref": "SPI_BARYC_CNTL__POS_FLOAT_LOCATION", "name": "POS_FLOAT_LOCATION"}, - {"bits": [20, 20], "name": "POS_FLOAT_ULC"}, - {"bits": [24, 24], "name": "FRONT_FACE_ALL_BITS"} - ] - }, - "SPI_CONFIG_CNTL": { - "fields": [ - {"bits": [0, 20], "name": "GPR_WRITE_PRIORITY"}, - {"bits": [21, 23], "name": "EXP_PRIORITY_ORDER"}, - {"bits": [24, 24], "name": "ENABLE_SQG_TOP_EVENTS"}, - {"bits": [25, 25], "name": "ENABLE_SQG_BOP_EVENTS"}, - {"bits": [26, 26], "name": "RSRC_MGMT_RESET"} - ] - }, - "SPI_CONFIG_CNTL_1": { - "fields": [ - {"bits": [0, 3], "enum_ref": "SPI_CONFIG_CNTL_1__VTX_DONE_DELAY", "name": "VTX_DONE_DELAY"}, - {"bits": [4, 4], "name": "INTERP_ONE_PRIM_PER_ROW"}, - {"bits": [6, 6], "name": "PC_LIMIT_ENABLE"}, - {"bits": [7, 7], "name": "PC_LIMIT_STRICT"}, - {"bits": [16, 31], "name": "PC_LIMIT_SIZE"} - ] - }, - "SPI_CONFIG_CNTL_1_gfx9": { - "fields": [ - {"bits": [0, 3], "name": "VTX_DONE_DELAY"}, - {"bits": [4, 4], "name": "INTERP_ONE_PRIM_PER_ROW"}, - {"bits": [5, 5], "name": "BATON_RESET_DISABLE"}, - {"bits": [6, 6], "name": "PC_LIMIT_ENABLE"}, - {"bits": [7, 7], "name": "PC_LIMIT_STRICT"}, - {"bits": [8, 8], "name": "CRC_SIMD_ID_WADDR_DISABLE"}, - {"bits": [9, 9], "name": "LBPW_CU_CHK_MODE"}, - {"bits": [10, 13], "name": "LBPW_CU_CHK_CNT"}, - {"bits": [14, 14], "name": "CSC_PWR_SAVE_DISABLE"}, - {"bits": [15, 15], "name": "CSG_PWR_SAVE_DISABLE"}, - {"bits": [16, 31], "name": "PC_LIMIT_SIZE"} - ] - }, - "SPI_CONFIG_CNTL_2": { - "fields": [ - {"bits": [0, 3], "name": "CONTEXT_SAVE_WAIT_GDS_REQUEST_CYCLE_OVHD"}, - {"bits": [4, 7], "name": "CONTEXT_SAVE_WAIT_GDS_GRANT_CYCLE_OVHD"} - ] - }, - "SPI_CONFIG_CNTL_gfx9": { - "fields": [ - {"bits": [0, 20], "name": "GPR_WRITE_PRIORITY"}, - {"bits": [21, 23], "name": "EXP_PRIORITY_ORDER"}, - {"bits": [24, 24], "name": "ENABLE_SQG_TOP_EVENTS"}, - {"bits": [25, 25], "name": "ENABLE_SQG_BOP_EVENTS"}, - {"bits": [26, 26], "name": "RSRC_MGMT_RESET"}, - {"bits": [27, 27], "name": "TTRACE_STALL_ALL"}, - {"bits": [28, 28], "name": "ALLOC_ARB_LRU_ENA"}, - {"bits": [29, 29], "name": "EXP_ARB_LRU_ENA"}, - {"bits": [30, 31], "name": "PS_PKR_PRIORITY_CNTL"} - ] - }, - "SPI_DYN_GPR_LOCK_EN": { - "fields": [ - {"bits": [0, 3], "name": "VS_LOW_THRESHOLD"}, - {"bits": [4, 7], "name": "GS_LOW_THRESHOLD"}, - {"bits": [8, 11], "name": "ES_LOW_THRESHOLD"}, - {"bits": [12, 15], "name": "HS_LOW_THRESHOLD"}, - {"bits": [16, 19], "name": "LS_LOW_THRESHOLD"} - ] - }, - "SPI_INTERP_CONTROL_0": { - "fields": [ - {"bits": [0, 0], "name": "FLAT_SHADE_ENA"}, - {"bits": [1, 1], "name": "PNT_SPRITE_ENA"}, - {"bits": [2, 4], "enum_ref": "SPI_PNT_SPRITE_OVERRIDE", "name": "PNT_SPRITE_OVRD_X"}, - {"bits": [5, 7], "enum_ref": "SPI_PNT_SPRITE_OVERRIDE", "name": "PNT_SPRITE_OVRD_Y"}, - {"bits": [8, 10], "enum_ref": "SPI_PNT_SPRITE_OVERRIDE", "name": "PNT_SPRITE_OVRD_Z"}, - {"bits": [11, 13], "enum_ref": "SPI_PNT_SPRITE_OVERRIDE", "name": "PNT_SPRITE_OVRD_W"}, - {"bits": [14, 14], "name": "PNT_SPRITE_TOP_1"} - ] - }, - "SPI_PERFCOUNTER_BINS": { - "fields": [ - {"bits": [0, 3], "name": "BIN0_MIN"}, - {"bits": [4, 7], "name": "BIN0_MAX"}, - {"bits": [8, 11], "name": "BIN1_MIN"}, - {"bits": [12, 15], "name": "BIN1_MAX"}, - {"bits": [16, 19], "name": "BIN2_MIN"}, - {"bits": [20, 23], "name": "BIN2_MAX"}, - {"bits": [24, 27], "name": "BIN3_MIN"}, - {"bits": [28, 31], "name": "BIN3_MAX"} - ] - }, - "SPI_PS_INPUT_CNTL_0": { - "fields": [ - {"bits": [0, 5], "name": "OFFSET"}, - {"bits": [8, 9], "enum_ref": "SPI_PS_INPUT_CNTL_0__DEFAULT_VAL", "name": "DEFAULT_VAL"}, - {"bits": [10, 10], "name": "FLAT_SHADE"}, - {"bits": [13, 16], "name": "CYL_WRAP"}, - {"bits": [17, 17], "name": "PT_SPRITE_TEX"} - ] - }, - "SPI_PS_INPUT_CNTL_0_cik": { - "fields": [ - {"bits": [0, 5], "name": "OFFSET"}, - {"bits": [8, 9], "enum_ref": "SPI_PS_INPUT_CNTL_0__DEFAULT_VAL", "name": "DEFAULT_VAL"}, - {"bits": [10, 10], "name": "FLAT_SHADE"}, - {"bits": [13, 16], "name": "CYL_WRAP"}, - {"bits": [17, 17], "name": "PT_SPRITE_TEX"}, - {"bits": [18, 18], "name": "DUP"} - ] - }, - "SPI_PS_INPUT_CNTL_0_vi": { - "fields": [ - {"bits": [0, 5], "name": "OFFSET"}, - {"bits": [8, 9], "enum_ref": "SPI_PS_INPUT_CNTL_0__DEFAULT_VAL", "name": "DEFAULT_VAL"}, - {"bits": [10, 10], "name": "FLAT_SHADE"}, - {"bits": [13, 16], "name": "CYL_WRAP"}, - {"bits": [17, 17], "name": "PT_SPRITE_TEX"}, - {"bits": [18, 18], "name": "DUP"}, - {"bits": [19, 19], "name": "FP16_INTERP_MODE"}, - {"bits": [20, 20], "name": "USE_DEFAULT_ATTR1"}, - {"bits": [21, 22], "name": "DEFAULT_VAL_ATTR1"}, - {"bits": [23, 23], "name": "PT_SPRITE_TEX_ATTR1"}, - {"bits": [24, 24], "name": "ATTR0_VALID"}, - {"bits": [25, 25], "name": "ATTR1_VALID"} - ] - }, - "SPI_PS_INPUT_ENA": { - "fields": [ - {"bits": [0, 0], "name": "PERSP_SAMPLE_ENA"}, - {"bits": [1, 1], "name": "PERSP_CENTER_ENA"}, - {"bits": [2, 2], "name": "PERSP_CENTROID_ENA"}, - {"bits": [3, 3], "name": "PERSP_PULL_MODEL_ENA"}, - {"bits": [4, 4], "name": "LINEAR_SAMPLE_ENA"}, - {"bits": [5, 5], "name": "LINEAR_CENTER_ENA"}, - {"bits": [6, 6], "name": "LINEAR_CENTROID_ENA"}, - {"bits": [7, 7], "name": "LINE_STIPPLE_TEX_ENA"}, - {"bits": [8, 8], "name": "POS_X_FLOAT_ENA"}, - {"bits": [9, 9], "name": "POS_Y_FLOAT_ENA"}, - {"bits": [10, 10], "name": "POS_Z_FLOAT_ENA"}, - {"bits": [11, 11], "name": "POS_W_FLOAT_ENA"}, - {"bits": [12, 12], "name": "FRONT_FACE_ENA"}, - {"bits": [13, 13], "name": "ANCILLARY_ENA"}, - {"bits": [14, 14], "name": "SAMPLE_COVERAGE_ENA"}, - {"bits": [15, 15], "name": "POS_FIXED_PT_ENA"} - ] - }, - "SPI_PS_IN_CONTROL": { - "fields": [ - {"bits": [0, 5], "name": "NUM_INTERP"}, - {"bits": [6, 6], "name": "PARAM_GEN"}, - {"bits": [7, 13], "comment": "not on CIK", "name": "FOG_ADDR"}, - {"bits": [14, 14], "name": "BC_OPTIMIZE_DISABLE"}, - {"bits": [15, 15], "comment": "not on CIK", "name": "PASS_FOG_THROUGH_PS"} - ] - }, - "SPI_PS_IN_CONTROL_cik": { - "fields": [ - {"bits": [0, 5], "name": "NUM_INTERP"}, - {"bits": [6, 6], "name": "PARAM_GEN"}, - {"bits": [14, 14], "name": "BC_OPTIMIZE_DISABLE"} - ] - }, - "SPI_PS_IN_CONTROL_gfx9": { - "fields": [ - {"bits": [0, 5], "name": "NUM_INTERP"}, - {"bits": [6, 6], "name": "PARAM_GEN"}, - {"bits": [7, 7], "name": "OFFCHIP_PARAM_EN"}, - {"bits": [8, 8], "name": "LATE_PC_DEALLOC"}, - {"bits": [14, 14], "name": "BC_OPTIMIZE_DISABLE"} - ] - }, - "SPI_PS_MAX_WAVE_ID": { - "fields": [ - {"bits": [0, 11], "name": "MAX_WAVE_ID"} - ] - }, - "SPI_RESOURCE_RESERVE_CU_AB_0": { - "fields": [ - {"bits": [0, 3], "name": "TYPE_A"}, - {"bits": [4, 6], "name": "VGPR_A"}, - {"bits": [7, 9], "name": "SGPR_A"}, - {"bits": [10, 12], "name": "LDS_A"}, - {"bits": [13, 14], "name": "WAVES_A"}, - {"bits": [15, 15], "name": "EN_A"}, - {"bits": [16, 19], "name": "TYPE_B"}, - {"bits": [20, 22], "name": "VGPR_B"}, - {"bits": [23, 25], "name": "SGPR_B"}, - {"bits": [26, 28], "name": "LDS_B"}, - {"bits": [29, 30], "name": "WAVES_B"}, - {"bits": [31, 31], "name": "EN_B"} - ] - }, - "SPI_SHADER_COL_FORMAT": { - "fields": [ - {"bits": [0, 3], "enum_ref": "SPI_SHADER_EX_FORMAT", "name": "COL0_EXPORT_FORMAT"}, - {"bits": [4, 7], "enum_ref": "SPI_SHADER_EX_FORMAT", "name": "COL1_EXPORT_FORMAT"}, - {"bits": [8, 11], "enum_ref": "SPI_SHADER_EX_FORMAT", "name": "COL2_EXPORT_FORMAT"}, - {"bits": [12, 15], "enum_ref": "SPI_SHADER_EX_FORMAT", "name": "COL3_EXPORT_FORMAT"}, - {"bits": [16, 19], "enum_ref": "SPI_SHADER_EX_FORMAT", "name": "COL4_EXPORT_FORMAT"}, - {"bits": [20, 23], "enum_ref": "SPI_SHADER_EX_FORMAT", "name": "COL5_EXPORT_FORMAT"}, - {"bits": [24, 27], "enum_ref": "SPI_SHADER_EX_FORMAT", "name": "COL6_EXPORT_FORMAT"}, - {"bits": [28, 31], "enum_ref": "SPI_SHADER_EX_FORMAT", "name": "COL7_EXPORT_FORMAT"} - ] - }, - "SPI_SHADER_LATE_ALLOC_VS": { - "fields": [ - {"bits": [0, 5], "name": "LIMIT"} - ] - }, - "SPI_SHADER_PGM_RSRC1_GS": { - "fields": [ - {"bits": [0, 5], "name": "VGPRS"}, - {"bits": [6, 9], "name": "SGPRS"}, - {"bits": [10, 11], "name": "PRIORITY"}, - {"bits": [12, 19], "enum_ref": "SPI_SHADER_PGM_RSRC1_PS__FLOAT_MODE", "name": "FLOAT_MODE"}, - {"bits": [20, 20], "name": "PRIV"}, - {"bits": [21, 21], "name": "DX10_CLAMP"}, - {"bits": [22, 22], "name": "DEBUG_MODE"}, - {"bits": [23, 23], "name": "IEEE_MODE"}, - {"bits": [24, 24], "name": "CU_GROUP_ENABLE"} - ] - }, - "SPI_SHADER_PGM_RSRC1_GS_cik": { - "fields": [ - {"bits": [0, 5], "name": "VGPRS"}, - {"bits": [6, 9], "name": "SGPRS"}, - {"bits": [10, 11], "name": "PRIORITY"}, - {"bits": [12, 19], "enum_ref": "SPI_SHADER_PGM_RSRC1_PS__FLOAT_MODE", "name": "FLOAT_MODE"}, - {"bits": [20, 20], "name": "PRIV"}, - {"bits": [21, 21], "name": "DX10_CLAMP"}, - {"bits": [22, 22], "name": "DEBUG_MODE"}, - {"bits": [23, 23], "name": "IEEE_MODE"}, - {"bits": [24, 24], "name": "CU_GROUP_ENABLE"}, - {"bits": [25, 27], "name": "CACHE_CTL"}, - {"bits": [28, 28], "name": "CDBG_USER"} - ] - }, - "SPI_SHADER_PGM_RSRC1_GS_gfx9": { - "fields": [ - {"bits": [0, 5], "name": "VGPRS"}, - {"bits": [6, 9], "name": "SGPRS"}, - {"bits": [10, 11], "name": "PRIORITY"}, - {"bits": [12, 19], "enum_ref": "SPI_SHADER_PGM_RSRC1_PS__FLOAT_MODE", "name": "FLOAT_MODE"}, - {"bits": [20, 20], "name": "PRIV"}, - {"bits": [21, 21], "name": "DX10_CLAMP"}, - {"bits": [22, 22], "name": "DEBUG_MODE"}, - {"bits": [23, 23], "name": "IEEE_MODE"}, - {"bits": [24, 24], "name": "CU_GROUP_ENABLE"}, - {"bits": [28, 28], "name": "CDBG_USER"}, - {"bits": [29, 30], "name": "GS_VGPR_COMP_CNT"}, - {"bits": [31, 31], "name": "FP16_OVFL"} - ] - }, - "SPI_SHADER_PGM_RSRC1_HS": { - "fields": [ - {"bits": [0, 5], "name": "VGPRS"}, - {"bits": [6, 9], "name": "SGPRS"}, - {"bits": [10, 11], "name": "PRIORITY"}, - {"bits": [12, 19], "enum_ref": "SPI_SHADER_PGM_RSRC1_PS__FLOAT_MODE", "name": "FLOAT_MODE"}, - {"bits": [20, 20], "name": "PRIV"}, - {"bits": [21, 21], "name": "DX10_CLAMP"}, - {"bits": [22, 22], "name": "DEBUG_MODE"}, - {"bits": [23, 23], "name": "IEEE_MODE"} - ] - }, - "SPI_SHADER_PGM_RSRC1_HS_cik": { - "fields": [ - {"bits": [0, 5], "name": "VGPRS"}, - {"bits": [6, 9], "name": "SGPRS"}, - {"bits": [10, 11], "name": "PRIORITY"}, - {"bits": [12, 19], "enum_ref": "SPI_SHADER_PGM_RSRC1_PS__FLOAT_MODE", "name": "FLOAT_MODE"}, - {"bits": [20, 20], "name": "PRIV"}, - {"bits": [21, 21], "name": "DX10_CLAMP"}, - {"bits": [22, 22], "name": "DEBUG_MODE"}, - {"bits": [23, 23], "name": "IEEE_MODE"}, - {"bits": [24, 26], "name": "CACHE_CTL"}, - {"bits": [27, 27], "name": "CDBG_USER"} - ] - }, - "SPI_SHADER_PGM_RSRC1_HS_gfx9": { - "fields": [ - {"bits": [0, 5], "name": "VGPRS"}, - {"bits": [6, 9], "name": "SGPRS"}, - {"bits": [10, 11], "name": "PRIORITY"}, - {"bits": [12, 19], "enum_ref": "SPI_SHADER_PGM_RSRC1_PS__FLOAT_MODE", "name": "FLOAT_MODE"}, - {"bits": [20, 20], "name": "PRIV"}, - {"bits": [21, 21], "name": "DX10_CLAMP"}, - {"bits": [22, 22], "name": "DEBUG_MODE"}, - {"bits": [23, 23], "name": "IEEE_MODE"}, - {"bits": [27, 27], "name": "CDBG_USER"}, - {"bits": [28, 29], "name": "LS_VGPR_COMP_CNT"}, - {"bits": [30, 30], "name": "FP16_OVFL"} - ] - }, - "SPI_SHADER_PGM_RSRC1_LS": { - "fields": [ - {"bits": [0, 5], "name": "VGPRS"}, - {"bits": [6, 9], "name": "SGPRS"}, - {"bits": [10, 11], "name": "PRIORITY"}, - {"bits": [12, 19], "enum_ref": "SPI_SHADER_PGM_RSRC1_PS__FLOAT_MODE", "name": "FLOAT_MODE"}, - {"bits": [20, 20], "name": "PRIV"}, - {"bits": [21, 21], "name": "DX10_CLAMP"}, - {"bits": [22, 22], "name": "DEBUG_MODE"}, - {"bits": [23, 23], "name": "IEEE_MODE"}, - {"bits": [24, 25], "name": "VGPR_COMP_CNT"} - ] - }, - "SPI_SHADER_PGM_RSRC1_LS_cik": { - "fields": [ - {"bits": [0, 5], "name": "VGPRS"}, - {"bits": [6, 9], "name": "SGPRS"}, - {"bits": [10, 11], "name": "PRIORITY"}, - {"bits": [12, 19], "enum_ref": "SPI_SHADER_PGM_RSRC1_PS__FLOAT_MODE", "name": "FLOAT_MODE"}, - {"bits": [20, 20], "name": "PRIV"}, - {"bits": [21, 21], "name": "DX10_CLAMP"}, - {"bits": [22, 22], "name": "DEBUG_MODE"}, - {"bits": [23, 23], "name": "IEEE_MODE"}, - {"bits": [24, 25], "name": "VGPR_COMP_CNT"}, - {"bits": [26, 28], "name": "CACHE_CTL"}, - {"bits": [29, 29], "name": "CDBG_USER"} - ] - }, - "SPI_SHADER_PGM_RSRC1_PS": { - "fields": [ - {"bits": [0, 5], "name": "VGPRS"}, - {"bits": [6, 9], "name": "SGPRS"}, - {"bits": [10, 11], "name": "PRIORITY"}, - {"bits": [12, 19], "enum_ref": "SPI_SHADER_PGM_RSRC1_PS__FLOAT_MODE", "name": "FLOAT_MODE"}, - {"bits": [20, 20], "name": "PRIV"}, - {"bits": [21, 21], "name": "DX10_CLAMP"}, - {"bits": [22, 22], "name": "DEBUG_MODE"}, - {"bits": [23, 23], "name": "IEEE_MODE"}, - {"bits": [24, 24], "name": "CU_GROUP_DISABLE"} - ] - }, - "SPI_SHADER_PGM_RSRC1_PS_cik": { - "fields": [ - {"bits": [0, 5], "name": "VGPRS"}, - {"bits": [6, 9], "name": "SGPRS"}, - {"bits": [10, 11], "name": "PRIORITY"}, - {"bits": [12, 19], "enum_ref": "SPI_SHADER_PGM_RSRC1_PS__FLOAT_MODE", "name": "FLOAT_MODE"}, - {"bits": [20, 20], "name": "PRIV"}, - {"bits": [21, 21], "name": "DX10_CLAMP"}, - {"bits": [22, 22], "name": "DEBUG_MODE"}, - {"bits": [23, 23], "name": "IEEE_MODE"}, - {"bits": [24, 24], "name": "CU_GROUP_DISABLE"}, - {"bits": [25, 27], "name": "CACHE_CTL"}, - {"bits": [28, 28], "name": "CDBG_USER"} - ] - }, - "SPI_SHADER_PGM_RSRC1_PS_gfx9": { - "fields": [ - {"bits": [0, 5], "name": "VGPRS"}, - {"bits": [6, 9], "name": "SGPRS"}, - {"bits": [10, 11], "name": "PRIORITY"}, - {"bits": [12, 19], "enum_ref": "SPI_SHADER_PGM_RSRC1_PS__FLOAT_MODE", "name": "FLOAT_MODE"}, - {"bits": [20, 20], "name": "PRIV"}, - {"bits": [21, 21], "name": "DX10_CLAMP"}, - {"bits": [22, 22], "name": "DEBUG_MODE"}, - {"bits": [23, 23], "name": "IEEE_MODE"}, - {"bits": [24, 24], "name": "CU_GROUP_DISABLE"}, - {"bits": [28, 28], "name": "CDBG_USER"}, - {"bits": [29, 29], "name": "FP16_OVFL"} - ] - }, - "SPI_SHADER_PGM_RSRC1_VS": { - "fields": [ - {"bits": [0, 5], "name": "VGPRS"}, - {"bits": [6, 9], "name": "SGPRS"}, - {"bits": [10, 11], "name": "PRIORITY"}, - {"bits": [12, 19], "enum_ref": "SPI_SHADER_PGM_RSRC1_PS__FLOAT_MODE", "name": "FLOAT_MODE"}, - {"bits": [20, 20], "name": "PRIV"}, - {"bits": [21, 21], "name": "DX10_CLAMP"}, - {"bits": [22, 22], "name": "DEBUG_MODE"}, - {"bits": [23, 23], "name": "IEEE_MODE"}, - {"bits": [24, 25], "name": "VGPR_COMP_CNT"}, - {"bits": [26, 26], "name": "CU_GROUP_ENABLE"} - ] - }, - "SPI_SHADER_PGM_RSRC1_VS_cik": { - "fields": [ - {"bits": [0, 5], "name": "VGPRS"}, - {"bits": [6, 9], "name": "SGPRS"}, - {"bits": [10, 11], "name": "PRIORITY"}, - {"bits": [12, 19], "enum_ref": "SPI_SHADER_PGM_RSRC1_PS__FLOAT_MODE", "name": "FLOAT_MODE"}, - {"bits": [20, 20], "name": "PRIV"}, - {"bits": [21, 21], "name": "DX10_CLAMP"}, - {"bits": [22, 22], "name": "DEBUG_MODE"}, - {"bits": [23, 23], "name": "IEEE_MODE"}, - {"bits": [24, 25], "name": "VGPR_COMP_CNT"}, - {"bits": [26, 26], "name": "CU_GROUP_ENABLE"}, - {"bits": [27, 29], "name": "CACHE_CTL"}, - {"bits": [30, 30], "name": "CDBG_USER"} - ] - }, - "SPI_SHADER_PGM_RSRC1_VS_gfx9": { - "fields": [ - {"bits": [0, 5], "name": "VGPRS"}, - {"bits": [6, 9], "name": "SGPRS"}, - {"bits": [10, 11], "name": "PRIORITY"}, - {"bits": [12, 19], "enum_ref": "SPI_SHADER_PGM_RSRC1_PS__FLOAT_MODE", "name": "FLOAT_MODE"}, - {"bits": [20, 20], "name": "PRIV"}, - {"bits": [21, 21], "name": "DX10_CLAMP"}, - {"bits": [22, 22], "name": "DEBUG_MODE"}, - {"bits": [23, 23], "name": "IEEE_MODE"}, - {"bits": [24, 25], "name": "VGPR_COMP_CNT"}, - {"bits": [26, 26], "name": "CU_GROUP_ENABLE"}, - {"bits": [30, 30], "name": "CDBG_USER"}, - {"bits": [31, 31], "name": "FP16_OVFL"} - ] - }, - "SPI_SHADER_PGM_RSRC2_ES": { - "fields": [ - {"bits": [0, 0], "name": "SCRATCH_EN"}, - {"bits": [1, 5], "name": "USER_SGPR"}, - {"bits": [6, 6], "name": "TRAP_PRESENT"}, - {"bits": [7, 7], "name": "OC_LDS_EN"}, - {"bits": [8, 14], "name": "EXCP_EN_SI"}, - {"bits": [8, 16], "name": "EXCP_EN"} - ] - }, - "SPI_SHADER_PGM_RSRC2_ES_cik": { - "fields": [ - {"bits": [0, 0], "name": "SCRATCH_EN"}, - {"bits": [1, 5], "name": "USER_SGPR"}, - {"bits": [6, 6], "name": "TRAP_PRESENT"}, - {"bits": [7, 7], "name": "OC_LDS_EN"}, - {"bits": [8, 14], "name": "EXCP_EN_SI"}, - {"bits": [8, 16], "name": "EXCP_EN"}, - {"bits": [20, 28], "comment": "CIK, for on-chip GS", "name": "LDS_SIZE"} - ] - }, - "SPI_SHADER_PGM_RSRC2_GS": { - "fields": [ - {"bits": [0, 0], "name": "SCRATCH_EN"}, - {"bits": [1, 5], "name": "USER_SGPR"}, - {"bits": [6, 6], "name": "TRAP_PRESENT"}, - {"bits": [7, 13], "name": "EXCP_EN_SI"}, - {"bits": [7, 15], "name": "EXCP_EN"} - ] - }, - "SPI_SHADER_PGM_RSRC2_GS_VS": { - "fields": [ - {"bits": [0, 0], "name": "SCRATCH_EN"}, - {"bits": [1, 5], "name": "USER_SGPR"}, - {"bits": [6, 6], "name": "TRAP_PRESENT"}, - {"bits": [7, 15], "name": "EXCP_EN"}, - {"bits": [16, 17], "name": "VGPR_COMP_CNT"}, - {"bits": [18, 18], "name": "OC_LDS_EN"}, - {"bits": [19, 26], "name": "LDS_SIZE"}, - {"bits": [27, 27], "name": "SKIP_USGPR0"}, - {"bits": [28, 28], "name": "USER_SGPR_MSB"} - ] - }, - "SPI_SHADER_PGM_RSRC2_GS_gfx9": { - "fields": [ - {"bits": [0, 0], "name": "SCRATCH_EN"}, - {"bits": [1, 5], "name": "USER_SGPR"}, - {"bits": [6, 6], "name": "TRAP_PRESENT"}, - {"bits": [7, 15], "name": "EXCP_EN"}, - {"bits": [16, 17], "name": "ES_VGPR_COMP_CNT"}, - {"bits": [18, 18], "name": "OC_LDS_EN"}, - {"bits": [19, 26], "name": "LDS_SIZE"}, - {"bits": [27, 27], "name": "SKIP_USGPR0"}, - {"bits": [28, 28], "name": "USER_SGPR_MSB"} - ] - }, - "SPI_SHADER_PGM_RSRC2_HS": { - "fields": [ - {"bits": [0, 0], "name": "SCRATCH_EN"}, - {"bits": [1, 5], "name": "USER_SGPR"}, - {"bits": [6, 6], "name": "TRAP_PRESENT"}, - {"bits": [7, 7], "name": "OC_LDS_EN"}, - {"bits": [8, 8], "name": "TG_SIZE_EN"}, - {"bits": [9, 15], "name": "EXCP_EN_SI"}, - {"bits": [9, 17], "name": "EXCP_EN_CIK_VI"} - ] - }, - "SPI_SHADER_PGM_RSRC2_HS_gfx9": { - "fields": [ - {"bits": [0, 0], "name": "SCRATCH_EN"}, - {"bits": [1, 5], "name": "USER_SGPR"}, - {"bits": [6, 6], "name": "TRAP_PRESENT"}, - {"bits": [7, 15], "name": "EXCP_EN"}, - {"bits": [16, 24], "name": "LDS_SIZE"}, - {"bits": [27, 27], "name": "SKIP_USGPR0"}, - {"bits": [28, 28], "name": "USER_SGPR_MSB"} - ] - }, - "SPI_SHADER_PGM_RSRC2_LS": { - "fields": [ - {"bits": [0, 0], "name": "SCRATCH_EN"}, - {"bits": [1, 5], "name": "USER_SGPR"}, - {"bits": [6, 6], "name": "TRAP_PRESENT"}, - {"bits": [7, 15], "name": "LDS_SIZE"}, - {"bits": [16, 22], "name": "EXCP_EN_SI"}, - {"bits": [16, 24], "name": "EXCP_EN"} - ] - }, - "SPI_SHADER_PGM_RSRC2_PS": { - "fields": [ - {"bits": [0, 0], "name": "SCRATCH_EN"}, - {"bits": [1, 5], "name": "USER_SGPR"}, - {"bits": [6, 6], "name": "TRAP_PRESENT"}, - {"bits": [7, 7], "name": "WAVE_CNT_EN"}, - {"bits": [8, 15], "name": "EXTRA_LDS_SIZE"}, - {"bits": [16, 22], "name": "EXCP_EN_SI"}, - {"bits": [16, 24], "name": "EXCP_EN"} - ] - }, - "SPI_SHADER_PGM_RSRC2_PS_gfx9": { - "fields": [ - {"bits": [0, 0], "name": "SCRATCH_EN"}, - {"bits": [1, 5], "name": "USER_SGPR"}, - {"bits": [6, 6], "name": "TRAP_PRESENT"}, - {"bits": [7, 7], "name": "WAVE_CNT_EN"}, - {"bits": [8, 15], "name": "EXTRA_LDS_SIZE"}, - {"bits": [16, 24], "name": "EXCP_EN"}, - {"bits": [25, 25], "name": "LOAD_COLLISION_WAVEID"}, - {"bits": [26, 26], "name": "LOAD_INTRAWAVE_COLLISION"}, - {"bits": [27, 27], "name": "SKIP_USGPR0"}, - {"bits": [28, 28], "name": "USER_SGPR_MSB"} - ] - }, - "SPI_SHADER_PGM_RSRC2_VS": { - "fields": [ - {"bits": [0, 0], "name": "SCRATCH_EN"}, - {"bits": [1, 5], "name": "USER_SGPR"}, - {"bits": [6, 6], "name": "TRAP_PRESENT"}, - {"bits": [7, 7], "name": "OC_LDS_EN"}, - {"bits": [8, 8], "name": "SO_BASE0_EN"}, - {"bits": [9, 9], "name": "SO_BASE1_EN"}, - {"bits": [10, 10], "name": "SO_BASE2_EN"}, - {"bits": [11, 11], "name": "SO_BASE3_EN"}, - {"bits": [12, 12], "name": "SO_EN"}, - {"bits": [13, 19], "name": "EXCP_EN_SI"}, - {"bits": [13, 21], "name": "EXCP_EN"} - ] - }, - "SPI_SHADER_PGM_RSRC2_VS_gfx9": { - "fields": [ - {"bits": [0, 0], "name": "SCRATCH_EN"}, - {"bits": [1, 5], "name": "USER_SGPR"}, - {"bits": [6, 6], "name": "TRAP_PRESENT"}, - {"bits": [7, 7], "name": "OC_LDS_EN"}, - {"bits": [8, 8], "name": "SO_BASE0_EN"}, - {"bits": [9, 9], "name": "SO_BASE1_EN"}, - {"bits": [10, 10], "name": "SO_BASE2_EN"}, - {"bits": [11, 11], "name": "SO_BASE3_EN"}, - {"bits": [12, 12], "name": "SO_EN"}, - {"bits": [13, 21], "name": "EXCP_EN"}, - {"bits": [22, 22], "name": "PC_BASE_EN"}, - {"bits": [24, 24], "name": "DISPATCH_DRAW_EN"}, - {"bits": [27, 27], "name": "SKIP_USGPR0"}, - {"bits": [28, 28], "name": "USER_SGPR_MSB"} - ] - }, - "SPI_SHADER_PGM_RSRC2_VS_vi": { - "fields": [ - {"bits": [0, 0], "name": "SCRATCH_EN"}, - {"bits": [1, 5], "name": "USER_SGPR"}, - {"bits": [6, 6], "name": "TRAP_PRESENT"}, - {"bits": [7, 7], "name": "OC_LDS_EN"}, - {"bits": [8, 8], "name": "SO_BASE0_EN"}, - {"bits": [9, 9], "name": "SO_BASE1_EN"}, - {"bits": [10, 10], "name": "SO_BASE2_EN"}, - {"bits": [11, 11], "name": "SO_BASE3_EN"}, - {"bits": [12, 12], "name": "SO_EN"}, - {"bits": [13, 19], "name": "EXCP_EN_SI"}, - {"bits": [13, 21], "name": "EXCP_EN"}, - {"bits": [24, 24], "name": "DISPATCH_DRAW_EN"} - ] - }, - "SPI_SHADER_PGM_RSRC3_GS": { - "fields": [ - {"bits": [0, 15], "name": "CU_EN"}, - {"bits": [16, 21], "name": "WAVE_LIMIT"}, - {"bits": [22, 25], "name": "LOCK_LOW_THRESHOLD"}, - {"bits": [26, 31], "name": "GROUP_FIFO_DEPTH"} - ] - }, - "SPI_SHADER_PGM_RSRC3_HS": { - "fields": [ - {"bits": [0, 5], "name": "WAVE_LIMIT"}, - {"bits": [6, 9], "name": "LOCK_LOW_THRESHOLD"} - ] - }, - "SPI_SHADER_PGM_RSRC3_HS_gfx9": { - "fields": [ - {"bits": [0, 5], "name": "WAVE_LIMIT"}, - {"bits": [6, 9], "name": "LOCK_LOW_THRESHOLD"}, - {"bits": [10, 13], "name": "SIMD_DISABLE"}, - {"bits": [16, 31], "name": "CU_EN"} - ] - }, - "SPI_SHADER_PGM_RSRC3_HS_vi": { - "fields": [ - {"bits": [0, 5], "name": "WAVE_LIMIT"}, - {"bits": [6, 9], "name": "LOCK_LOW_THRESHOLD"}, - {"bits": [10, 15], "name": "GROUP_FIFO_DEPTH"} - ] - }, - "SPI_SHADER_PGM_RSRC3_PS": { - "fields": [ - {"bits": [0, 15], "name": "CU_EN"}, - {"bits": [16, 21], "name": "WAVE_LIMIT"}, - {"bits": [22, 25], "name": "LOCK_LOW_THRESHOLD"} - ] - }, - "SPI_SHADER_PGM_RSRC3_PS_gfx9": { - "fields": [ - {"bits": [0, 15], "name": "CU_EN"}, - {"bits": [16, 21], "name": "WAVE_LIMIT"}, - {"bits": [22, 25], "name": "LOCK_LOW_THRESHOLD"}, - {"bits": [26, 29], "name": "SIMD_DISABLE"} - ] - }, - "SPI_SHADER_PGM_RSRC4_GS": { - "fields": [ - {"bits": [0, 6], "name": "GROUP_FIFO_DEPTH"}, - {"bits": [7, 13], "name": "SPI_SHADER_LATE_ALLOC_GS"} - ] - }, - "SPI_SHADER_PGM_RSRC4_HS": { - "fields": [ - {"bits": [0, 6], "name": "GROUP_FIFO_DEPTH"} - ] - }, - "SPI_SHADER_POS_FORMAT": { - "fields": [ - {"bits": [0, 3], "enum_ref": "SPI_SHADER_FORMAT", "name": "POS0_EXPORT_FORMAT"}, - {"bits": [4, 7], "enum_ref": "SPI_SHADER_FORMAT", "name": "POS1_EXPORT_FORMAT"}, - {"bits": [8, 11], "enum_ref": "SPI_SHADER_FORMAT", "name": "POS2_EXPORT_FORMAT"}, - {"bits": [12, 15], "enum_ref": "SPI_SHADER_FORMAT", "name": "POS3_EXPORT_FORMAT"} - ] - }, - "SPI_SHADER_TBA_HI_PS": { - "fields": [ - {"bits": [0, 7], "name": "MEM_BASE"} - ] - }, - "SPI_SHADER_Z_FORMAT": { - "fields": [ - {"bits": [0, 3], "enum_ref": "SPI_SHADER_EX_FORMAT", "name": "Z_EXPORT_FORMAT"} - ] - }, - "SPI_STATIC_THREAD_MGMT_1": { - "fields": [ - {"bits": [0, 15], "name": "PS_CU_EN"}, - {"bits": [16, 31], "name": "VS_CU_EN"} - ] - }, - "SPI_STATIC_THREAD_MGMT_2": { - "fields": [ - {"bits": [0, 15], "name": "GS_CU_EN"}, - {"bits": [16, 31], "name": "ES_CU_EN"} - ] - }, - "SPI_STATIC_THREAD_MGMT_3": { - "fields": [ - {"bits": [0, 15], "name": "LSHS_CU_EN"} - ] - }, - "SPI_VS_OUT_CONFIG": { - "fields": [ - {"bits": [1, 5], "name": "VS_EXPORT_COUNT"}, - {"bits": [6, 6], "name": "VS_HALF_PACK"}, - {"bits": [7, 7], "comment": "not on CIK", "name": "VS_EXPORTS_FOG"}, - {"bits": [8, 12], "comment": "not on CIK", "name": "VS_OUT_FOG_VEC_ADDR"} - ] - }, - "SPI_VS_OUT_CONFIG_cik": { - "fields": [ - {"bits": [1, 5], "name": "VS_EXPORT_COUNT"}, - {"bits": [6, 6], "name": "VS_HALF_PACK"} - ] - }, - "SPI_WAVE_MGMT_1": { - "fields": [ - {"bits": [0, 5], "name": "NUM_PS_WAVES"}, - {"bits": [6, 11], "name": "NUM_VS_WAVES"}, - {"bits": [12, 17], "name": "NUM_GS_WAVES"}, - {"bits": [18, 23], "name": "NUM_ES_WAVES"}, - {"bits": [24, 29], "name": "NUM_HS_WAVES"} - ] - }, - "SPI_WAVE_MGMT_2": { - "fields": [ - {"bits": [0, 5], "name": "NUM_LS_WAVES"} - ] - }, - "SQC_CACHES": { - "fields": [ - {"bits": [0, 0], "name": "INST_INVALIDATE"}, - {"bits": [1, 1], "name": "DATA_INVALIDATE"} - ] - }, - "SQC_CACHES_cik": { - "fields": [ - {"bits": [0, 0], "name": "INST_INVALIDATE"}, - {"bits": [1, 1], "name": "DATA_INVALIDATE"}, - {"bits": [2, 2], "name": "INVALIDATE_VOLATILE"} - ] - }, - "SQC_CACHES_gfx9": { - "fields": [ - {"bits": [0, 0], "name": "TARGET_INST"}, - {"bits": [1, 1], "name": "TARGET_DATA"}, - {"bits": [2, 2], "name": "INVALIDATE"}, - {"bits": [3, 3], "name": "WRITEBACK"}, - {"bits": [4, 4], "name": "VOL"}, - {"bits": [16, 16], "name": "COMPLETE"} - ] - }, - "SQC_WRITEBACK": { - "fields": [ - {"bits": [0, 0], "name": "DWB"}, - {"bits": [1, 1], "name": "DIRTY"} - ] - }, - "SQ_BUF_RSRC_WORD1": { - "fields": [ - {"bits": [0, 15], "name": "BASE_ADDRESS_HI"}, - {"bits": [16, 29], "name": "STRIDE"}, - {"bits": [30, 30], "name": "CACHE_SWIZZLE"}, - {"bits": [31, 31], "name": "SWIZZLE_ENABLE"} - ] - }, - "SQ_BUF_RSRC_WORD3": { - "fields": [ - {"bits": [0, 2], "enum_ref": "SQ_BUF_RSRC_WORD3__DST_SEL_X", "name": "DST_SEL_X"}, - {"bits": [3, 5], "enum_ref": "SQ_BUF_RSRC_WORD3__DST_SEL_X", "name": "DST_SEL_Y"}, - {"bits": [6, 8], "enum_ref": "SQ_BUF_RSRC_WORD3__DST_SEL_X", "name": "DST_SEL_Z"}, - {"bits": [9, 11], "enum_ref": "SQ_BUF_RSRC_WORD3__DST_SEL_X", "name": "DST_SEL_W"}, - {"bits": [12, 14], "enum_ref": "SQ_BUF_RSRC_WORD3__NUM_FORMAT", "name": "NUM_FORMAT"}, - {"bits": [15, 18], "enum_ref": "SQ_BUF_RSRC_WORD3__DATA_FORMAT", "name": "DATA_FORMAT"}, - {"bits": [19, 20], "name": "ELEMENT_SIZE"}, - {"bits": [21, 22], "name": "INDEX_STRIDE"}, - {"bits": [23, 23], "name": "ADD_TID_ENABLE"}, - {"bits": [25, 25], "name": "HASH_ENABLE"}, - {"bits": [26, 26], "name": "HEAP"}, - {"bits": [30, 31], "enum_ref": "SQ_BUF_RSRC_WORD3__TYPE", "name": "TYPE"} - ] - }, - "SQ_BUF_RSRC_WORD3_cik": { - "fields": [ - {"bits": [0, 2], "enum_ref": "SQ_BUF_RSRC_WORD3__DST_SEL_X", "name": "DST_SEL_X"}, - {"bits": [3, 5], "enum_ref": "SQ_BUF_RSRC_WORD3__DST_SEL_X", "name": "DST_SEL_Y"}, - {"bits": [6, 8], "enum_ref": "SQ_BUF_RSRC_WORD3__DST_SEL_X", "name": "DST_SEL_Z"}, - {"bits": [9, 11], "enum_ref": "SQ_BUF_RSRC_WORD3__DST_SEL_X", "name": "DST_SEL_W"}, - {"bits": [12, 14], "enum_ref": "SQ_BUF_RSRC_WORD3__NUM_FORMAT", "name": "NUM_FORMAT"}, - {"bits": [15, 18], "enum_ref": "SQ_BUF_RSRC_WORD3__DATA_FORMAT", "name": "DATA_FORMAT"}, - {"bits": [19, 20], "name": "ELEMENT_SIZE"}, - {"bits": [21, 22], "name": "INDEX_STRIDE"}, - {"bits": [23, 23], "name": "ADD_TID_ENABLE"}, - {"bits": [24, 24], "name": "ATC"}, - {"bits": [25, 25], "name": "HASH_ENABLE"}, - {"bits": [26, 26], "name": "HEAP"}, - {"bits": [27, 29], "name": "MTYPE"}, - {"bits": [30, 31], "enum_ref": "SQ_BUF_RSRC_WORD3__TYPE", "name": "TYPE"} - ] - }, - "SQ_BUF_RSRC_WORD3_gfx9": { - "fields": [ - {"bits": [0, 2], "enum_ref": "SQ_BUF_RSRC_WORD3__DST_SEL_X", "name": "DST_SEL_X"}, - {"bits": [3, 5], "enum_ref": "SQ_BUF_RSRC_WORD3__DST_SEL_X", "name": "DST_SEL_Y"}, - {"bits": [6, 8], "enum_ref": "SQ_BUF_RSRC_WORD3__DST_SEL_X", "name": "DST_SEL_Z"}, - {"bits": [9, 11], "enum_ref": "SQ_BUF_RSRC_WORD3__DST_SEL_X", "name": "DST_SEL_W"}, - {"bits": [12, 14], "enum_ref": "SQ_BUF_RSRC_WORD3__NUM_FORMAT", "name": "NUM_FORMAT"}, - {"bits": [15, 18], "enum_ref": "SQ_BUF_RSRC_WORD3__DATA_FORMAT", "name": "DATA_FORMAT"}, - {"bits": [19, 19], "name": "USER_VM_ENABLE"}, - {"bits": [20, 20], "name": "USER_VM_MODE"}, - {"bits": [21, 22], "name": "INDEX_STRIDE"}, - {"bits": [23, 23], "name": "ADD_TID_ENABLE"}, - {"bits": [27, 27], "name": "NV"}, - {"bits": [30, 31], "enum_ref": "SQ_BUF_RSRC_WORD3__TYPE", "name": "TYPE"} - ] - }, - "SQ_EXP_0": { - "fields": [ - {"bits": [0, 3], "name": "EN"}, - {"bits": [4, 9], "enum_ref": "SQ_EXP_0__TGT", "name": "TGT"}, - {"bits": [10, 10], "name": "COMPR"}, - {"bits": [11, 11], "name": "DONE"}, - {"bits": [12, 12], "name": "VM"}, - {"bits": [26, 31], "enum_ref": "SQ_EXP_0__ENCODING", "name": "ENCODING"} - ] - }, - "SQ_FLAT_SCRATCH_WORD0": { - "fields": [ - {"bits": [0, 18], "name": "SIZE"} - ] - }, - "SQ_FLAT_SCRATCH_WORD1": { - "fields": [ - {"bits": [0, 23], "name": "OFFSET"} - ] - }, - "SQ_IMG_RSRC_WORD1": { - "fields": [ - {"bits": [0, 7], "name": "BASE_ADDRESS_HI"}, - {"bits": [8, 19], "name": "MIN_LOD"}, - {"bits": [20, 25], "enum_ref": "SQ_IMG_RSRC_WORD1__DATA_FORMAT", "name": "DATA_FORMAT"}, - {"bits": [26, 29], "enum_ref": "SQ_IMG_RSRC_WORD1__NUM_FORMAT", "name": "NUM_FORMAT"} - ] - }, - "SQ_IMG_RSRC_WORD1_cik": { - "fields": [ - {"bits": [0, 7], "name": "BASE_ADDRESS_HI"}, - {"bits": [8, 19], "name": "MIN_LOD"}, - {"bits": [20, 25], "enum_ref": "SQ_IMG_RSRC_WORD1__DATA_FORMAT", "name": "DATA_FORMAT"}, - {"bits": [26, 29], "enum_ref": "SQ_IMG_RSRC_WORD1__NUM_FORMAT", "name": "NUM_FORMAT"}, - {"bits": [30, 31], "name": "MTYPE"} - ] - }, - "SQ_IMG_RSRC_WORD1_gfx9": { - "fields": [ - {"bits": [0, 7], "name": "BASE_ADDRESS_HI"}, - {"bits": [8, 19], "name": "MIN_LOD"}, - {"bits": [20, 25], "enum_ref": "SQ_IMG_RSRC_WORD1__DATA_FORMAT_gfx9", "name": "DATA_FORMAT"}, - {"bits": [26, 29], "enum_ref": "SQ_IMG_RSRC_WORD1__NUM_FORMAT_gfx9", "name": "NUM_FORMAT"}, - {"bits": [26, 29], "enum_ref": "SQ_IMG_RSRC_WORD1__NUM_FORMAT_FMASK", "name": "NUM_FORMAT_FMASK"}, - {"bits": [26, 29], "enum_ref": "SQ_IMG_RSRC_WORD1__NUM_FORMAT_ASTC_2D", "name": "NUM_FORMAT_ASTC_2D"}, - {"bits": [26, 29], "enum_ref": "SQ_IMG_RSRC_WORD1__NUM_FORMAT_ASTC_3D", "name": "NUM_FORMAT_ASTC_3D"}, - {"bits": [30, 30], "name": "NV"}, - {"bits": [31, 31], "name": "META_DIRECT"} - ] - }, - "SQ_IMG_RSRC_WORD1_stoney": { - "fields": [ - {"bits": [0, 7], "name": "BASE_ADDRESS_HI"}, - {"bits": [8, 19], "name": "MIN_LOD"}, - {"bits": [20, 25], "enum_ref": "SQ_IMG_RSRC_WORD1__DATA_FORMAT_stoney", "name": "DATA_FORMAT"}, - {"bits": [26, 29], "enum_ref": "SQ_IMG_RSRC_WORD1__NUM_FORMAT_vi", "name": "NUM_FORMAT"}, - {"bits": [30, 31], "name": "MTYPE"} - ] - }, - "SQ_IMG_RSRC_WORD1_vi": { - "fields": [ - {"bits": [0, 7], "name": "BASE_ADDRESS_HI"}, - {"bits": [8, 19], "name": "MIN_LOD"}, - {"bits": [20, 25], "enum_ref": "SQ_IMG_RSRC_WORD1__DATA_FORMAT", "name": "DATA_FORMAT"}, - {"bits": [26, 29], "enum_ref": "SQ_IMG_RSRC_WORD1__NUM_FORMAT_vi", "name": "NUM_FORMAT"}, - {"bits": [30, 31], "name": "MTYPE"} - ] - }, - "SQ_IMG_RSRC_WORD2": { - "fields": [ - {"bits": [0, 13], "name": "WIDTH"}, - {"bits": [14, 27], "name": "HEIGHT"}, - {"bits": [28, 30], "name": "PERF_MOD"}, - {"bits": [31, 31], "name": "INTERLACED"} - ] - }, - "SQ_IMG_RSRC_WORD2_gfx9": { - "fields": [ - {"bits": [0, 13], "name": "WIDTH"}, - {"bits": [14, 27], "name": "HEIGHT"}, - {"bits": [28, 30], "name": "PERF_MOD"} - ] - }, - "SQ_IMG_RSRC_WORD3": { - "fields": [ - {"bits": [0, 2], "enum_ref": "SQ_BUF_RSRC_WORD3__DST_SEL_X", "name": "DST_SEL_X"}, - {"bits": [3, 5], "enum_ref": "SQ_BUF_RSRC_WORD3__DST_SEL_X", "name": "DST_SEL_Y"}, - {"bits": [6, 8], "enum_ref": "SQ_BUF_RSRC_WORD3__DST_SEL_X", "name": "DST_SEL_Z"}, - {"bits": [9, 11], "enum_ref": "SQ_BUF_RSRC_WORD3__DST_SEL_X", "name": "DST_SEL_W"}, - {"bits": [12, 15], "name": "BASE_LEVEL"}, - {"bits": [16, 19], "name": "LAST_LEVEL"}, - {"bits": [20, 24], "name": "TILING_INDEX"}, - {"bits": [25, 25], "name": "POW2_PAD"}, - {"bits": [28, 31], "enum_ref": "SQ_IMG_RSRC_WORD3__TYPE", "name": "TYPE"} - ] - }, - "SQ_IMG_RSRC_WORD3_cik": { - "fields": [ - {"bits": [0, 2], "enum_ref": "SQ_BUF_RSRC_WORD3__DST_SEL_X", "name": "DST_SEL_X"}, - {"bits": [3, 5], "enum_ref": "SQ_BUF_RSRC_WORD3__DST_SEL_X", "name": "DST_SEL_Y"}, - {"bits": [6, 8], "enum_ref": "SQ_BUF_RSRC_WORD3__DST_SEL_X", "name": "DST_SEL_Z"}, - {"bits": [9, 11], "enum_ref": "SQ_BUF_RSRC_WORD3__DST_SEL_X", "name": "DST_SEL_W"}, - {"bits": [12, 15], "name": "BASE_LEVEL"}, - {"bits": [16, 19], "name": "LAST_LEVEL"}, - {"bits": [20, 24], "name": "TILING_INDEX"}, - {"bits": [25, 25], "name": "POW2_PAD"}, - {"bits": [26, 26], "name": "MTYPE"}, - {"bits": [27, 27], "name": "ATC"}, - {"bits": [28, 31], "enum_ref": "SQ_IMG_RSRC_WORD3__TYPE", "name": "TYPE"} - ] - }, - "SQ_IMG_RSRC_WORD3_gfx9": { - "fields": [ - {"bits": [0, 2], "enum_ref": "SQ_BUF_RSRC_WORD3__DST_SEL_X", "name": "DST_SEL_X"}, - {"bits": [3, 5], "enum_ref": "SQ_BUF_RSRC_WORD3__DST_SEL_X", "name": "DST_SEL_Y"}, - {"bits": [6, 8], "enum_ref": "SQ_BUF_RSRC_WORD3__DST_SEL_X", "name": "DST_SEL_Z"}, - {"bits": [9, 11], "enum_ref": "SQ_BUF_RSRC_WORD3__DST_SEL_X", "name": "DST_SEL_W"}, - {"bits": [12, 15], "name": "BASE_LEVEL"}, - {"bits": [16, 19], "name": "LAST_LEVEL"}, - {"bits": [20, 24], "name": "SW_MODE"}, - {"bits": [28, 31], "enum_ref": "SQ_IMG_RSRC_WORD3__TYPE", "name": "TYPE"} - ] - }, - "SQ_IMG_RSRC_WORD4": { - "fields": [ - {"bits": [0, 12], "name": "DEPTH"}, - {"bits": [13, 26], "name": "PITCH"} - ] - }, - "SQ_IMG_RSRC_WORD4_gfx9": { - "fields": [ - {"bits": [0, 12], "name": "DEPTH"}, - {"bits": [13, 28], "name": "PITCH"}, - {"bits": [29, 31], "enum_ref": "SQ_IMG_RSRC_WORD4__BC_SWIZZLE", "name": "BC_SWIZZLE"} - ] - }, - "SQ_IMG_RSRC_WORD5": { - "fields": [ - {"bits": [0, 12], "name": "BASE_ARRAY"}, - {"bits": [13, 25], "name": "LAST_ARRAY"} - ] - }, - "SQ_IMG_RSRC_WORD5_gfx9": { - "fields": [ - {"bits": [0, 12], "name": "BASE_ARRAY"}, - {"bits": [13, 16], "name": "ARRAY_PITCH"}, - {"bits": [17, 24], "name": "META_DATA_ADDRESS"}, - {"bits": [25, 25], "name": "META_LINEAR"}, - {"bits": [26, 26], "name": "META_PIPE_ALIGNED"}, - {"bits": [27, 27], "name": "META_RB_ALIGNED"}, - {"bits": [28, 31], "name": "MAX_MIP"} - ] - }, - "SQ_IMG_RSRC_WORD6": { - "fields": [ - {"bits": [0, 11], "name": "MIN_LOD_WARN"} - ] - }, - "SQ_IMG_RSRC_WORD6_cik": { - "fields": [ - {"bits": [0, 11], "name": "MIN_LOD_WARN"}, - {"bits": [12, 19], "name": "COUNTER_BANK_ID"}, - {"bits": [20, 20], "name": "LOD_HDW_CNT_EN"} - ] - }, - "SQ_IMG_RSRC_WORD6_vi": { - "fields": [ - {"bits": [0, 11], "name": "MIN_LOD_WARN"}, - {"bits": [12, 19], "name": "COUNTER_BANK_ID"}, - {"bits": [20, 20], "name": "LOD_HDW_CNT_EN"}, - {"bits": [21, 21], "name": "COMPRESSION_EN"}, - {"bits": [22, 22], "name": "ALPHA_IS_ON_MSB"}, - {"bits": [23, 23], "name": "COLOR_TRANSFORM"}, - {"bits": [24, 27], "name": "LOST_ALPHA_BITS"}, - {"bits": [28, 31], "name": "LOST_COLOR_BITS"} - ] - }, - "SQ_IMG_SAMP_WORD0": { - "fields": [ - {"bits": [0, 2], "enum_ref": "SQ_IMG_SAMP_WORD0__CLAMP_X", "name": "CLAMP_X"}, - {"bits": [3, 5], "enum_ref": "SQ_IMG_SAMP_WORD0__CLAMP_X", "name": "CLAMP_Y"}, - {"bits": [6, 8], "enum_ref": "SQ_IMG_SAMP_WORD0__CLAMP_X", "name": "CLAMP_Z"}, - {"bits": [9, 11], "name": "MAX_ANISO_RATIO"}, - {"bits": [12, 14], "enum_ref": "SQ_IMG_SAMP_WORD0__DEPTH_COMPARE_FUNC", "name": "DEPTH_COMPARE_FUNC"}, - {"bits": [15, 15], "name": "FORCE_UNNORMALIZED"}, - {"bits": [16, 18], "name": "ANISO_THRESHOLD"}, - {"bits": [19, 19], "name": "MC_COORD_TRUNC"}, - {"bits": [20, 20], "name": "FORCE_DEGAMMA"}, - {"bits": [21, 26], "name": "ANISO_BIAS"}, - {"bits": [27, 27], "name": "TRUNC_COORD"}, - {"bits": [28, 28], "name": "DISABLE_CUBE_WRAP"}, - {"bits": [29, 30], "enum_ref": "SQ_IMG_SAMP_WORD0__FILTER_MODE", "name": "FILTER_MODE"} - ] - }, - "SQ_IMG_SAMP_WORD0_vi": { - "fields": [ - {"bits": [0, 2], "enum_ref": "SQ_IMG_SAMP_WORD0__CLAMP_X", "name": "CLAMP_X"}, - {"bits": [3, 5], "enum_ref": "SQ_IMG_SAMP_WORD0__CLAMP_X", "name": "CLAMP_Y"}, - {"bits": [6, 8], "enum_ref": "SQ_IMG_SAMP_WORD0__CLAMP_X", "name": "CLAMP_Z"}, - {"bits": [9, 11], "name": "MAX_ANISO_RATIO"}, - {"bits": [12, 14], "enum_ref": "SQ_IMG_SAMP_WORD0__DEPTH_COMPARE_FUNC", "name": "DEPTH_COMPARE_FUNC"}, - {"bits": [15, 15], "name": "FORCE_UNNORMALIZED"}, - {"bits": [16, 18], "name": "ANISO_THRESHOLD"}, - {"bits": [19, 19], "name": "MC_COORD_TRUNC"}, - {"bits": [20, 20], "name": "FORCE_DEGAMMA"}, - {"bits": [21, 26], "name": "ANISO_BIAS"}, - {"bits": [27, 27], "name": "TRUNC_COORD"}, - {"bits": [28, 28], "name": "DISABLE_CUBE_WRAP"}, - {"bits": [29, 30], "enum_ref": "SQ_IMG_SAMP_WORD0__FILTER_MODE", "name": "FILTER_MODE"}, - {"bits": [31, 31], "name": "COMPAT_MODE"} - ] - }, - "SQ_IMG_SAMP_WORD1": { - "fields": [ - {"bits": [0, 11], "name": "MIN_LOD"}, - {"bits": [12, 23], "name": "MAX_LOD"}, - {"bits": [24, 27], "name": "PERF_MIP"}, - {"bits": [28, 31], "name": "PERF_Z"} - ] - }, - "SQ_IMG_SAMP_WORD2": { - "fields": [ - {"bits": [0, 13], "name": "LOD_BIAS"}, - {"bits": [14, 19], "name": "LOD_BIAS_SEC"}, - {"bits": [20, 21], "enum_ref": "SQ_IMG_SAMP_WORD2__XY_MAG_FILTER", "name": "XY_MAG_FILTER"}, - {"bits": [22, 23], "enum_ref": "SQ_IMG_SAMP_WORD2__XY_MIN_FILTER", "name": "XY_MIN_FILTER"}, - {"bits": [24, 25], "enum_ref": "SQ_IMG_SAMP_WORD2__Z_FILTER", "name": "Z_FILTER"}, - {"bits": [26, 27], "enum_ref": "SQ_IMG_SAMP_WORD2__Z_FILTER", "name": "MIP_FILTER"}, - {"bits": [28, 28], "name": "MIP_POINT_PRECLAMP"}, - {"bits": [29, 29], "name": "DISABLE_LSB_CEIL"}, - {"bits": [30, 30], "name": "FILTER_PREC_FIX"}, - {"bits": [31, 31], "name": "ANISO_OVERRIDE"} - ] - }, - "SQ_IMG_SAMP_WORD2_gfx9": { - "fields": [ - {"bits": [0, 13], "name": "LOD_BIAS"}, - {"bits": [14, 19], "name": "LOD_BIAS_SEC"}, - {"bits": [20, 21], "enum_ref": "SQ_IMG_SAMP_WORD2__XY_MAG_FILTER", "name": "XY_MAG_FILTER"}, - {"bits": [22, 23], "enum_ref": "SQ_IMG_SAMP_WORD2__XY_MIN_FILTER", "name": "XY_MIN_FILTER"}, - {"bits": [24, 25], "enum_ref": "SQ_IMG_SAMP_WORD2__Z_FILTER", "name": "Z_FILTER"}, - {"bits": [26, 27], "enum_ref": "SQ_IMG_SAMP_WORD2__Z_FILTER", "name": "MIP_FILTER"}, - {"bits": [28, 28], "name": "MIP_POINT_PRECLAMP"}, - {"bits": [29, 29], "name": "BLEND_ZERO_PRT"}, - {"bits": [30, 30], "name": "FILTER_PREC_FIX"}, - {"bits": [31, 31], "name": "ANISO_OVERRIDE"} - ] - }, - "SQ_IMG_SAMP_WORD3": { - "fields": [ - {"bits": [0, 11], "name": "BORDER_COLOR_PTR"}, - {"bits": [29, 29], "comment": "driver-only field", "name": "UPGRADED_DEPTH"}, - {"bits": [30, 31], "enum_ref": "SQ_IMG_SAMP_WORD3__BORDER_COLOR_TYPE", "name": "BORDER_COLOR_TYPE"} - ] - }, - "SQ_IMG_SAMP_WORD3_gfx9": { - "fields": [ - {"bits": [0, 11], "name": "BORDER_COLOR_PTR"}, - {"bits": [12, 12], "name": "SKIP_DEGAMMA"}, - {"bits": [30, 31], "enum_ref": "SQ_IMG_SAMP_WORD3__BORDER_COLOR_TYPE", "name": "BORDER_COLOR_TYPE"} - ] - }, - "SQ_PERFCOUNTER0_SELECT": { - "fields": [ - {"bits": [0, 8], "name": "PERF_SEL"}, - {"bits": [12, 15], "name": "SQC_BANK_MASK"}, - {"bits": [16, 19], "name": "SQC_CLIENT_MASK"}, - {"bits": [20, 23], "name": "SPM_MODE"}, - {"bits": [24, 27], "name": "SIMD_MASK"}, - {"bits": [28, 31], "name": "PERF_MODE"} - ] - }, - "SQ_PERFCOUNTER_CTRL": { - "fields": [ - {"bits": [0, 0], "name": "PS_EN"}, - {"bits": [1, 1], "name": "VS_EN"}, - {"bits": [2, 2], "name": "GS_EN"}, - {"bits": [3, 3], "name": "ES_EN"}, - {"bits": [4, 4], "name": "HS_EN"}, - {"bits": [5, 5], "name": "LS_EN"}, - {"bits": [6, 6], "name": "CS_EN"}, - {"bits": [8, 12], "name": "CNTR_RATE"}, - {"bits": [13, 13], "name": "DISABLE_FLUSH"} - ] - }, - "SQ_PERFCOUNTER_CTRL2": { - "fields": [ - {"bits": [0, 0], "name": "FORCE_EN"} - ] - }, - "SQ_PERFCOUNTER_MASK": { - "fields": [ - {"bits": [0, 15], "name": "SH0_MASK"}, - {"bits": [16, 31], "name": "SH1_MASK"} - ] - }, - "SQ_RANDOM_WAVE_PRI": { - "fields": [ - {"bits": [0, 6], "name": "RET"}, - {"bits": [7, 9], "name": "RUI"}, - {"bits": [10, 20], "name": "RNG"} - ] - }, - "SQ_THREAD_TRACE_BASE": { - "fields": [ - {"bits": [0, 31], "name": "ADDR"} - ] - }, - "SQ_THREAD_TRACE_SIZE": { - "fields": [ - {"bits": [0, 21], "name": "SIZE"} - ] - }, - "SQ_THREAD_TRACE_MASK": { - "fields": [ - {"bits": [0, 4], "name": "CU_SEL"}, - {"bits": [5, 5], "name": "SH_SEL"}, - {"bits": [7, 7], "name": "REG_STALL_EN"}, - {"bits": [8, 11], "name": "SIMD_EN"}, - {"bits": [12, 13], "name": "VM_ID_MASK"}, - {"bits": [14, 14], "name": "SPI_STALL_EN"}, - {"bits": [15, 15], "name": "SQ_STALL_EN"}, - {"bits": [16, 31], "comment": "not on GFX9", "name": "RANDOM_SEED"} - ] - }, - "SQ_THREAD_TRACE_TOKEN_MASK": { - "fields": [ - {"bits": [0, 15], "name": "TOKEN_MASK"}, - {"bits": [16, 23], "name": "REG_MASK"}, - {"bits": [24, 24], "name": "REG_DROP_ON_STALL"} - ] - }, - "SQ_THREAD_TRACE_PERF_MASK": { - "fields": [ - {"bits": [0, 15], "name": "SH0_MASK"}, - {"bits": [16, 31], "name": "SH1_MASK"} - ] - }, - "SQ_THREAD_TRACE_CTRL": { - "fields": [ - {"bits": [31, 31], "name": "RESET_BUFFER"} - ] - }, - "SQ_THREAD_TRACE_MODE": { - "fields": [ - {"bits": [0, 2], "name": "MASK_PS"}, - {"bits": [3, 5], "name": "MASK_VS"}, - {"bits": [6, 8], "name": "MASK_GS"}, - {"bits": [9, 11], "name": "MASK_ES"}, - {"bits": [12, 14], "name": "MASK_HS"}, - {"bits": [15, 17], "name": "MASK_LS"}, - {"bits": [18, 20], "name": "MASK_CS"}, - {"bits": [21, 22], "name": "MODE"}, - {"bits": [23, 24], "name": "CAPTURE_MODE"}, - {"bits": [25, 25], "name": "AUTOFLUSH_EN"}, - {"bits": [26, 26], "name": "TC_PERF_EN"}, - {"bits": [27, 28], "name": "ISSUE_MASK"}, - {"bits": [29, 29], "name": "TEST_MODE"}, - {"bits": [30, 30], "name": "INTERRUPT_EN"}, - {"bits": [31, 31], "name": "WRAP"} - ] - }, - "SQ_THREAD_TRACE_BASE2": { - "fields": [ - {"bits": [0, 3], "name": "ADDR_HI"} - ] - }, - "SQ_THREAD_TRACE_TOKEN_MASK2": { - "fields": [ - {"bits": [0, 31], "name": "INST_MASK"} - ] - }, - "SQ_THREAD_TRACE_STATUS": { - "fields": [ - {"bits": [0, 9], "name": "FINISH_PENDING"}, - {"bits": [16, 25], "name": "FINISH_DONE"}, - {"bits": [28, 28], "comment": "only on GFX9", "name": "UTC_ERROR"}, - {"bits": [29, 29], "name": "NEW_BUF"}, - {"bits": [30, 30], "name": "BUSY"}, - {"bits": [31, 31], "name": "FULL"} - ] - }, - "SQ_THREAD_TRACE_HIWATER": { - "fields": [ - {"bits": [0, 2], "name": "HIWATER"} - ] - }, - "SQ_THREAD_TRACE_CNTR": { - "fields": [ - {"bits": [0, 31], "name": "CNTR"} - ] - }, - "SRBM_STATUS": { - "fields": [ - {"bits": [1, 1], "name": "UVD_RQ_PENDING"}, - {"bits": [2, 2], "name": "SAMMSP_RQ_PENDING"}, - {"bits": [3, 3], "name": "ACP_RQ_PENDING"}, - {"bits": [4, 4], "name": "SMU_RQ_PENDING"}, - {"bits": [5, 5], "name": "GRBM_RQ_PENDING"}, - {"bits": [6, 6], "name": "HI_RQ_PENDING"}, - {"bits": [8, 8], "name": "VMC_BUSY"}, - {"bits": [9, 9], "name": "MCB_BUSY"}, - {"bits": [10, 10], "name": "MCB_NON_DISPLAY_BUSY"}, - {"bits": [11, 11], "name": "MCC_BUSY"}, - {"bits": [12, 12], "name": "MCD_BUSY"}, - {"bits": [13, 13], "name": "VMC1_BUSY"}, - {"bits": [14, 14], "name": "SEM_BUSY"}, - {"bits": [16, 16], "name": "ACP_BUSY"}, - {"bits": [17, 17], "name": "IH_BUSY"}, - {"bits": [19, 19], "name": "UVD_BUSY"}, - {"bits": [20, 20], "name": "SAMMSP_BUSY"}, - {"bits": [21, 21], "name": "GCATCL2_BUSY"}, - {"bits": [22, 22], "name": "OSATCL2_BUSY"}, - {"bits": [29, 29], "name": "BIF_BUSY"} - ] - }, - "SRBM_STATUS2": { - "fields": [ - {"bits": [0, 0], "name": "SDMA_RQ_PENDING"}, - {"bits": [1, 1], "name": "TST_RQ_PENDING"}, - {"bits": [2, 2], "name": "SDMA1_RQ_PENDING"}, - {"bits": [3, 3], "name": "VCE0_RQ_PENDING"}, - {"bits": [4, 4], "name": "VP8_BUSY"}, - {"bits": [5, 5], "name": "SDMA_BUSY"}, - {"bits": [6, 6], "name": "SDMA1_BUSY"}, - {"bits": [7, 7], "name": "VCE0_BUSY"}, - {"bits": [8, 8], "name": "XDMA_BUSY"}, - {"bits": [9, 9], "name": "CHUB_BUSY"}, - {"bits": [10, 10], "name": "SDMA2_BUSY"}, - {"bits": [11, 11], "name": "SDMA3_BUSY"}, - {"bits": [12, 12], "name": "SAMSCP_BUSY"}, - {"bits": [13, 13], "name": "ISP_BUSY"}, - {"bits": [14, 14], "name": "VCE1_BUSY"}, - {"bits": [15, 15], "name": "ODE_BUSY"}, - {"bits": [16, 16], "name": "SDMA2_RQ_PENDING"}, - {"bits": [17, 17], "name": "SDMA3_RQ_PENDING"}, - {"bits": [18, 18], "name": "SAMSCP_RQ_PENDING"}, - {"bits": [19, 19], "name": "ISP_RQ_PENDING"}, - {"bits": [20, 20], "name": "VCE1_RQ_PENDING"} - ] - }, - "SRBM_STATUS3": { - "fields": [ - {"bits": [0, 0], "name": "MCC0_BUSY"}, - {"bits": [1, 1], "name": "MCC1_BUSY"}, - {"bits": [2, 2], "name": "MCC2_BUSY"}, - {"bits": [3, 3], "name": "MCC3_BUSY"}, - {"bits": [4, 4], "name": "MCC4_BUSY"}, - {"bits": [5, 5], "name": "MCC5_BUSY"}, - {"bits": [6, 6], "name": "MCC6_BUSY"}, - {"bits": [7, 7], "name": "MCC7_BUSY"}, - {"bits": [8, 8], "name": "MCD0_BUSY"}, - {"bits": [9, 9], "name": "MCD1_BUSY"}, - {"bits": [10, 10], "name": "MCD2_BUSY"}, - {"bits": [11, 11], "name": "MCD3_BUSY"}, - {"bits": [12, 12], "name": "MCD4_BUSY"}, - {"bits": [13, 13], "name": "MCD5_BUSY"}, - {"bits": [14, 14], "name": "MCD6_BUSY"}, - {"bits": [15, 15], "name": "MCD7_BUSY"} - ] - }, - "SX_BLEND_OPT_CONTROL": { - "fields": [ - {"bits": [0, 0], "name": "MRT0_COLOR_OPT_DISABLE"}, - {"bits": [1, 1], "name": "MRT0_ALPHA_OPT_DISABLE"}, - {"bits": [4, 4], "name": "MRT1_COLOR_OPT_DISABLE"}, - {"bits": [5, 5], "name": "MRT1_ALPHA_OPT_DISABLE"}, - {"bits": [8, 8], "name": "MRT2_COLOR_OPT_DISABLE"}, - {"bits": [9, 9], "name": "MRT2_ALPHA_OPT_DISABLE"}, - {"bits": [12, 12], "name": "MRT3_COLOR_OPT_DISABLE"}, - {"bits": [13, 13], "name": "MRT3_ALPHA_OPT_DISABLE"}, - {"bits": [16, 16], "name": "MRT4_COLOR_OPT_DISABLE"}, - {"bits": [17, 17], "name": "MRT4_ALPHA_OPT_DISABLE"}, - {"bits": [20, 20], "name": "MRT5_COLOR_OPT_DISABLE"}, - {"bits": [21, 21], "name": "MRT5_ALPHA_OPT_DISABLE"}, - {"bits": [24, 24], "name": "MRT6_COLOR_OPT_DISABLE"}, - {"bits": [25, 25], "name": "MRT6_ALPHA_OPT_DISABLE"}, - {"bits": [28, 28], "name": "MRT7_COLOR_OPT_DISABLE"}, - {"bits": [29, 29], "name": "MRT7_ALPHA_OPT_DISABLE"}, - {"bits": [31, 31], "name": "PIXEN_ZERO_OPT_DISABLE"} - ] - }, - "SX_BLEND_OPT_EPSILON": { - "fields": [ - {"bits": [0, 3], "enum_ref": "SX_BLEND_OPT_EPSILON__MRT0_EPSILON", "name": "MRT0_EPSILON"}, - {"bits": [4, 7], "name": "MRT1_EPSILON"}, - {"bits": [8, 11], "name": "MRT2_EPSILON"}, - {"bits": [12, 15], "name": "MRT3_EPSILON"}, - {"bits": [16, 19], "name": "MRT4_EPSILON"}, - {"bits": [20, 23], "name": "MRT5_EPSILON"}, - {"bits": [24, 27], "name": "MRT6_EPSILON"}, - {"bits": [28, 31], "name": "MRT7_EPSILON"} - ] - }, - "SX_MRT0_BLEND_OPT": { - "fields": [ - {"bits": [0, 2], "enum_ref": "SX_BLEND_OPT", "name": "COLOR_SRC_OPT"}, - {"bits": [4, 6], "name": "COLOR_DST_OPT"}, - {"bits": [8, 10], "enum_ref": "SX_OPT_COMB_FCN", "name": "COLOR_COMB_FCN"}, - {"bits": [16, 18], "name": "ALPHA_SRC_OPT"}, - {"bits": [20, 22], "name": "ALPHA_DST_OPT"}, - {"bits": [24, 26], "name": "ALPHA_COMB_FCN"} - ] - }, - "SX_PERFCOUNTER0_SELECT": { - "fields": [ - {"bits": [0, 9], "name": "PERFCOUNTER_SELECT"}, - {"bits": [10, 19], "name": "PERFCOUNTER_SELECT1"}, - {"bits": [20, 23], "name": "CNTR_MODE"} - ] - }, - "SX_PERFCOUNTER0_SELECT1": { - "fields": [ - {"bits": [0, 9], "name": "PERFCOUNTER_SELECT2"}, - {"bits": [10, 19], "name": "PERFCOUNTER_SELECT3"} - ] - }, - "SX_PS_DOWNCONVERT": { - "fields": [ - {"bits": [0, 3], "enum_ref": "SX_DOWNCONVERT_FORMAT", "name": "MRT0"}, - {"bits": [4, 7], "name": "MRT1"}, - {"bits": [8, 11], "name": "MRT2"}, - {"bits": [12, 15], "name": "MRT3"}, - {"bits": [16, 19], "name": "MRT4"}, - {"bits": [20, 23], "name": "MRT5"}, - {"bits": [24, 27], "name": "MRT6"}, - {"bits": [28, 31], "name": "MRT7"} - ] - }, - "TA_CS_BC_BASE_ADDR_HI": { - "fields": [ - {"bits": [0, 7], "name": "ADDRESS"} - ] - }, - "TA_PERFCOUNTER0_SELECT": { - "fields": [ - {"bits": [0, 7], "name": "PERF_SEL"}, - {"bits": [10, 17], "name": "PERF_SEL1"}, - {"bits": [20, 23], "name": "CNTR_MODE"}, - {"bits": [24, 27], "name": "PERF_MODE1"}, - {"bits": [28, 31], "name": "PERF_MODE"} - ] - }, - "TA_PERFCOUNTER0_SELECT1": { - "fields": [ - {"bits": [0, 7], "name": "PERF_SEL2"}, - {"bits": [10, 17], "name": "PERF_SEL3"}, - {"bits": [24, 27], "name": "PERF_MODE3"}, - {"bits": [28, 31], "name": "PERF_MODE2"} - ] - }, - "TCC_PERFCOUNTER0_SELECT1": { - "fields": [ - {"bits": [0, 9], "name": "PERF_SEL2"}, - {"bits": [10, 19], "name": "PERF_SEL3"}, - {"bits": [24, 27], "name": "PERF_MODE2"}, - {"bits": [28, 31], "name": "PERF_MODE3"} - ] - }, - "VGT_CACHE_INVALIDATION": { - "fields": [ - {"bits": [5, 5], "name": "VS_NO_EXTRA_BUFFER"}, - {"bits": [13, 13], "name": "STREAMOUT_FULL_FLUSH"}, - {"bits": [16, 20], "name": "ES_LIMIT"} - ] - }, - "VGT_DMA_BASE_HI": { - "fields": [ - {"bits": [0, 7], "name": "BASE_ADDR"} - ] - }, - "VGT_DMA_BASE_HI_gfx9": { - "fields": [ - {"bits": [0, 15], "name": "BASE_ADDR"} - ] - }, - "VGT_DMA_INDEX_TYPE": { - "fields": [ - {"bits": [0, 1], "enum_ref": "VGT_INDEX_TYPE_MODE", "name": "INDEX_TYPE"}, - {"bits": [2, 3], "enum_ref": "VGT_DMA_SWAP_MODE", "name": "SWAP_MODE"} - ] - }, - "VGT_DMA_INDEX_TYPE_cik": { - "fields": [ - {"bits": [0, 1], "enum_ref": "VGT_INDEX_TYPE_MODE", "name": "INDEX_TYPE"}, - {"bits": [2, 3], "enum_ref": "VGT_DMA_SWAP_MODE", "name": "SWAP_MODE"}, - {"bits": [4, 5], "enum_ref": "VGT_DMA_BUF_TYPE", "name": "BUF_TYPE"}, - {"bits": [6, 7], "enum_ref": "VGT_DMA_INDEX_TYPE__RDREQ_POLICY_CIK", "name": "RDREQ_POLICY_CIK"}, - {"bits": [8, 8], "name": "ATC"}, - {"bits": [9, 9], "name": "NOT_EOP"}, - {"bits": [10, 10], "name": "REQ_PATH"} - ] - }, - "VGT_DMA_INDEX_TYPE_gfx9": { - "fields": [ - {"bits": [0, 1], "name": "INDEX_TYPE"}, - {"bits": [2, 3], "name": "SWAP_MODE"}, - {"bits": [4, 5], "name": "BUF_TYPE"}, - {"bits": [6, 6], "name": "RDREQ_POLICY"}, - {"bits": [8, 8], "name": "PRIMGEN_EN"}, - {"bits": [9, 9], "name": "NOT_EOP"}, - {"bits": [10, 10], "name": "REQ_PATH"} - ] - }, - "VGT_DMA_INDEX_TYPE_vi": { - "fields": [ - {"bits": [0, 1], "enum_ref": "VGT_INDEX_TYPE_MODE_vi", "name": "INDEX_TYPE"}, - {"bits": [2, 3], "enum_ref": "VGT_DMA_SWAP_MODE", "name": "SWAP_MODE"}, - {"bits": [4, 5], "enum_ref": "VGT_DMA_BUF_TYPE", "name": "BUF_TYPE"}, - {"bits": [6, 7], "enum_ref": "VGT_DMA_INDEX_TYPE__RDREQ_POLICY_CIK", "name": "RDREQ_POLICY_CIK"}, - {"bits": [6, 6], "comment": "VI+", "name": "RDREQ_POLICY"}, - {"bits": [8, 8], "name": "ATC"}, - {"bits": [9, 9], "name": "NOT_EOP"}, - {"bits": [10, 10], "name": "REQ_PATH"}, - {"bits": [11, 12], "name": "MTYPE"} - ] - }, - "VGT_DRAW_INITIATOR": { - "fields": [ - {"bits": [0, 1], "enum_ref": "VGT_DI_SOURCE_SELECT", "name": "SOURCE_SELECT"}, - {"bits": [2, 3], "enum_ref": "VGT_DI_MAJOR_MODE_SELECT", "name": "MAJOR_MODE"}, - {"bits": [5, 5], "name": "NOT_EOP"}, - {"bits": [6, 6], "name": "USE_OPAQUE"} - ] - }, - "VGT_DRAW_INITIATOR_cik": { - "fields": [ - {"bits": [0, 1], "enum_ref": "VGT_DI_SOURCE_SELECT_cik", "name": "SOURCE_SELECT"}, - {"bits": [2, 3], "enum_ref": "VGT_DI_MAJOR_MODE_SELECT", "name": "MAJOR_MODE"}, - {"bits": [5, 5], "name": "NOT_EOP"}, - {"bits": [6, 6], "name": "USE_OPAQUE"} - ] - }, - "VGT_DRAW_INITIATOR_gfx9": { - "fields": [ - {"bits": [0, 1], "enum_ref": "VGT_DI_SOURCE_SELECT_cik", "name": "SOURCE_SELECT"}, - {"bits": [2, 3], "enum_ref": "VGT_DI_MAJOR_MODE_SELECT", "name": "MAJOR_MODE"}, - {"bits": [4, 4], "name": "SPRITE_EN_R6XX"}, - {"bits": [5, 5], "name": "NOT_EOP"}, - {"bits": [6, 6], "name": "USE_OPAQUE"}, - {"bits": [7, 7], "name": "UNROLLED_INST"}, - {"bits": [8, 8], "name": "GRBM_SKEW_NO_DEC"}, - {"bits": [29, 31], "name": "REG_RT_INDEX"} - ] - }, - "VGT_DRAW_PAYLOAD_CNTL": { - "fields": [ - {"bits": [0, 0], "name": "OBJPRIM_ID_EN"}, - {"bits": [1, 1], "name": "EN_REG_RT_INDEX"}, - {"bits": [2, 2], "name": "EN_PIPELINE_PRIMID"}, - {"bits": [3, 3], "name": "OBJECT_ID_INST_EN"} - ] - }, - "VGT_ESGS_RING_ITEMSIZE": { - "fields": [ - {"bits": [0, 14], "name": "ITEMSIZE"} - ] - }, - "VGT_ES_PER_GS": { - "fields": [ - {"bits": [0, 10], "name": "ES_PER_GS"} - ] - }, - "VGT_EVENT_ADDRESS_REG": { - "fields": [ - {"bits": [0, 27], "name": "ADDRESS_LOW"} - ] - }, - "VGT_EVENT_INITIATOR": { - "fields": [ - {"bits": [0, 5], "enum_ref": "VGT_EVENT_TYPE", "name": "EVENT_TYPE"}, - {"bits": [18, 26], "name": "ADDRESS_HI"}, - {"bits": [27, 27], "name": "EXTENDED_EVENT"} - ] - }, - "VGT_EVENT_INITIATOR_gfx9": { - "fields": [ - {"bits": [0, 5], "enum_ref": "VGT_EVENT_TYPE_gfx9", "name": "EVENT_TYPE"}, - {"bits": [10, 26], "name": "ADDRESS_HI"}, - {"bits": [27, 27], "name": "EXTENDED_EVENT"} - ] - }, - "VGT_GSVS_RING_OFFSET_1": { - "fields": [ - {"bits": [0, 14], "name": "OFFSET"} - ] - }, - "VGT_GS_INSTANCE_CNT": { - "fields": [ - {"bits": [0, 0], "name": "ENABLE"}, - {"bits": [2, 8], "name": "CNT"} - ] - }, - "VGT_GS_MAX_PRIMS_PER_SUBGROUP": { - "fields": [ - {"bits": [0, 15], "name": "MAX_PRIMS_PER_SUBGROUP"} - ] - }, - "VGT_GS_MAX_VERT_OUT": { - "fields": [ - {"bits": [0, 10], "name": "MAX_VERT_OUT"} - ] - }, - "VGT_GS_MODE": { - "fields": [ - {"bits": [0, 2], "enum_ref": "VGT_GS_MODE_TYPE", "name": "MODE"}, - {"bits": [3, 3], "name": "RESERVED_0"}, - {"bits": [4, 5], "enum_ref": "VGT_GS_CUT_MODE", "name": "CUT_MODE"}, - {"bits": [6, 10], "name": "RESERVED_1"}, - {"bits": [11, 11], "name": "GS_C_PACK_EN"}, - {"bits": [12, 12], "name": "RESERVED_2"}, - {"bits": [13, 13], "name": "ES_PASSTHRU"}, - {"bits": [14, 14], "name": "COMPUTE_MODE"}, - {"bits": [15, 15], "name": "FAST_COMPUTE_MODE"}, - {"bits": [16, 16], "name": "ELEMENT_INFO_EN"}, - {"bits": [17, 17], "name": "PARTIAL_THD_AT_EOI"}, - {"bits": [18, 18], "name": "SUPPRESS_CUTS"}, - {"bits": [19, 19], "name": "ES_WRITE_OPTIMIZE"}, - {"bits": [20, 20], "name": "GS_WRITE_OPTIMIZE"} - ] - }, - "VGT_GS_MODE_cik": { - "fields": [ - {"bits": [0, 2], "enum_ref": "VGT_GS_MODE_TYPE", "name": "MODE"}, - {"bits": [3, 3], "name": "RESERVED_0"}, - {"bits": [4, 5], "enum_ref": "VGT_GS_CUT_MODE", "name": "CUT_MODE"}, - {"bits": [6, 10], "name": "RESERVED_1"}, - {"bits": [11, 11], "name": "GS_C_PACK_EN"}, - {"bits": [12, 12], "name": "RESERVED_2"}, - {"bits": [13, 13], "name": "ES_PASSTHRU"}, - {"bits": [14, 14], "name": "COMPUTE_MODE"}, - {"bits": [15, 15], "name": "FAST_COMPUTE_MODE"}, - {"bits": [16, 16], "name": "ELEMENT_INFO_EN"}, - {"bits": [17, 17], "name": "PARTIAL_THD_AT_EOI"}, - {"bits": [18, 18], "name": "SUPPRESS_CUTS"}, - {"bits": [19, 19], "name": "ES_WRITE_OPTIMIZE"}, - {"bits": [20, 20], "name": "GS_WRITE_OPTIMIZE"}, - {"bits": [21, 22], "enum_ref": "VGT_GS_MODE__ONCHIP", "name": "ONCHIP"} - ] - }, - "VGT_GS_MODE_gfx9": { - "fields": [ - {"bits": [0, 2], "name": "MODE"}, - {"bits": [3, 3], "name": "RESERVED_0"}, - {"bits": [4, 5], "name": "CUT_MODE"}, - {"bits": [6, 10], "name": "RESERVED_1"}, - {"bits": [11, 11], "name": "GS_C_PACK_EN"}, - {"bits": [12, 12], "name": "RESERVED_2"}, - {"bits": [13, 13], "name": "ES_PASSTHRU"}, - {"bits": [14, 14], "name": "RESERVED_3"}, - {"bits": [15, 15], "name": "RESERVED_4"}, - {"bits": [16, 16], "name": "RESERVED_5"}, - {"bits": [17, 17], "name": "PARTIAL_THD_AT_EOI"}, - {"bits": [18, 18], "name": "SUPPRESS_CUTS"}, - {"bits": [19, 19], "name": "ES_WRITE_OPTIMIZE"}, - {"bits": [20, 20], "name": "GS_WRITE_OPTIMIZE"}, - {"bits": [21, 22], "name": "ONCHIP"} - ] - }, - "VGT_GS_MODE_vi": { - "fields": [ - {"bits": [0, 2], "enum_ref": "VGT_GS_MODE_TYPE", "name": "MODE"}, - {"bits": [3, 3], "name": "RESERVED_0"}, - {"bits": [4, 5], "enum_ref": "VGT_GS_CUT_MODE", "name": "CUT_MODE"}, - {"bits": [6, 10], "name": "RESERVED_1"}, - {"bits": [11, 11], "name": "GS_C_PACK_EN"}, - {"bits": [12, 12], "name": "RESERVED_2"}, - {"bits": [13, 13], "name": "ES_PASSTHRU"}, - {"bits": [17, 17], "name": "PARTIAL_THD_AT_EOI"}, - {"bits": [18, 18], "name": "SUPPRESS_CUTS"}, - {"bits": [19, 19], "name": "ES_WRITE_OPTIMIZE"}, - {"bits": [20, 20], "name": "GS_WRITE_OPTIMIZE"}, - {"bits": [21, 22], "enum_ref": "VGT_GS_MODE__ONCHIP", "name": "ONCHIP"} - ] - }, - "VGT_GS_ONCHIP_CNTL": { - "fields": [ - {"bits": [0, 10], "name": "ES_VERTS_PER_SUBGRP"}, - {"bits": [11, 21], "name": "GS_PRIMS_PER_SUBGRP"} - ] - }, - "VGT_GS_ONCHIP_CNTL_gfx9": { - "fields": [ - {"bits": [0, 10], "name": "ES_VERTS_PER_SUBGRP"}, - {"bits": [11, 21], "name": "GS_PRIMS_PER_SUBGRP"}, - {"bits": [22, 31], "name": "GS_INST_PRIMS_IN_SUBGRP"} - ] - }, - "VGT_GS_OUT_PRIM_TYPE": { - "fields": [ - {"bits": [0, 5], "enum_ref": "VGT_GS_OUT_PRIM_TYPE__OUTPRIM_TYPE", "name": "OUTPRIM_TYPE"}, - {"bits": [8, 13], "name": "OUTPRIM_TYPE_1"}, - {"bits": [16, 21], "name": "OUTPRIM_TYPE_2"}, - {"bits": [22, 27], "name": "OUTPRIM_TYPE_3"}, - {"bits": [31, 31], "name": "UNIQUE_TYPE_PER_STREAM"} - ] - }, - "VGT_GS_PER_ES": { - "fields": [ - {"bits": [0, 10], "name": "GS_PER_ES"} - ] - }, - "VGT_GS_PER_VS": { - "fields": [ - {"bits": [0, 3], "name": "GS_PER_VS"} - ] - }, - "VGT_GS_VERTEX_REUSE": { - "fields": [ - {"bits": [0, 4], "name": "VERT_REUSE"} - ] - }, - "VGT_HS_OFFCHIP_PARAM": { - "fields": [ - {"bits": [0, 6], "name": "OFFCHIP_BUFFERING"} - ] - }, - "VGT_HS_OFFCHIP_PARAM_cik": { - "fields": [ - {"bits": [0, 8], "name": "OFFCHIP_BUFFERING"}, - {"bits": [9, 10], "enum_ref": "VGT_HS_OFFCHIP_PARAM__OFFCHIP_GRANULARITY", "name": "OFFCHIP_GRANULARITY"} - ] - }, - "VGT_INDEX_TYPE": { - "fields": [ - {"bits": [0, 1], "enum_ref": "VGT_DI_INDEX_SIZE", "name": "INDEX_TYPE"} - ] - }, - "VGT_INDEX_TYPE_gfx9": { - "fields": [ - {"bits": [0, 1], "name": "INDEX_TYPE"}, - {"bits": [8, 8], "name": "PRIMGEN_EN"} - ] - }, - "VGT_LS_HS_CONFIG": { - "fields": [ - {"bits": [0, 7], "name": "NUM_PATCHES"}, - {"bits": [8, 13], "name": "HS_NUM_INPUT_CP"}, - {"bits": [14, 19], "name": "HS_NUM_OUTPUT_CP"} - ] - }, - "VGT_MULTI_PRIM_IB_RESET_EN": { - "fields": [ - {"bits": [0, 0], "name": "RESET_EN"} - ] - }, - "VGT_MULTI_PRIM_IB_RESET_EN_gfx9": { - "fields": [ - {"bits": [0, 0], "name": "RESET_EN"}, - {"bits": [1, 1], "name": "MATCH_ALL_BITS"} - ] - }, - "VGT_OUTPUT_PATH_CNTL": { - "fields": [ - {"bits": [0, 2], "enum_ref": "VGT_OUTPUT_PATH_CNTL__PATH_SELECT", "name": "PATH_SELECT"} - ] - }, - "VGT_OUT_DEALLOC_CNTL": { - "fields": [ - {"bits": [0, 6], "name": "DEALLOC_DIST"} - ] - }, - "VGT_PERFCOUNTER_SEID_MASK": { - "fields": [ - {"bits": [0, 7], "name": "PERF_SEID_IGNORE_MASK"} - ] - }, - "VGT_PRIMITIVEID_EN": { - "fields": [ - {"bits": [0, 0], "name": "PRIMITIVEID_EN"}, - {"bits": [1, 1], "comment": "not on CIK", "name": "DISABLE_RESET_ON_EOI"} - ] - }, - "VGT_PRIMITIVEID_EN_gfx9": { - "fields": [ - {"bits": [0, 0], "name": "PRIMITIVEID_EN"}, - {"bits": [1, 1], "name": "DISABLE_RESET_ON_EOI"}, - {"bits": [2, 2], "name": "NGG_DISABLE_PROVOK_REUSE"} - ] - }, - "VGT_PRIMITIVE_TYPE": { - "fields": [ - {"bits": [0, 5], "enum_ref": "VGT_DI_PRIM_TYPE", "name": "PRIM_TYPE"} - ] - }, - "VGT_PRIMITIVE_TYPE_cik": { - "fields": [ - {"bits": [0, 5], "enum_ref": "VGT_DI_PRIM_TYPE_cik", "name": "PRIM_TYPE"} - ] - }, - "VGT_REUSE_OFF": { - "fields": [ - {"bits": [0, 0], "name": "REUSE_OFF"} - ] - }, - "VGT_SHADER_STAGES_EN": { - "fields": [ - {"bits": [0, 1], "enum_ref": "VGT_STAGES_LS_EN", "name": "LS_EN"}, - {"bits": [2, 2], "name": "HS_EN"}, - {"bits": [3, 4], "enum_ref": "VGT_STAGES_ES_EN", "name": "ES_EN"}, - {"bits": [5, 5], "name": "GS_EN"}, - {"bits": [6, 7], "enum_ref": "VGT_STAGES_VS_EN", "name": "VS_EN"}, - {"bits": [8, 8], "name": "DYNAMIC_HS"} - ] - }, - "VGT_SHADER_STAGES_EN_gfx9": { - "fields": [ - {"bits": [0, 1], "enum_ref": "VGT_STAGES_LS_EN", "name": "LS_EN"}, - {"bits": [2, 2], "name": "HS_EN"}, - {"bits": [3, 4], "enum_ref": "VGT_STAGES_ES_EN", "name": "ES_EN"}, - {"bits": [5, 5], "name": "GS_EN"}, - {"bits": [6, 7], "enum_ref": "VGT_STAGES_VS_EN", "name": "VS_EN"}, - {"bits": [9, 9], "name": "DISPATCH_DRAW_EN"}, - {"bits": [10, 10], "name": "DIS_DEALLOC_ACCUM_0"}, - {"bits": [11, 11], "name": "DIS_DEALLOC_ACCUM_1"}, - {"bits": [12, 12], "name": "VS_WAVE_ID_EN"}, - {"bits": [13, 13], "name": "PRIMGEN_EN"}, - {"bits": [14, 14], "name": "ORDERED_ID_MODE"}, - {"bits": [15, 18], "name": "MAX_PRIMGRP_IN_WAVE"}, - {"bits": [19, 19], "name": "GS_FAST_LAUNCH"} - ] - }, - "VGT_SHADER_STAGES_EN_vi": { - "fields": [ - {"bits": [0, 1], "enum_ref": "VGT_STAGES_LS_EN", "name": "LS_EN"}, - {"bits": [2, 2], "name": "HS_EN"}, - {"bits": [3, 4], "enum_ref": "VGT_STAGES_ES_EN", "name": "ES_EN"}, - {"bits": [5, 5], "name": "GS_EN"}, - {"bits": [6, 7], "enum_ref": "VGT_STAGES_VS_EN", "name": "VS_EN"}, - {"bits": [8, 8], "name": "DYNAMIC_HS"}, - {"bits": [9, 9], "name": "DISPATCH_DRAW_EN"}, - {"bits": [10, 10], "name": "DIS_DEALLOC_ACCUM_0"}, - {"bits": [11, 11], "name": "DIS_DEALLOC_ACCUM_1"}, - {"bits": [12, 12], "name": "VS_WAVE_ID_EN"} - ] - }, - "VGT_STRMOUT_BUFFER_CONFIG": { - "fields": [ - {"bits": [0, 3], "name": "STREAM_0_BUFFER_EN"}, - {"bits": [4, 7], "name": "STREAM_1_BUFFER_EN"}, - {"bits": [8, 11], "name": "STREAM_2_BUFFER_EN"}, - {"bits": [12, 15], "name": "STREAM_3_BUFFER_EN"} - ] - }, - "VGT_STRMOUT_CONFIG": { - "fields": [ - {"bits": [0, 0], "name": "STREAMOUT_0_EN"}, - {"bits": [1, 1], "name": "STREAMOUT_1_EN"}, - {"bits": [2, 2], "name": "STREAMOUT_2_EN"}, - {"bits": [3, 3], "name": "STREAMOUT_3_EN"}, - {"bits": [4, 6], "name": "RAST_STREAM"}, - {"bits": [8, 11], "name": "RAST_STREAM_MASK"}, - {"bits": [31, 31], "name": "USE_RAST_STREAM_MASK"} - ] - }, - "VGT_STRMOUT_CONFIG_gfx9": { - "fields": [ - {"bits": [0, 0], "name": "STREAMOUT_0_EN"}, - {"bits": [1, 1], "name": "STREAMOUT_1_EN"}, - {"bits": [2, 2], "name": "STREAMOUT_2_EN"}, - {"bits": [3, 3], "name": "STREAMOUT_3_EN"}, - {"bits": [4, 6], "name": "RAST_STREAM"}, - {"bits": [7, 7], "name": "EN_PRIMS_NEEDED_CNT"}, - {"bits": [8, 11], "name": "RAST_STREAM_MASK"}, - {"bits": [31, 31], "name": "USE_RAST_STREAM_MASK"} - ] - }, - "VGT_STRMOUT_DRAW_OPAQUE_VERTEX_STRIDE": { - "fields": [ - {"bits": [0, 8], "name": "VERTEX_STRIDE"} - ] - }, - "VGT_STRMOUT_VTX_STRIDE_0": { - "fields": [ - {"bits": [0, 9], "name": "STRIDE"} - ] - }, - "VGT_TESS_DISTRIBUTION": { - "fields": [ - {"bits": [0, 7], "name": "ACCUM_ISOLINE"}, - {"bits": [8, 15], "name": "ACCUM_TRI"}, - {"bits": [16, 23], "name": "ACCUM_QUAD"}, - {"bits": [24, 28], "name": "DONUT_SPLIT"} - ] - }, - "VGT_TESS_DISTRIBUTION_fiji": { - "fields": [ - {"bits": [0, 7], "name": "ACCUM_ISOLINE"}, - {"bits": [8, 15], "name": "ACCUM_TRI"}, - {"bits": [16, 23], "name": "ACCUM_QUAD"}, - {"bits": [24, 28], "name": "DONUT_SPLIT"}, - {"bits": [29, 31], "comment": "Fiji+", "name": "TRAP_SPLIT"} - ] - }, - "VGT_TF_MEMORY_BASE_HI": { - "fields": [ - {"bits": [0, 7], "name": "BASE_HI"} - ] - }, - "VGT_TF_PARAM": { - "fields": [ - {"bits": [0, 1], "enum_ref": "VGT_TESS_TYPE", "name": "TYPE"}, - {"bits": [2, 4], "enum_ref": "VGT_TESS_PARTITION", "name": "PARTITIONING"}, - {"bits": [5, 7], "enum_ref": "VGT_TESS_TOPOLOGY", "name": "TOPOLOGY"}, - {"bits": [8, 8], "comment": "not on CIK", "name": "RESERVED_REDUC_AXIS"}, - {"bits": [9, 9], "name": "DEPRECATED"}, - {"bits": [10, 13], "name": "NUM_DS_WAVES_PER_SIMD"}, - {"bits": [14, 14], "name": "DISABLE_DONUTS"} - ] - }, - "VGT_TF_PARAM_cik": { - "fields": [ - {"bits": [0, 1], "enum_ref": "VGT_TESS_TYPE", "name": "TYPE"}, - {"bits": [2, 4], "enum_ref": "VGT_TESS_PARTITION", "name": "PARTITIONING"}, - {"bits": [5, 7], "enum_ref": "VGT_TESS_TOPOLOGY", "name": "TOPOLOGY"}, - {"bits": [9, 9], "name": "DEPRECATED"}, - {"bits": [10, 13], "name": "NUM_DS_WAVES_PER_SIMD"}, - {"bits": [14, 14], "name": "DISABLE_DONUTS"}, - {"bits": [15, 16], "enum_ref": "VGT_TF_PARAM__RDREQ_POLICY_CIK", "name": "RDREQ_POLICY_CIK"} - ] - }, - "VGT_TF_PARAM_fiji": { - "fields": [ - {"bits": [0, 1], "enum_ref": "VGT_TESS_TYPE", "name": "TYPE"}, - {"bits": [2, 4], "enum_ref": "VGT_TESS_PARTITION", "name": "PARTITIONING"}, - {"bits": [5, 7], "enum_ref": "VGT_TESS_TOPOLOGY", "name": "TOPOLOGY"}, - {"bits": [9, 9], "name": "DEPRECATED"}, - {"bits": [10, 13], "name": "NUM_DS_WAVES_PER_SIMD"}, - {"bits": [14, 14], "name": "DISABLE_DONUTS"}, - {"bits": [15, 15], "comment": "VI+", "name": "RDREQ_POLICY"}, - {"bits": [17, 18], "enum_ref": "VGT_DIST_MODE_fiji", "name": "DISTRIBUTION_MODE"}, - {"bits": [19, 20], "name": "MTYPE"} - ] - }, - "VGT_TF_PARAM_gfx9": { - "fields": [ - {"bits": [0, 1], "enum_ref": "VGT_TESS_TYPE", "name": "TYPE"}, - {"bits": [2, 4], "enum_ref": "VGT_TESS_PARTITION", "name": "PARTITIONING"}, - {"bits": [5, 7], "enum_ref": "VGT_TESS_TOPOLOGY", "name": "TOPOLOGY"}, - {"bits": [8, 8], "name": "RESERVED_REDUC_AXIS"}, - {"bits": [9, 9], "name": "DEPRECATED"}, - {"bits": [14, 14], "name": "DISABLE_DONUTS"}, - {"bits": [15, 15], "name": "RDREQ_POLICY"}, - {"bits": [17, 18], "enum_ref": "VGT_DIST_MODE_fiji", "name": "DISTRIBUTION_MODE"} - ] - }, - "VGT_TF_PARAM_vi": { - "fields": [ - {"bits": [0, 1], "enum_ref": "VGT_TESS_TYPE", "name": "TYPE"}, - {"bits": [2, 4], "enum_ref": "VGT_TESS_PARTITION", "name": "PARTITIONING"}, - {"bits": [5, 7], "enum_ref": "VGT_TESS_TOPOLOGY", "name": "TOPOLOGY"}, - {"bits": [9, 9], "name": "DEPRECATED"}, - {"bits": [10, 13], "name": "NUM_DS_WAVES_PER_SIMD"}, - {"bits": [14, 14], "name": "DISABLE_DONUTS"}, - {"bits": [15, 15], "comment": "VI+", "name": "RDREQ_POLICY"}, - {"bits": [17, 18], "enum_ref": "VGT_DIST_MODE", "name": "DISTRIBUTION_MODE"}, - {"bits": [19, 20], "name": "MTYPE"} - ] - }, - "VGT_TF_RING_SIZE": { - "fields": [ - {"bits": [0, 15], "name": "SIZE"} - ] - }, - "VGT_VERTEX_REUSE_BLOCK_CNTL": { - "fields": [ - {"bits": [0, 7], "name": "VTX_REUSE_DEPTH"} - ] - }, - "VGT_VTX_CNT_EN": { - "fields": [ - {"bits": [0, 0], "name": "VTX_CNT_EN"} - ] - }, - "VGT_VTX_VECT_EJECT_REG": { - "fields": [ - {"bits": [0, 9], "name": "PRIM_COUNT"} - ] - }, - "WD_PERFCOUNTER0_SELECT": { - "fields": [ - {"bits": [0, 7], "name": "PERF_SEL"}, - {"bits": [28, 31], "name": "PERF_MODE"} - ] - }, - "SQ_WAVE_GPR_ALLOC": { - "fields": [ - {"bits": [0, 5], "name": "VGPR_BASE"}, - {"bits": [8, 13], "name": "VGPR_SIZE"}, - {"bits": [16, 21], "name": "SGPR_BASE"}, - {"bits": [24, 27], "name": "SGPR_SIZE"} - ] - }, - "SQ_WAVE_LDS_ALLOC": { - "fields": [ - {"bits": [0, 7], "name": "LDS_BASE"}, - {"bits": [12, 20], "name": "LDS_SIZE"} - ] - }, - "SQ_WAVE_TRAP_STS": { - "fields": [ - {"bits": [0, 8], "enum_ref": "ExcpEn", "name": "EXCP"}, - {"bits": [10, 10], "name": "SAVE_CTX_vi"}, - {"bits": [16, 21], "name": "EXCP_CYCLE"}, - {"bits": [29, 31], "name": "DP_RATE"} - ] - }, - "SQ_WAVE_STATUS_vi": { - "fields": [ - {"bits": [0, 0], "name": "SCC"}, - {"bits": [1, 2], "name": "SPI_PRIO"}, - {"bits": [3, 4], "name": "USER_PRIO"}, - {"bits": [5, 5], "name": "PRIV"}, - {"bits": [6, 6], "name": "TRAP_EN"}, - {"bits": [7, 7], "name": "TTRACE_EN"}, - {"bits": [8, 8], "name": "EXPORT_RDY"}, - {"bits": [9, 9], "name": "EXECZ"}, - {"bits": [10, 10], "name": "VCCZ"}, - {"bits": [11, 11], "name": "IN_TG"}, - {"bits": [12, 12], "name": "IN_BARRIER"}, - {"bits": [13, 13], "name": "HALT"}, - {"bits": [14, 14], "name": "TRAP"}, - {"bits": [15, 15], "name": "TTRACE_CU_EN"}, - {"bits": [16, 16], "name": "VALID"}, - {"bits": [17, 17], "name": "ECC_ERR"}, - {"bits": [18, 18], "name": "SKIP_EXPORT"}, - {"bits": [19, 19], "name": "PERF_EN"}, - {"bits": [20, 20], "name": "COND_DBG_USER"}, - {"bits": [21, 21], "name": "COND_DBG_SYS"}, - {"bits": [22, 22], "name": "ALLOW_REPLAY"}, - {"bits": [23, 23], "name": "INST_ATC"}, - {"bits": [27, 27], "name": "MUST_EXPORT"} - ] - }, - "SQ_WAVE_IB_STS_cik_vi": { - "fields": [ - {"bits": [0, 3], "name": "VM_CNT"}, - {"bits": [4, 6], "name": "EXP_CNT"}, - {"bits": [8, 11], "name": "LGKM_CNT"}, - {"bits": [12, 14], "name": "VALU_CNT"}, - {"bits": [15, 15], "name": "FIRST_REPLAY_vi"}, - {"bits": [16, 19], "name": "RCNT_vi"} - ] - }, - "SQ_WAVE_HW_ID_cik_vi": { - "fields": [ - {"bits": [0, 3], "name": "WAVE_ID"}, - {"bits": [4, 5], "name": "SIMD_ID"}, - {"bits": [6, 7], "name": "PIPE_ID"}, - {"bits": [8, 11], "name": "CU_ID"}, - {"bits": [12, 12], "name": "SH_ID"}, - {"bits": [13, 14], "name": "SE_ID"}, - {"bits": [16, 19], "name": "TG_ID"}, - {"bits": [20, 23], "name": "VM_ID"}, - {"bits": [24, 26], "name": "QUEUE_ID"}, - {"bits": [27, 29], "name": "STATE_ID"}, - {"bits": [30, 31], "name": "ME_ID"} - ] - } - } -} diff --git a/src/amd/registers/gfx10-rsrc.json b/src/amd/registers/gfx10-rsrc.json index 5e879679740..bc55b48227c 100644 --- a/src/amd/registers/gfx10-rsrc.json +++ b/src/amd/registers/gfx10-rsrc.json @@ -195,99 +195,99 @@ }, "register_mappings": [ { - "chips": ["gfx10"], + "chips": ["gfx10", "gfx103"], "map": {"at": 36608, "to": "mm"}, "name": "SQ_BUF_RSRC_WORD0" }, { - "chips": ["gfx10"], + "chips": ["gfx10", "gfx103"], "map": {"at": 36612, "to": "mm"}, "name": "SQ_BUF_RSRC_WORD1", "type_ref": "SQ_BUF_RSRC_WORD1" }, { - "chips": ["gfx10"], + "chips": ["gfx10", "gfx103"], "map": {"at": 36616, "to": "mm"}, "name": "SQ_BUF_RSRC_WORD2" }, { - "chips": ["gfx10"], + "chips": ["gfx10", "gfx103"], "map": {"at": 36620, "to": "mm"}, "name": "SQ_BUF_RSRC_WORD3", "type_ref": "SQ_BUF_RSRC_WORD3" }, { - "chips": ["gfx10"], + "chips": ["gfx10", "gfx103"], "map": {"at": 36348, "to": "mm"}, "name": "SQ_EXP_0", "type_ref": "SQ_EXP_0" }, { - "chips": ["gfx10"], + "chips": ["gfx10", "gfx103"], "map": {"at": 40960, "to": "mm"}, "name": "SQ_IMG_RSRC_WORD0" }, { - "chips": ["gfx10"], + "chips": ["gfx10", "gfx103"], "map": {"at": 40964, "to": "mm"}, "name": "SQ_IMG_RSRC_WORD1", "type_ref": "SQ_IMG_RSRC_WORD1" }, { - "chips": ["gfx10"], + "chips": ["gfx10", "gfx103"], "map": {"at": 40968, "to": "mm"}, "name": "SQ_IMG_RSRC_WORD2", "type_ref": "SQ_IMG_RSRC_WORD2" }, { - "chips": ["gfx10"], + "chips": ["gfx10", "gfx103"], "map": {"at": 40972, "to": "mm"}, "name": "SQ_IMG_RSRC_WORD3", "type_ref": "SQ_IMG_RSRC_WORD3" }, { - "chips": ["gfx10"], + "chips": ["gfx10", "gfx103"], "map": {"at": 40976, "to": "mm"}, "name": "SQ_IMG_RSRC_WORD4", "type_ref": "SQ_IMG_RSRC_WORD4" }, { - "chips": ["gfx10"], + "chips": ["gfx10", "gfx103"], "map": {"at": 40980, "to": "mm"}, "name": "SQ_IMG_RSRC_WORD5", "type_ref": "SQ_IMG_RSRC_WORD5" }, { - "chips": ["gfx10"], + "chips": ["gfx10", "gfx103"], "map": {"at": 40984, "to": "mm"}, "name": "SQ_IMG_RSRC_WORD6", "type_ref": "SQ_IMG_RSRC_WORD6" }, { - "chips": ["gfx10"], + "chips": ["gfx10", "gfx103"], "map": {"at": 40988, "to": "mm"}, "name": "SQ_IMG_RSRC_WORD7" }, { - "chips": ["gfx10"], + "chips": ["gfx10", "gfx103"], "map": {"at": 36656, "to": "mm"}, "name": "SQ_IMG_SAMP_WORD0", "type_ref": "SQ_IMG_SAMP_WORD0" }, { - "chips": ["gfx10"], + "chips": ["gfx10", "gfx103"], "map": {"at": 36660, "to": "mm"}, "name": "SQ_IMG_SAMP_WORD1", "type_ref": "SQ_IMG_SAMP_WORD1" }, { - "chips": ["gfx10"], + "chips": ["gfx10", "gfx103"], "map": {"at": 36664, "to": "mm"}, "name": "SQ_IMG_SAMP_WORD2", "type_ref": "SQ_IMG_SAMP_WORD2" }, { - "chips": ["gfx10"], + "chips": ["gfx10", "gfx103"], "map": {"at": 36668, "to": "mm"}, "name": "SQ_IMG_SAMP_WORD3", "type_ref": "SQ_IMG_SAMP_WORD3" diff --git a/src/amd/registers/gfx10.json b/src/amd/registers/gfx10.json index de42b0f1e06..41a902ece27 100644 --- a/src/amd/registers/gfx10.json +++ b/src/amd/registers/gfx10.json @@ -1,19 +1,39 @@ { "enums": { - "ReadPolicy": { + "ArrayMode": { "entries": [ - {"name": "CACHE_LRU_RD", "value": 0}, - {"name": "CACHE_STREAM_RD", "value": 1}, - {"name": "CACHE_NOA_RD", "value": 2}, - {"name": "RESERVED_RDPOLICY", "value": 3} + {"name": "ARRAY_LINEAR_GENERAL", "value": 0}, + {"name": "ARRAY_LINEAR_ALIGNED", "value": 1}, + {"name": "ARRAY_1D_TILED_THIN1", "value": 2}, + {"name": "ARRAY_1D_TILED_THICK", "value": 3}, + {"name": "ARRAY_2D_TILED_THIN1", "value": 4}, + {"name": "ARRAY_PRT_TILED_THIN1", "value": 5}, + {"name": "ARRAY_PRT_2D_TILED_THIN1", "value": 6}, + {"name": "ARRAY_2D_TILED_THICK", "value": 7}, + {"name": "ARRAY_2D_TILED_XTHICK", "value": 8}, + {"name": "ARRAY_PRT_TILED_THICK", "value": 9}, + {"name": "ARRAY_PRT_2D_TILED_THICK", "value": 10}, + {"name": "ARRAY_PRT_3D_TILED_THIN1", "value": 11}, + {"name": "ARRAY_3D_TILED_THIN1", "value": 12}, + {"name": "ARRAY_3D_TILED_THICK", "value": 13}, + {"name": "ARRAY_3D_TILED_XTHICK", "value": 14}, + {"name": "ARRAY_PRT_3D_TILED_THICK", "value": 15} + ] + }, + "BankHeight": { + "entries": [ + {"name": "ADDR_SURF_BANK_HEIGHT_1", "value": 0}, + {"name": "ADDR_SURF_BANK_HEIGHT_2", "value": 1}, + {"name": "ADDR_SURF_BANK_HEIGHT_4", "value": 2}, + {"name": "ADDR_SURF_BANK_HEIGHT_8", "value": 3} ] }, - "WritePolicy": { + "BankWidth": { "entries": [ - {"name": "CACHE_LRU_WR", "value": 0}, - {"name": "CACHE_STREAM_WR", "value": 1}, - {"name": "CACHE_NOA_WR", "value": 2}, - {"name": "CACHE_BYPASS_WR", "value": 3} + {"name": "ADDR_SURF_BANK_WIDTH_1", "value": 0}, + {"name": "ADDR_SURF_BANK_WIDTH_2", "value": 1}, + {"name": "ADDR_SURF_BANK_WIDTH_4", "value": 2}, + {"name": "ADDR_SURF_BANK_WIDTH_8", "value": 3} ] }, "BinMapMode": { @@ -89,32 +109,6 @@ {"name": "CB_RESERVED", "value": 7} ] }, - "CB_COLOR0_INFO__FORMAT": { - "entries": [ - {"name": "COLOR_INVALID", "value": 0}, - {"name": "COLOR_8", "value": 1}, - {"name": "COLOR_16", "value": 2}, - {"name": "COLOR_8_8", "value": 3}, - {"name": "COLOR_32", "value": 4}, - {"name": "COLOR_16_16", "value": 5}, - {"name": "COLOR_10_11_11", "value": 6}, - {"name": "COLOR_11_11_10", "value": 7}, - {"name": "COLOR_10_10_10_2", "value": 8}, - {"name": "COLOR_2_10_10_10", "value": 9}, - {"name": "COLOR_8_8_8_8", "value": 10}, - {"name": "COLOR_32_32", "value": 11}, - {"name": "COLOR_16_16_16_16", "value": 12}, - {"name": "COLOR_32_32_32_32", "value": 14}, - {"name": "COLOR_5_6_5", "value": 16}, - {"name": "COLOR_1_5_5_5", "value": 17}, - {"name": "COLOR_5_5_5_1", "value": 18}, - {"name": "COLOR_4_4_4_4", "value": 19}, - {"name": "COLOR_8_24", "value": 20}, - {"name": "COLOR_24_8", "value": 21}, - {"name": "COLOR_X24_8_32_FLOAT", "value": 22}, - {"name": "COLOR_5_9_9_9", "value": 24} - ] - }, "CBPerfClearFilterSel": { "entries": [ {"name": "CB_PERF_CLEAR_FILTER_SEL_NONCLEAR", "value": 0}, @@ -131,863 +125,37 @@ {"name": "CB_PERF_OP_FILTER_SEL_ELIMINATE_FAST_CLEAR", "value": 5} ] }, - "CBPerfSel": { - "entries": [ - {"name": "CB_PERF_SEL_NONE", "value": 0}, - {"name": "CB_PERF_SEL_BUSY", "value": 1}, - {"name": "CB_PERF_SEL_CORE_SCLK_VLD", "value": 2}, - {"name": "CB_PERF_SEL_REG_SCLK0_VLD", "value": 3}, - {"name": "CB_PERF_SEL_REG_SCLK1_VLD", "value": 4}, - {"name": "CB_PERF_SEL_DRAWN_QUAD", "value": 5}, - {"name": "CB_PERF_SEL_DRAWN_PIXEL", "value": 6}, - {"name": "CB_PERF_SEL_DRAWN_QUAD_FRAGMENT", "value": 7}, - {"name": "CB_PERF_SEL_DRAWN_TILE", "value": 8}, - {"name": "CB_PERF_SEL_DB_CB_TILE_VALID_READY", "value": 9}, - {"name": "CB_PERF_SEL_DB_CB_TILE_VALID_READYB", "value": 10}, - {"name": "CB_PERF_SEL_DB_CB_TILE_VALIDB_READY", "value": 11}, - {"name": "CB_PERF_SEL_DB_CB_TILE_VALIDB_READYB", "value": 12}, - {"name": "CB_PERF_SEL_CM_FC_TILE_VALID_READY", "value": 13}, - {"name": "CB_PERF_SEL_CM_FC_TILE_VALID_READYB", "value": 14}, - {"name": "CB_PERF_SEL_CM_FC_TILE_VALIDB_READY", "value": 15}, - {"name": "CB_PERF_SEL_CM_FC_TILE_VALIDB_READYB", "value": 16}, - {"name": "CB_PERF_SEL_MERGE_TILE_ONLY_VALID_READY", "value": 17}, - {"name": "CB_PERF_SEL_MERGE_TILE_ONLY_VALID_READYB", "value": 18}, - {"name": "CB_PERF_SEL_DB_CB_LQUAD_VALID_READY", "value": 19}, - {"name": "CB_PERF_SEL_DB_CB_LQUAD_VALID_READYB", "value": 20}, - {"name": "CB_PERF_SEL_DB_CB_LQUAD_VALIDB_READY", "value": 21}, - {"name": "CB_PERF_SEL_DB_CB_LQUAD_VALIDB_READYB", "value": 22}, - {"name": "CB_PERF_SEL_LQUAD_NO_TILE", "value": 23}, - {"name": "CB_PERF_SEL_LQUAD_FORMAT_IS_EXPORT_32_R", "value": 24}, - {"name": "CB_PERF_SEL_LQUAD_FORMAT_IS_EXPORT_32_AR", "value": 25}, - {"name": "CB_PERF_SEL_LQUAD_FORMAT_IS_EXPORT_32_GR", "value": 26}, - {"name": "CB_PERF_SEL_LQUAD_FORMAT_IS_EXPORT_32_ABGR", "value": 27}, - {"name": "CB_PERF_SEL_LQUAD_FORMAT_IS_EXPORT_FP16_ABGR", "value": 28}, - {"name": "CB_PERF_SEL_LQUAD_FORMAT_IS_EXPORT_SIGNED16_ABGR", "value": 29}, - {"name": "CB_PERF_SEL_LQUAD_FORMAT_IS_EXPORT_UNSIGNED16_ABGR", "value": 30}, - {"name": "CB_PERF_SEL_QUAD_KILLED_BY_EXTRA_PIXEL_EXPORT", "value": 31}, - {"name": "CB_PERF_SEL_QUAD_KILLED_BY_COLOR_INVALID", "value": 32}, - {"name": "CB_PERF_SEL_QUAD_KILLED_BY_NULL_TARGET_SHADER_MASK", "value": 33}, - {"name": "CB_PERF_SEL_QUAD_KILLED_BY_NULL_SAMPLE_MASK", "value": 34}, - {"name": "CB_PERF_SEL_QUAD_KILLED_BY_DISCARD_PIXEL", "value": 35}, - {"name": "CB_PERF_SEL_FC_CLEAR_QUAD_VALID_READY", "value": 36}, - {"name": "CB_PERF_SEL_FC_CLEAR_QUAD_VALID_READYB", "value": 37}, - {"name": "CB_PERF_SEL_FC_CLEAR_QUAD_VALIDB_READY", "value": 38}, - {"name": "CB_PERF_SEL_FC_CLEAR_QUAD_VALIDB_READYB", "value": 39}, - {"name": "CB_PERF_SEL_FOP_IN_VALID_READY", "value": 40}, - {"name": "CB_PERF_SEL_FOP_IN_VALID_READYB", "value": 41}, - {"name": "CB_PERF_SEL_FOP_IN_VALIDB_READY", "value": 42}, - {"name": "CB_PERF_SEL_FOP_IN_VALIDB_READYB", "value": 43}, - {"name": "CB_PERF_SEL_FC_CC_QUADFRAG_VALID_READY", "value": 44}, - {"name": "CB_PERF_SEL_FC_CC_QUADFRAG_VALID_READYB", "value": 45}, - {"name": "CB_PERF_SEL_FC_CC_QUADFRAG_VALIDB_READY", "value": 46}, - {"name": "CB_PERF_SEL_FC_CC_QUADFRAG_VALIDB_READYB", "value": 47}, - {"name": "CB_PERF_SEL_CC_IB_SR_FRAG_VALID_READY", "value": 48}, - {"name": "CB_PERF_SEL_CC_IB_SR_FRAG_VALID_READYB", "value": 49}, - {"name": "CB_PERF_SEL_CC_IB_SR_FRAG_VALIDB_READY", "value": 50}, - {"name": "CB_PERF_SEL_CC_IB_SR_FRAG_VALIDB_READYB", "value": 51}, - {"name": "CB_PERF_SEL_CC_IB_TB_FRAG_VALID_READY", "value": 52}, - {"name": "CB_PERF_SEL_CC_IB_TB_FRAG_VALID_READYB", "value": 53}, - {"name": "CB_PERF_SEL_CC_IB_TB_FRAG_VALIDB_READY", "value": 54}, - {"name": "CB_PERF_SEL_CC_IB_TB_FRAG_VALIDB_READYB", "value": 55}, - {"name": "CB_PERF_SEL_CC_RB_BC_EVENFRAG_VALID_READY", "value": 56}, - {"name": "CB_PERF_SEL_CC_RB_BC_EVENFRAG_VALID_READYB", "value": 57}, - {"name": "CB_PERF_SEL_CC_RB_BC_EVENFRAG_VALIDB_READY", "value": 58}, - {"name": "CB_PERF_SEL_CC_RB_BC_EVENFRAG_VALIDB_READYB", "value": 59}, - {"name": "CB_PERF_SEL_CC_RB_BC_ODDFRAG_VALID_READY", "value": 60}, - {"name": "CB_PERF_SEL_CC_RB_BC_ODDFRAG_VALID_READYB", "value": 61}, - {"name": "CB_PERF_SEL_CC_RB_BC_ODDFRAG_VALIDB_READY", "value": 62}, - {"name": "CB_PERF_SEL_CC_RB_BC_ODDFRAG_VALIDB_READYB", "value": 63}, - {"name": "CB_PERF_SEL_CC_BC_CS_FRAG_VALID", "value": 64}, - {"name": "CB_PERF_SEL_CM_CACHE_HIT", "value": 65}, - {"name": "CB_PERF_SEL_CM_CACHE_TAG_MISS", "value": 66}, - {"name": "CB_PERF_SEL_CM_CACHE_SECTOR_MISS", "value": 67}, - {"name": "CB_PERF_SEL_CM_CACHE_REEVICTION_STALL", "value": 68}, - {"name": "CB_PERF_SEL_CM_CACHE_EVICT_NONZERO_INFLIGHT_STALL", "value": 69}, - {"name": "CB_PERF_SEL_CM_CACHE_REPLACE_PENDING_EVICT_STALL", "value": 70}, - {"name": "CB_PERF_SEL_CM_CACHE_INFLIGHT_COUNTER_MAXIMUM_STALL", "value": 71}, - {"name": "CB_PERF_SEL_CM_CACHE_READ_OUTPUT_STALL", "value": 72}, - {"name": "CB_PERF_SEL_CM_CACHE_WRITE_OUTPUT_STALL", "value": 73}, - {"name": "CB_PERF_SEL_CM_CACHE_ACK_OUTPUT_STALL", "value": 74}, - {"name": "CB_PERF_SEL_CM_CACHE_STALL", "value": 75}, - {"name": "CB_PERF_SEL_CM_CACHE_FLUSH", "value": 76}, - {"name": "CB_PERF_SEL_CM_CACHE_TAGS_FLUSHED", "value": 77}, - {"name": "CB_PERF_SEL_CM_CACHE_SECTORS_FLUSHED", "value": 78}, - {"name": "CB_PERF_SEL_CM_CACHE_DIRTY_SECTORS_FLUSHED", "value": 79}, - {"name": "CB_PERF_SEL_FC_CACHE_HIT", "value": 80}, - {"name": "CB_PERF_SEL_FC_CACHE_TAG_MISS", "value": 81}, - {"name": "CB_PERF_SEL_FC_CACHE_SECTOR_MISS", "value": 82}, - {"name": "CB_PERF_SEL_FC_CACHE_REEVICTION_STALL", "value": 83}, - {"name": "CB_PERF_SEL_FC_CACHE_EVICT_NONZERO_INFLIGHT_STALL", "value": 84}, - {"name": "CB_PERF_SEL_FC_CACHE_REPLACE_PENDING_EVICT_STALL", "value": 85}, - {"name": "CB_PERF_SEL_FC_CACHE_INFLIGHT_COUNTER_MAXIMUM_STALL", "value": 86}, - {"name": "CB_PERF_SEL_FC_CACHE_READ_OUTPUT_STALL", "value": 87}, - {"name": "CB_PERF_SEL_FC_CACHE_WRITE_OUTPUT_STALL", "value": 88}, - {"name": "CB_PERF_SEL_FC_CACHE_ACK_OUTPUT_STALL", "value": 89}, - {"name": "CB_PERF_SEL_FC_CACHE_STALL", "value": 90}, - {"name": "CB_PERF_SEL_FC_CACHE_FLUSH", "value": 91}, - {"name": "CB_PERF_SEL_FC_CACHE_TAGS_FLUSHED", "value": 92}, - {"name": "CB_PERF_SEL_FC_CACHE_SECTORS_FLUSHED", "value": 93}, - {"name": "CB_PERF_SEL_FC_CACHE_DIRTY_SECTORS_FLUSHED", "value": 94}, - {"name": "CB_PERF_SEL_CC_CACHE_HIT", "value": 95}, - {"name": "CB_PERF_SEL_CC_CACHE_TAG_MISS", "value": 96}, - {"name": "CB_PERF_SEL_CC_CACHE_SECTOR_MISS", "value": 97}, - {"name": "CB_PERF_SEL_CC_CACHE_REEVICTION_STALL", "value": 98}, - {"name": "CB_PERF_SEL_CC_CACHE_EVICT_NONZERO_INFLIGHT_STALL", "value": 99}, - {"name": "CB_PERF_SEL_CC_CACHE_REPLACE_PENDING_EVICT_STALL", "value": 100}, - {"name": "CB_PERF_SEL_CC_CACHE_INFLIGHT_COUNTER_MAXIMUM_STALL", "value": 101}, - {"name": "CB_PERF_SEL_CC_CACHE_READ_OUTPUT_STALL", "value": 102}, - {"name": "CB_PERF_SEL_CC_CACHE_WRITE_OUTPUT_STALL", "value": 103}, - {"name": "CB_PERF_SEL_CC_CACHE_ACK_OUTPUT_STALL", "value": 104}, - {"name": "CB_PERF_SEL_CC_CACHE_STALL", "value": 105}, - {"name": "CB_PERF_SEL_CC_CACHE_FLUSH", "value": 106}, - {"name": "CB_PERF_SEL_CC_CACHE_TAGS_FLUSHED", "value": 107}, - {"name": "CB_PERF_SEL_CC_CACHE_SECTORS_FLUSHED", "value": 108}, - {"name": "CB_PERF_SEL_CC_CACHE_DIRTY_SECTORS_FLUSHED", "value": 109}, - {"name": "CB_PERF_SEL_CC_CACHE_WA_TO_RMW_CONVERSION", "value": 110}, - {"name": "CB_PERF_SEL_CB_TAP_WRREQ_VALID_READY", "value": 111}, - {"name": "CB_PERF_SEL_CB_TAP_WRREQ_VALID_READYB", "value": 112}, - {"name": "CB_PERF_SEL_CB_TAP_WRREQ_VALIDB_READY", "value": 113}, - {"name": "CB_PERF_SEL_CB_TAP_WRREQ_VALIDB_READYB", "value": 114}, - {"name": "CB_PERF_SEL_CM_MC_WRITE_REQUEST", "value": 115}, - {"name": "CB_PERF_SEL_FC_MC_WRITE_REQUEST", "value": 116}, - {"name": "CB_PERF_SEL_CC_MC_WRITE_REQUEST", "value": 117}, - {"name": "CB_PERF_SEL_CM_MC_WRITE_REQUESTS_IN_FLIGHT", "value": 118}, - {"name": "CB_PERF_SEL_FC_MC_WRITE_REQUESTS_IN_FLIGHT", "value": 119}, - {"name": "CB_PERF_SEL_CC_MC_WRITE_REQUESTS_IN_FLIGHT", "value": 120}, - {"name": "CB_PERF_SEL_CB_TAP_RDREQ_VALID_READY", "value": 121}, - {"name": "CB_PERF_SEL_CB_TAP_RDREQ_VALID_READYB", "value": 122}, - {"name": "CB_PERF_SEL_CB_TAP_RDREQ_VALIDB_READY", "value": 123}, - {"name": "CB_PERF_SEL_CB_TAP_RDREQ_VALIDB_READYB", "value": 124}, - {"name": "CB_PERF_SEL_CM_MC_READ_REQUEST", "value": 125}, - {"name": "CB_PERF_SEL_FC_MC_READ_REQUEST", "value": 126}, - {"name": "CB_PERF_SEL_CC_MC_READ_REQUEST", "value": 127}, - {"name": "CB_PERF_SEL_CM_MC_READ_REQUESTS_IN_FLIGHT", "value": 128}, - {"name": "CB_PERF_SEL_FC_MC_READ_REQUESTS_IN_FLIGHT", "value": 129}, - {"name": "CB_PERF_SEL_CC_MC_READ_REQUESTS_IN_FLIGHT", "value": 130}, - {"name": "CB_PERF_SEL_CM_TQ_FULL", "value": 131}, - {"name": "CB_PERF_SEL_CM_TQ_FIFO_TILE_RESIDENCY_STALL", "value": 132}, - {"name": "CB_PERF_SEL_CM_TQ_FIFO_STUTTER_STALL", "value": 133}, - {"name": "CB_PERF_SEL_FC_QUAD_RDLAT_FIFO_FULL", "value": 134}, - {"name": "CB_PERF_SEL_FC_TILE_RDLAT_FIFO_FULL", "value": 135}, - {"name": "CB_PERF_SEL_FC_RDLAT_FIFO_QUAD_RESIDENCY_STALL", "value": 136}, - {"name": "CB_PERF_SEL_FC_TILE_STUTTER_STALL", "value": 137}, - {"name": "CB_PERF_SEL_FC_QUAD_STUTTER_STALL", "value": 138}, - {"name": "CB_PERF_SEL_FC_KEYID_STUTTER_STALL", "value": 139}, - {"name": "CB_PERF_SEL_FOP_FMASK_RAW_STALL", "value": 140}, - {"name": "CB_PERF_SEL_FOP_FMASK_BYPASS_STALL", "value": 141}, - {"name": "CB_PERF_SEL_CC_SF_FULL", "value": 142}, - {"name": "CB_PERF_SEL_CC_RB_FULL", "value": 143}, - {"name": "CB_PERF_SEL_CC_EVENFIFO_QUAD_RESIDENCY_STALL", "value": 144}, - {"name": "CB_PERF_SEL_CC_ODDFIFO_QUAD_RESIDENCY_STALL", "value": 145}, - {"name": "CB_PERF_SEL_CC_EVENFIFO_STUTTER_STALL", "value": 146}, - {"name": "CB_PERF_SEL_CC_ODDFIFO_STUTTER_STALL", "value": 147}, - {"name": "CB_PERF_SEL_BLENDER_RAW_HAZARD_STALL", "value": 148}, - {"name": "CB_PERF_SEL_EVENT", "value": 149}, - {"name": "CB_PERF_SEL_EVENT_CACHE_FLUSH_TS", "value": 150}, - {"name": "CB_PERF_SEL_EVENT_CONTEXT_DONE", "value": 151}, - {"name": "CB_PERF_SEL_EVENT_CACHE_FLUSH", "value": 152}, - {"name": "CB_PERF_SEL_EVENT_CACHE_FLUSH_AND_INV_TS_EVENT", "value": 153}, - {"name": "CB_PERF_SEL_EVENT_CACHE_FLUSH_AND_INV_EVENT", "value": 154}, - {"name": "CB_PERF_SEL_EVENT_FLUSH_AND_INV_CB_DATA_TS", "value": 155}, - {"name": "CB_PERF_SEL_EVENT_FLUSH_AND_INV_CB_META", "value": 156}, - {"name": "CB_PERF_SEL_CC_SURFACE_SYNC", "value": 157}, - {"name": "CB_PERF_SEL_CMASK_READ_DATA_0xC", "value": 158}, - {"name": "CB_PERF_SEL_CMASK_READ_DATA_0xD", "value": 159}, - {"name": "CB_PERF_SEL_CMASK_READ_DATA_0xE", "value": 160}, - {"name": "CB_PERF_SEL_CMASK_READ_DATA_0xF", "value": 161}, - {"name": "CB_PERF_SEL_CMASK_WRITE_DATA_0xC", "value": 162}, - {"name": "CB_PERF_SEL_CMASK_WRITE_DATA_0xD", "value": 163}, - {"name": "CB_PERF_SEL_CMASK_WRITE_DATA_0xE", "value": 164}, - {"name": "CB_PERF_SEL_CMASK_WRITE_DATA_0xF", "value": 165}, - {"name": "CB_PERF_SEL_TWO_PROBE_QUAD_FRAGMENT", "value": 166}, - {"name": "CB_PERF_SEL_EXPORT_32_ABGR_QUAD_FRAGMENT", "value": 167}, - {"name": "CB_PERF_SEL_DUAL_SOURCE_COLOR_QUAD_FRAGMENT", "value": 168}, - {"name": "CB_PERF_SEL_QUAD_HAS_1_FRAGMENT_BEFORE_UPDATE", "value": 169}, - {"name": "CB_PERF_SEL_QUAD_HAS_2_FRAGMENTS_BEFORE_UPDATE", "value": 170}, - {"name": "CB_PERF_SEL_QUAD_HAS_3_FRAGMENTS_BEFORE_UPDATE", "value": 171}, - {"name": "CB_PERF_SEL_QUAD_HAS_4_FRAGMENTS_BEFORE_UPDATE", "value": 172}, - {"name": "CB_PERF_SEL_QUAD_HAS_5_FRAGMENTS_BEFORE_UPDATE", "value": 173}, - {"name": "CB_PERF_SEL_QUAD_HAS_6_FRAGMENTS_BEFORE_UPDATE", "value": 174}, - {"name": "CB_PERF_SEL_QUAD_HAS_7_FRAGMENTS_BEFORE_UPDATE", "value": 175}, - {"name": "CB_PERF_SEL_QUAD_HAS_8_FRAGMENTS_BEFORE_UPDATE", "value": 176}, - {"name": "CB_PERF_SEL_QUAD_HAS_1_FRAGMENT_AFTER_UPDATE", "value": 177}, - {"name": "CB_PERF_SEL_QUAD_HAS_2_FRAGMENTS_AFTER_UPDATE", "value": 178}, - {"name": "CB_PERF_SEL_QUAD_HAS_3_FRAGMENTS_AFTER_UPDATE", "value": 179}, - {"name": "CB_PERF_SEL_QUAD_HAS_4_FRAGMENTS_AFTER_UPDATE", "value": 180}, - {"name": "CB_PERF_SEL_QUAD_HAS_5_FRAGMENTS_AFTER_UPDATE", "value": 181}, - {"name": "CB_PERF_SEL_QUAD_HAS_6_FRAGMENTS_AFTER_UPDATE", "value": 182}, - {"name": "CB_PERF_SEL_QUAD_HAS_7_FRAGMENTS_AFTER_UPDATE", "value": 183}, - {"name": "CB_PERF_SEL_QUAD_HAS_8_FRAGMENTS_AFTER_UPDATE", "value": 184}, - {"name": "CB_PERF_SEL_QUAD_ADDED_1_FRAGMENT", "value": 185}, - {"name": "CB_PERF_SEL_QUAD_ADDED_2_FRAGMENTS", "value": 186}, - {"name": "CB_PERF_SEL_QUAD_ADDED_3_FRAGMENTS", "value": 187}, - {"name": "CB_PERF_SEL_QUAD_ADDED_4_FRAGMENTS", "value": 188}, - {"name": "CB_PERF_SEL_QUAD_ADDED_5_FRAGMENTS", "value": 189}, - {"name": "CB_PERF_SEL_QUAD_ADDED_6_FRAGMENTS", "value": 190}, - {"name": "CB_PERF_SEL_QUAD_ADDED_7_FRAGMENTS", "value": 191}, - {"name": "CB_PERF_SEL_QUAD_REMOVED_1_FRAGMENT", "value": 192}, - {"name": "CB_PERF_SEL_QUAD_REMOVED_2_FRAGMENTS", "value": 193}, - {"name": "CB_PERF_SEL_QUAD_REMOVED_3_FRAGMENTS", "value": 194}, - {"name": "CB_PERF_SEL_QUAD_REMOVED_4_FRAGMENTS", "value": 195}, - {"name": "CB_PERF_SEL_QUAD_REMOVED_5_FRAGMENTS", "value": 196}, - {"name": "CB_PERF_SEL_QUAD_REMOVED_6_FRAGMENTS", "value": 197}, - {"name": "CB_PERF_SEL_QUAD_REMOVED_7_FRAGMENTS", "value": 198}, - {"name": "CB_PERF_SEL_QUAD_READS_FRAGMENT_0", "value": 199}, - {"name": "CB_PERF_SEL_QUAD_READS_FRAGMENT_1", "value": 200}, - {"name": "CB_PERF_SEL_QUAD_READS_FRAGMENT_2", "value": 201}, - {"name": "CB_PERF_SEL_QUAD_READS_FRAGMENT_3", "value": 202}, - {"name": "CB_PERF_SEL_QUAD_READS_FRAGMENT_4", "value": 203}, - {"name": "CB_PERF_SEL_QUAD_READS_FRAGMENT_5", "value": 204}, - {"name": "CB_PERF_SEL_QUAD_READS_FRAGMENT_6", "value": 205}, - {"name": "CB_PERF_SEL_QUAD_READS_FRAGMENT_7", "value": 206}, - {"name": "CB_PERF_SEL_QUAD_WRITES_FRAGMENT_0", "value": 207}, - {"name": "CB_PERF_SEL_QUAD_WRITES_FRAGMENT_1", "value": 208}, - {"name": "CB_PERF_SEL_QUAD_WRITES_FRAGMENT_2", "value": 209}, - {"name": "CB_PERF_SEL_QUAD_WRITES_FRAGMENT_3", "value": 210}, - {"name": "CB_PERF_SEL_QUAD_WRITES_FRAGMENT_4", "value": 211}, - {"name": "CB_PERF_SEL_QUAD_WRITES_FRAGMENT_5", "value": 212}, - {"name": "CB_PERF_SEL_QUAD_WRITES_FRAGMENT_6", "value": 213}, - {"name": "CB_PERF_SEL_QUAD_WRITES_FRAGMENT_7", "value": 214}, - {"name": "CB_PERF_SEL_QUAD_BLEND_OPT_DONT_READ_DST", "value": 215}, - {"name": "CB_PERF_SEL_QUAD_BLEND_OPT_BLEND_BYPASS", "value": 216}, - {"name": "CB_PERF_SEL_QUAD_BLEND_OPT_DISCARD_PIXELS", "value": 217}, - {"name": "CB_PERF_SEL_QUAD_DST_READ_COULD_HAVE_BEEN_OPTIMIZED", "value": 218}, - {"name": "CB_PERF_SEL_QUAD_BLENDING_COULD_HAVE_BEEN_BYPASSED", "value": 219}, - {"name": "CB_PERF_SEL_QUAD_COULD_HAVE_BEEN_DISCARDED", "value": 220}, - {"name": "CB_PERF_SEL_BLEND_OPT_PIXELS_RESULT_EQ_DEST", "value": 221}, - {"name": "CB_PERF_SEL_DRAWN_BUSY", "value": 222}, - {"name": "CB_PERF_SEL_TILE_TO_CMR_REGION_BUSY", "value": 223}, - {"name": "CB_PERF_SEL_CMR_TO_FCR_REGION_BUSY", "value": 224}, - {"name": "CB_PERF_SEL_FCR_TO_CCR_REGION_BUSY", "value": 225}, - {"name": "CB_PERF_SEL_CCR_TO_CCW_REGION_BUSY", "value": 226}, - {"name": "CB_PERF_SEL_FC_PF_SLOW_MODE_QUAD_EMPTY_HALF_DROPPED", "value": 227}, - {"name": "CB_PERF_SEL_FC_SEQUENCER_CLEAR", "value": 228}, - {"name": "CB_PERF_SEL_FC_SEQUENCER_ELIMINATE_FAST_CLEAR", "value": 229}, - {"name": "CB_PERF_SEL_FC_SEQUENCER_FMASK_DECOMPRESS", "value": 230}, - {"name": "CB_PERF_SEL_FC_SEQUENCER_FMASK_COMPRESSION_DISABLE", "value": 231}, - {"name": "CB_PERF_SEL_CC_CACHE_READS_SAVED_DUE_TO_DCC", "value": 232}, - {"name": "CB_PERF_SEL_FC_KEYID_RDLAT_FIFO_FULL", "value": 233}, - {"name": "CB_PERF_SEL_FC_DOC_IS_STALLED", "value": 234}, - {"name": "CB_PERF_SEL_FC_DOC_MRTS_NOT_COMBINED", "value": 235}, - {"name": "CB_PERF_SEL_FC_DOC_MRTS_COMBINED", "value": 236}, - {"name": "CB_PERF_SEL_FC_DOC_QTILE_CAM_MISS", "value": 237}, - {"name": "CB_PERF_SEL_FC_DOC_QTILE_CAM_HIT", "value": 238}, - {"name": "CB_PERF_SEL_FC_DOC_CLINE_CAM_MISS", "value": 239}, - {"name": "CB_PERF_SEL_FC_DOC_CLINE_CAM_HIT", "value": 240}, - {"name": "CB_PERF_SEL_FC_DOC_QUAD_PTR_FIFO_IS_FULL", "value": 241}, - {"name": "CB_PERF_SEL_FC_DOC_OVERWROTE_1_SECTOR", "value": 242}, - {"name": "CB_PERF_SEL_FC_DOC_OVERWROTE_2_SECTORS", "value": 243}, - {"name": "CB_PERF_SEL_FC_DOC_OVERWROTE_3_SECTORS", "value": 244}, - {"name": "CB_PERF_SEL_FC_DOC_OVERWROTE_4_SECTORS", "value": 245}, - {"name": "CB_PERF_SEL_FC_DOC_TOTAL_OVERWRITTEN_SECTORS", "value": 246}, - {"name": "CB_PERF_SEL_FC_DCC_CACHE_HIT", "value": 247}, - {"name": "CB_PERF_SEL_FC_DCC_CACHE_TAG_MISS", "value": 248}, - {"name": "CB_PERF_SEL_FC_DCC_CACHE_SECTOR_MISS", "value": 249}, - {"name": "CB_PERF_SEL_FC_DCC_CACHE_REEVICTION_STALL", "value": 250}, - {"name": "CB_PERF_SEL_FC_DCC_CACHE_EVICT_NONZERO_INFLIGHT_STALL", "value": 251}, - {"name": "CB_PERF_SEL_FC_DCC_CACHE_REPLACE_PENDING_EVICT_STALL", "value": 252}, - {"name": "CB_PERF_SEL_FC_DCC_CACHE_INFLIGHT_COUNTER_MAXIMUM_STALL", "value": 253}, - {"name": "CB_PERF_SEL_FC_DCC_CACHE_READ_OUTPUT_STALL", "value": 254}, - {"name": "CB_PERF_SEL_FC_DCC_CACHE_WRITE_OUTPUT_STALL", "value": 255}, - {"name": "CB_PERF_SEL_FC_DCC_CACHE_ACK_OUTPUT_STALL", "value": 256}, - {"name": "CB_PERF_SEL_FC_DCC_CACHE_STALL", "value": 257}, - {"name": "CB_PERF_SEL_FC_DCC_CACHE_FLUSH", "value": 258}, - {"name": "CB_PERF_SEL_FC_DCC_CACHE_TAGS_FLUSHED", "value": 259}, - {"name": "CB_PERF_SEL_FC_DCC_CACHE_SECTORS_FLUSHED", "value": 260}, - {"name": "CB_PERF_SEL_FC_DCC_CACHE_DIRTY_SECTORS_FLUSHED", "value": 261}, - {"name": "CB_PERF_SEL_CC_DCC_BEYOND_TILE_SPLIT", "value": 262}, - {"name": "CB_PERF_SEL_FC_MC_DCC_WRITE_REQUEST", "value": 263}, - {"name": "CB_PERF_SEL_FC_MC_DCC_WRITE_REQUESTS_IN_FLIGHT", "value": 264}, - {"name": "CB_PERF_SEL_FC_MC_DCC_READ_REQUEST", "value": 265}, - {"name": "CB_PERF_SEL_FC_MC_DCC_READ_REQUESTS_IN_FLIGHT", "value": 266}, - {"name": "CB_PERF_SEL_CC_DCC_RDREQ_STALL", "value": 267}, - {"name": "CB_PERF_SEL_CC_DCC_DECOMPRESS_TIDS_IN", "value": 268}, - {"name": "CB_PERF_SEL_CC_DCC_DECOMPRESS_TIDS_OUT", "value": 269}, - {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_TIDS_IN", "value": 270}, - {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_TIDS_OUT", "value": 271}, - {"name": "CB_PERF_SEL_FC_DCC_KEY_VALUE__CLEAR", "value": 272}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__4_BLOCKS__2TO1", "value": 273}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__3BLOCKS_2TO1__1BLOCK_2TO2", "value": 274}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_2TO1__1BLOCK_2TO2__1BLOCK_2TO1", "value": 275}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_2TO2__2BLOCKS_2TO1", "value": 276}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__3BLOCKS_2TO1", "value": 277}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_2TO1__2BLOCKS_2TO2", "value": 278}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__2BLOCKS_2TO2__1BLOCK_2TO1", "value": 279}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_2TO2__1BLOCK_2TO1__1BLOCK_2TO2", "value": 280}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_2TO1__1BLOCK_2TO2__1BLOCK_2TO1", "value": 281}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_2TO2__2BLOCKS_2TO1", "value": 282}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__2BLOCKS_2TO1__1BLOCK_2TO2", "value": 283}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__3BLOCKS_2TO2", "value": 284}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_2TO1__2BLOCKS_2TO2", "value": 285}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_2TO2__1BLOCK_2TO1__1BLOCK_2TO2", "value": 286}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__3BLOCKS_2TO2__1BLOCK_2TO1", "value": 287}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_4TO1", "value": 288}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO1__1BLOCK_4TO2", "value": 289}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO1__1BLOCK_4TO3", "value": 290}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO1__1BLOCK_4TO4", "value": 291}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO2__1BLOCK_4TO1", "value": 292}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_4TO2", "value": 293}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO2__1BLOCK_4TO3", "value": 294}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO2__1BLOCK_4TO4", "value": 295}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO3__1BLOCK_4TO1", "value": 296}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO3__1BLOCK_4TO2", "value": 297}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_4TO3", "value": 298}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO3__1BLOCK_4TO4", "value": 299}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO4__1BLOCK_4TO1", "value": 300}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO4__1BLOCK_4TO2", "value": 301}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO4__1BLOCK_4TO3", "value": 302}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_2TO1__1BLOCK_4TO1", "value": 303}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_2TO1__1BLOCK_4TO2", "value": 304}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_2TO1__1BLOCK_4TO3", "value": 305}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_2TO1__1BLOCK_4TO4", "value": 306}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_2TO2__1BLOCK_4TO1", "value": 307}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_2TO2__1BLOCK_4TO2", "value": 308}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_2TO2__1BLOCK_4TO3", "value": 309}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_2TO2__1BLOCK_4TO4", "value": 310}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_2TO1__1BLOCK_4TO1", "value": 311}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_2TO1__1BLOCK_4TO2", "value": 312}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_2TO1__1BLOCK_4TO3", "value": 313}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_2TO1__1BLOCK_4TO4", "value": 314}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_2TO2__1BLOCK_4TO1", "value": 315}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_2TO2__1BLOCK_4TO2", "value": 316}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__2BLOCKS_2TO2__1BLOCK_4TO3", "value": 317}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_4TO1__1BLOCK_2TO1", "value": 318}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_4TO2__1BLOCK_2TO1", "value": 319}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_4TO3__1BLOCK_2TO1", "value": 320}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_4TO4__1BLOCK_2TO1", "value": 321}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_4TO1__1BLOCK_2TO1", "value": 322}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_4TO2__1BLOCK_2TO1", "value": 323}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_4TO3__1BLOCK_2TO1", "value": 324}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_4TO4__1BLOCK_2TO1", "value": 325}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_4TO1__1BLOCK_2TO2", "value": 326}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_4TO2__1BLOCK_2TO2", "value": 327}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_4TO3__1BLOCK_2TO2", "value": 328}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_4TO4__1BLOCK_2TO2", "value": 329}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_4TO1__1BLOCK_2TO2", "value": 330}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_4TO2__1BLOCK_2TO2", "value": 331}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_4TO3__1BLOCK_2TO2", "value": 332}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO1__2BLOCKS_2TO1", "value": 333}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO2__2BLOCKS_2TO1", "value": 334}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO3__2BLOCKS_2TO1", "value": 335}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO4__2BLOCKS_2TO1", "value": 336}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO1__2BLOCKS_2TO2", "value": 337}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO2__2BLOCKS_2TO2", "value": 338}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO3__2BLOCKS_2TO2", "value": 339}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO1__1BLOCK_2TO1__1BLOCK_2TO2", "value": 340}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO2__1BLOCK_2TO1__1BLOCK_2TO2", "value": 341}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO3__1BLOCK_2TO1__1BLOCK_2TO2", "value": 342}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO4__1BLOCK_2TO1__1BLOCK_2TO2", "value": 343}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO1__1BLOCK_2TO2__1BLOCK_2TO1", "value": 344}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO2__1BLOCK_2TO2__1BLOCK_2TO1", "value": 345}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO3__1BLOCK_2TO2__1BLOCK_2TO1", "value": 346}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_4TO4__1BLOCK_2TO2__1BLOCK_2TO1", "value": 347}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_6TO1", "value": 348}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_6TO2", "value": 349}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_6TO3", "value": 350}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_6TO4", "value": 351}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_6TO5", "value": 352}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__1BLOCK_6TO6", "value": 353}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__INV0", "value": 354}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO1__INV1", "value": 355}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_6TO1", "value": 356}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_6TO2", "value": 357}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_6TO3", "value": 358}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_6TO4", "value": 359}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__1BLOCK_6TO5", "value": 360}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__INV0", "value": 361}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_2TO2__INV1", "value": 362}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_6TO1__1BLOCK_2TO1", "value": 363}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_6TO2__1BLOCK_2TO1", "value": 364}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_6TO3__1BLOCK_2TO1", "value": 365}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_6TO4__1BLOCK_2TO1", "value": 366}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_6TO5__1BLOCK_2TO1", "value": 367}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_6TO6__1BLOCK_2TO1", "value": 368}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__INV0__1BLOCK_2TO1", "value": 369}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__INV1__1BLOCK_2TO1", "value": 370}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_6TO1__1BLOCK_2TO2", "value": 371}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_6TO2__1BLOCK_2TO2", "value": 372}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_6TO3__1BLOCK_2TO2", "value": 373}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_6TO4__1BLOCK_2TO2", "value": 374}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_6TO5__1BLOCK_2TO2", "value": 375}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__INV0__1BLOCK_2TO2", "value": 376}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__INV1__1BLOCK_2TO2", "value": 377}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_8TO1", "value": 378}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_8TO2", "value": 379}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_8TO3", "value": 380}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_8TO4", "value": 381}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_8TO5", "value": 382}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_8TO6", "value": 383}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__1BLOCK_8TO7", "value": 384}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__UNCOMPRESSED", "value": 385}, - {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_2TO1", "value": 386}, - {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_4TO1", "value": 387}, - {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_4TO2", "value": 388}, - {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_4TO3", "value": 389}, - {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_6TO1", "value": 390}, - {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_6TO2", "value": 391}, - {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_6TO3", "value": 392}, - {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_6TO4", "value": 393}, - {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_6TO5", "value": 394}, - {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_8TO1", "value": 395}, - {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_8TO2", "value": 396}, - {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_8TO3", "value": 397}, - {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_8TO4", "value": 398}, - {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_8TO5", "value": 399}, - {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_8TO6", "value": 400}, - {"name": "CB_PERF_SEL_CC_DCC_COMPRESS_RATIO_8TO7", "value": 401}, - {"name": "CB_PERF_SEL_RBP_EXPORT_8PIX_LIT_BOTH", "value": 402}, - {"name": "CB_PERF_SEL_RBP_EXPORT_8PIX_LIT_LEFT", "value": 403}, - {"name": "CB_PERF_SEL_RBP_EXPORT_8PIX_LIT_RIGHT", "value": 404}, - {"name": "CB_PERF_SEL_RBP_SPLIT_MICROTILE", "value": 405}, - {"name": "CB_PERF_SEL_RBP_SPLIT_AA_SAMPLE_MASK", "value": 406}, - {"name": "CB_PERF_SEL_RBP_SPLIT_PARTIAL_TARGET_MASK", "value": 407}, - {"name": "CB_PERF_SEL_RBP_SPLIT_LINEAR_ADDRESSING", "value": 408}, - {"name": "CB_PERF_SEL_RBP_SPLIT_AA_NO_FMASK_COMPRESS", "value": 409}, - {"name": "CB_PERF_SEL_RBP_INSERT_MISSING_LAST_QUAD", "value": 410}, - {"name": "CB_PERF_SEL_NACK_CM_READ", "value": 411}, - {"name": "CB_PERF_SEL_NACK_CM_WRITE", "value": 412}, - {"name": "CB_PERF_SEL_NACK_FC_READ", "value": 413}, - {"name": "CB_PERF_SEL_NACK_FC_WRITE", "value": 414}, - {"name": "CB_PERF_SEL_NACK_DC_READ", "value": 415}, - {"name": "CB_PERF_SEL_NACK_DC_WRITE", "value": 416}, - {"name": "CB_PERF_SEL_NACK_CC_READ", "value": 417}, - {"name": "CB_PERF_SEL_NACK_CC_WRITE", "value": 418}, - {"name": "CB_PERF_SEL_CM_MC_EARLY_WRITE_RETURN", "value": 419}, - {"name": "CB_PERF_SEL_FC_MC_EARLY_WRITE_RETURN", "value": 420}, - {"name": "CB_PERF_SEL_DC_MC_EARLY_WRITE_RETURN", "value": 421}, - {"name": "CB_PERF_SEL_CC_MC_EARLY_WRITE_RETURN", "value": 422}, - {"name": "CB_PERF_SEL_CM_MC_EARLY_WRITE_REQUESTS_IN_FLIGHT", "value": 423}, - {"name": "CB_PERF_SEL_FC_MC_EARLY_WRITE_REQUESTS_IN_FLIGHT", "value": 424}, - {"name": "CB_PERF_SEL_DC_MC_EARLY_WRITE_REQUESTS_IN_FLIGHT", "value": 425}, - {"name": "CB_PERF_SEL_CC_MC_EARLY_WRITE_REQUESTS_IN_FLIGHT", "value": 426}, - {"name": "CB_PERF_SEL_CM_MC_WRITE_ACK64B", "value": 427}, - {"name": "CB_PERF_SEL_FC_MC_WRITE_ACK64B", "value": 428}, - {"name": "CB_PERF_SEL_DC_MC_WRITE_ACK64B", "value": 429}, - {"name": "CB_PERF_SEL_CC_MC_WRITE_ACK64B", "value": 430}, - {"name": "CB_PERF_SEL_EVENT_BOTTOM_OF_PIPE_TS", "value": 431}, - {"name": "CB_PERF_SEL_EVENT_FLUSH_AND_INV_DB_DATA_TS", "value": 432}, - {"name": "CB_PERF_SEL_EVENT_FLUSH_AND_INV_CB_PIXEL_DATA", "value": 433}, - {"name": "CB_PERF_SEL_DB_CB_TILE_TILENOTEVENT", "value": 434}, - {"name": "CB_PERF_SEL_LQUAD_FORMAT_IS_EXPORT_32BPP_8PIX", "value": 435}, - {"name": "CB_PERF_SEL_LQUAD_FORMAT_IS_EXPORT_16_16_UNSIGNED_8PIX", "value": 436}, - {"name": "CB_PERF_SEL_LQUAD_FORMAT_IS_EXPORT_16_16_SIGNED_8PIX", "value": 437}, - {"name": "CB_PERF_SEL_LQUAD_FORMAT_IS_EXPORT_16_16_FLOAT_8PIX", "value": 438}, - {"name": "CB_PERF_SEL_MERGE_PIXELS_WITH_BLEND_ENABLED", "value": 439}, - {"name": "CB_PERF_SEL_DB_CB_CONTEXT_DONE", "value": 440}, - {"name": "CB_PERF_SEL_DB_CB_EOP_DONE", "value": 441}, - {"name": "CB_PERF_SEL_CC_MC_WRITE_REQUEST_PARTIAL", "value": 442}, - {"name": "CB_PERF_SEL_CC_BB_BLEND_PIXEL_VLD", "value": 443}, - {"name": "CB_PERF_SEL_CC_CACHE_256BS_SAVED_DUE_TO_QSB", "value": 444}, - {"name": "CB_PERF_SEL_FC_CACHE_FMASK_NO_FETCH", "value": 445}, - {"name": "CB_PERF_SEL_CC_CACHE_SECTOR_HIT", "value": 446}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__CONST_CLEAR_REG", "value": 447}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__CONST_CLEAR_SINGLE", "value": 448}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__CONST_CLEAR_AC00", "value": 449}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__CONST_CLEAR_AC01", "value": 450}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__CONST_CLEAR_AC10", "value": 451}, - {"name": "CB_PERF_SEL_CC_DCC_KEY_VALUE__CONST_CLEAR_AC11", "value": 452}, - {"name": "CB_PERF_SEL_TQ_STUTTER_STALL", "value": 453} - ] - }, - "CHA_PERF_SEL": { - "entries": [ - {"name": "CHA_PERF_SEL_BUSY", "value": 0}, - {"name": "CHA_PERF_SEL_STALL_CHC0", "value": 1}, - {"name": "CHA_PERF_SEL_STALL_CHC1", "value": 2}, - {"name": "CHA_PERF_SEL_STALL_CHC2", "value": 3}, - {"name": "CHA_PERF_SEL_STALL_CHC3", "value": 4}, - {"name": "CHA_PERF_SEL_STALL_CHC4", "value": 5}, - {"name": "CHA_PERF_SEL_STALL_CHC5", "value": 6}, - {"name": "CHA_PERF_SEL_REQUEST_CHC0", "value": 7}, - {"name": "CHA_PERF_SEL_REQUEST_CHC1", "value": 8}, - {"name": "CHA_PERF_SEL_REQUEST_CHC2", "value": 9}, - {"name": "CHA_PERF_SEL_REQUEST_CHC3", "value": 10}, - {"name": "CHA_PERF_SEL_REQUEST_CHC4", "value": 11}, - {"name": "CHA_PERF_SEL_MEM_32B_WDS_CHC0", "value": 12}, - {"name": "CHA_PERF_SEL_MEM_32B_WDS_CHC1", "value": 13}, - {"name": "CHA_PERF_SEL_MEM_32B_WDS_CHC2", "value": 14}, - {"name": "CHA_PERF_SEL_MEM_32B_WDS_CHC3", "value": 15}, - {"name": "CHA_PERF_SEL_MEM_32B_WDS_CHC4", "value": 16}, - {"name": "CHA_PERF_SEL_IO_32B_WDS_CHC0", "value": 17}, - {"name": "CHA_PERF_SEL_IO_32B_WDS_CHC1", "value": 18}, - {"name": "CHA_PERF_SEL_IO_32B_WDS_CHC2", "value": 19}, - {"name": "CHA_PERF_SEL_IO_32B_WDS_CHC3", "value": 20}, - {"name": "CHA_PERF_SEL_IO_32B_WDS_CHC4", "value": 21}, - {"name": "CHA_PERF_SEL_MEM_BURST_COUNT_CHC0", "value": 22}, - {"name": "CHA_PERF_SEL_MEM_BURST_COUNT_CHC1", "value": 23}, - {"name": "CHA_PERF_SEL_MEM_BURST_COUNT_CHC2", "value": 24}, - {"name": "CHA_PERF_SEL_MEM_BURST_COUNT_CHC3", "value": 25}, - {"name": "CHA_PERF_SEL_MEM_BURST_COUNT_CHC4", "value": 26}, - {"name": "CHA_PERF_SEL_IO_BURST_COUNT_CHC0", "value": 27}, - {"name": "CHA_PERF_SEL_IO_BURST_COUNT_CHC1", "value": 28}, - {"name": "CHA_PERF_SEL_IO_BURST_COUNT_CHC2", "value": 29}, - {"name": "CHA_PERF_SEL_IO_BURST_COUNT_CHC3", "value": 30}, - {"name": "CHA_PERF_SEL_IO_BURST_COUNT_CHC4", "value": 31}, - {"name": "CHA_PERF_SEL_ARB_REQUESTS", "value": 32}, - {"name": "CHA_PERF_SEL_REQ_ARB_LEVEL_CHC0", "value": 33}, - {"name": "CHA_PERF_SEL_REQ_ARB_LEVEL_CHC1", "value": 34}, - {"name": "CHA_PERF_SEL_REQ_ARB_LEVEL_CHC2", "value": 35}, - {"name": "CHA_PERF_SEL_REQ_ARB_LEVEL_CHC3", "value": 36}, - {"name": "CHA_PERF_SEL_REQ_ARB_LEVEL_CHC4", "value": 37}, - {"name": "CHA_PERF_SEL_REQ_INFLIGHT_LEVEL", "value": 38}, - {"name": "CHA_PERF_SEL_STALL_RET_CONFLICT_CHC0", "value": 39}, - {"name": "CHA_PERF_SEL_STALL_RET_CONFLICT_CHC1", "value": 40}, - {"name": "CHA_PERF_SEL_STALL_RET_CONFLICT_CHC2", "value": 41}, - {"name": "CHA_PERF_SEL_STALL_RET_CONFLICT_CHC3", "value": 42}, - {"name": "CHA_PERF_SEL_STALL_RET_CONFLICT_CHC4", "value": 43}, - {"name": "CHA_PERF_SEL_CYCLE", "value": 44} - ] - }, - "CHCG_PERF_SEL": { + "CB_COLOR_DCC_CONTROL__MAX_UNCOMPRESSED_BLOCK_SIZE": { "entries": [ - {"name": "CHCG_PERF_SEL_CYCLE", "value": 0}, - {"name": "CHCG_PERF_SEL_BUSY", "value": 1}, - {"name": "CHCG_PERF_SEL_ARB_RET_LEVEL", "value": 2}, - {"name": "CHCG_PERF_SEL_GL2_REQ_READ_LATENCY", "value": 3}, - {"name": "CHCG_PERF_SEL_GL2_REQ_WRITE_LATENCY", "value": 4}, - {"name": "CHCG_PERF_SEL_REQ", "value": 5}, - {"name": "CHCG_PERF_SEL_REQ_ATOMIC_WITH_RET", "value": 6}, - {"name": "CHCG_PERF_SEL_REQ_ATOMIC_WITHOUT_RET", "value": 7}, - {"name": "CHCG_PERF_SEL_REQ_NOP_ACK", "value": 8}, - {"name": "CHCG_PERF_SEL_REQ_NOP_RTN0", "value": 9}, - {"name": "CHCG_PERF_SEL_REQ_READ", "value": 10}, - {"name": "CHCG_PERF_SEL_REQ_READ_128B", "value": 11}, - {"name": "CHCG_PERF_SEL_REQ_READ_32B", "value": 12}, - {"name": "CHCG_PERF_SEL_REQ_READ_64B", "value": 13}, - {"name": "CHCG_PERF_SEL_REQ_WRITE", "value": 14}, - {"name": "CHCG_PERF_SEL_REQ_WRITE_32B", "value": 15}, - {"name": "CHCG_PERF_SEL_REQ_WRITE_64B", "value": 16}, - {"name": "CHCG_PERF_SEL_STALL_GUS_GL1", "value": 17}, - {"name": "CHCG_PERF_SEL_STALL_BUFFER_FULL", "value": 18}, - {"name": "CHCG_PERF_SEL_REQ_CLIENT0", "value": 19}, - {"name": "CHCG_PERF_SEL_REQ_CLIENT1", "value": 20}, - {"name": "CHCG_PERF_SEL_REQ_CLIENT2", "value": 21}, - {"name": "CHCG_PERF_SEL_REQ_CLIENT3", "value": 22}, - {"name": "CHCG_PERF_SEL_REQ_CLIENT4", "value": 23}, - {"name": "CHCG_PERF_SEL_REQ_CLIENT5", "value": 24}, - {"name": "CHCG_PERF_SEL_REQ_CLIENT6", "value": 25}, - {"name": "CHCG_PERF_SEL_REQ_CLIENT7", "value": 26}, - {"name": "CHCG_PERF_SEL_REQ_CLIENT8", "value": 27}, - {"name": "CHCG_PERF_SEL_REQ_CLIENT9", "value": 28}, - {"name": "CHCG_PERF_SEL_REQ_CLIENT10", "value": 29}, - {"name": "CHCG_PERF_SEL_REQ_CLIENT11", "value": 30}, - {"name": "CHCG_PERF_SEL_REQ_CLIENT12", "value": 31}, - {"name": "CHCG_PERF_SEL_REQ_CLIENT13", "value": 32}, - {"name": "CHCG_PERF_SEL_REQ_CLIENT14", "value": 33} - ] - }, - "CHC_PERF_SEL": { - "entries": [ - {"name": "CHC_PERF_SEL_CYCLE", "value": 0}, - {"name": "CHC_PERF_SEL_BUSY", "value": 1}, - {"name": "CHC_PERF_SEL_ARB_RET_LEVEL", "value": 2}, - {"name": "CHC_PERF_SEL_GL2_REQ_READ_LATENCY", "value": 3}, - {"name": "CHC_PERF_SEL_GL2_REQ_WRITE_LATENCY", "value": 4}, - {"name": "CHC_PERF_SEL_REQ", "value": 5}, - {"name": "CHC_PERF_SEL_REQ_ATOMIC_WITH_RET", "value": 6}, - {"name": "CHC_PERF_SEL_REQ_ATOMIC_WITHOUT_RET", "value": 7}, - {"name": "CHC_PERF_SEL_REQ_NOP_ACK", "value": 8}, - {"name": "CHC_PERF_SEL_REQ_NOP_RTN0", "value": 9}, - {"name": "CHC_PERF_SEL_REQ_READ", "value": 10}, - {"name": "CHC_PERF_SEL_REQ_READ_128B", "value": 11}, - {"name": "CHC_PERF_SEL_REQ_READ_32B", "value": 12}, - {"name": "CHC_PERF_SEL_REQ_READ_64B", "value": 13}, - {"name": "CHC_PERF_SEL_REQ_WRITE", "value": 14}, - {"name": "CHC_PERF_SEL_REQ_WRITE_32B", "value": 15}, - {"name": "CHC_PERF_SEL_REQ_WRITE_64B", "value": 16}, - {"name": "CHC_PERF_SEL_STALL_GL2_GL1", "value": 17}, - {"name": "CHC_PERF_SEL_STALL_BUFFER_FULL", "value": 18}, - {"name": "CHC_PERF_SEL_REQ_CLIENT0", "value": 19}, - {"name": "CHC_PERF_SEL_REQ_CLIENT1", "value": 20}, - {"name": "CHC_PERF_SEL_REQ_CLIENT2", "value": 21}, - {"name": "CHC_PERF_SEL_REQ_CLIENT3", "value": 22}, - {"name": "CHC_PERF_SEL_REQ_CLIENT4", "value": 23}, - {"name": "CHC_PERF_SEL_REQ_CLIENT5", "value": 24}, - {"name": "CHC_PERF_SEL_REQ_CLIENT6", "value": 25}, - {"name": "CHC_PERF_SEL_REQ_CLIENT7", "value": 26}, - {"name": "CHC_PERF_SEL_REQ_CLIENT8", "value": 27}, - {"name": "CHC_PERF_SEL_REQ_CLIENT9", "value": 28}, - {"name": "CHC_PERF_SEL_REQ_CLIENT10", "value": 29}, - {"name": "CHC_PERF_SEL_REQ_CLIENT11", "value": 30}, - {"name": "CHC_PERF_SEL_REQ_CLIENT12", "value": 31}, - {"name": "CHC_PERF_SEL_REQ_CLIENT13", "value": 32}, - {"name": "CHC_PERF_SEL_REQ_CLIENT14", "value": 33} - ] - }, - "CPC_LATENCY_STATS_SEL": { - "entries": [ - {"name": "CPC_LATENCY_STATS_SEL_XACK_MAX", "value": 0}, - {"name": "CPC_LATENCY_STATS_SEL_XACK_MIN", "value": 1}, - {"name": "CPC_LATENCY_STATS_SEL_XACK_LAST", "value": 2}, - {"name": "CPC_LATENCY_STATS_SEL_XNACK_MAX", "value": 3}, - {"name": "CPC_LATENCY_STATS_SEL_XNACK_MIN", "value": 4}, - {"name": "CPC_LATENCY_STATS_SEL_XNACK_LAST", "value": 5}, - {"name": "CPC_LATENCY_STATS_SEL_INVAL_MAX", "value": 6}, - {"name": "CPC_LATENCY_STATS_SEL_INVAL_MIN", "value": 7}, - {"name": "CPC_LATENCY_STATS_SEL_INVAL_LAST", "value": 8} - ] - }, - "CPC_PERFCOUNT_SEL": { - "entries": [ - {"name": "CPC_PERF_SEL_ALWAYS_COUNT", "value": 0}, - {"name": "CPC_PERF_SEL_RCIU_STALL_WAIT_ON_FREE", "value": 1}, - {"name": "CPC_PERF_SEL_RCIU_STALL_PRIV_VIOLATION", "value": 2}, - {"name": "CPC_PERF_SEL_MIU_STALL_ON_RDREQ_FREE", "value": 3}, - {"name": "CPC_PERF_SEL_MIU_STALL_ON_WRREQ_FREE", "value": 4}, - {"name": "CPC_PERF_SEL_TCIU_STALL_WAIT_ON_FREE", "value": 5}, - {"name": "CPC_PERF_SEL_ME1_STALL_WAIT_ON_RCIU_READY", "value": 6}, - {"name": "CPC_PERF_SEL_ME1_STALL_WAIT_ON_RCIU_READY_PERF", "value": 7}, - {"name": "CPC_PERF_SEL_ME1_STALL_WAIT_ON_RCIU_READ", "value": 8}, - {"name": "CPC_PERF_SEL_ME1_STALL_WAIT_ON_GUS_READ", "value": 9}, - {"name": "CPC_PERF_SEL_ME1_STALL_WAIT_ON_GUS_WRITE", "value": 10}, - {"name": "CPC_PERF_SEL_ME1_STALL_ON_DATA_FROM_ROQ", "value": 11}, - {"name": "CPC_PERF_SEL_ME1_STALL_ON_DATA_FROM_ROQ_PERF", "value": 12}, - {"name": "CPC_PERF_SEL_ME1_BUSY_FOR_PACKET_DECODE", "value": 13}, - {"name": "CPC_PERF_SEL_ME2_STALL_WAIT_ON_RCIU_READY", "value": 14}, - {"name": "CPC_PERF_SEL_ME2_STALL_WAIT_ON_RCIU_READY_PERF", "value": 15}, - {"name": "CPC_PERF_SEL_ME2_STALL_WAIT_ON_RCIU_READ", "value": 16}, - {"name": "CPC_PERF_SEL_ME2_STALL_WAIT_ON_GUS_READ", "value": 17}, - {"name": "CPC_PERF_SEL_ME2_STALL_WAIT_ON_GUS_WRITE", "value": 18}, - {"name": "CPC_PERF_SEL_ME2_STALL_ON_DATA_FROM_ROQ", "value": 19}, - {"name": "CPC_PERF_SEL_ME2_STALL_ON_DATA_FROM_ROQ_PERF", "value": 20}, - {"name": "CPC_PERF_SEL_ME2_BUSY_FOR_PACKET_DECODE", "value": 21}, - {"name": "CPC_PERF_SEL_UTCL2IU_STALL_WAIT_ON_FREE", "value": 22}, - {"name": "CPC_PERF_SEL_UTCL2IU_STALL_WAIT_ON_TAGS", "value": 23}, - {"name": "CPC_PERF_SEL_UTCL1_STALL_ON_TRANSLATION", "value": 24}, - {"name": "CPC_PERF_SEL_CPC_STAT_BUSY", "value": 25}, - {"name": "CPC_PERF_SEL_CPC_STAT_IDLE", "value": 26}, - {"name": "CPC_PERF_SEL_CPC_STAT_STALL", "value": 27}, - {"name": "CPC_PERF_SEL_CPC_TCIU_BUSY", "value": 28}, - {"name": "CPC_PERF_SEL_CPC_TCIU_IDLE", "value": 29}, - {"name": "CPC_PERF_SEL_CPC_UTCL2IU_BUSY", "value": 30}, - {"name": "CPC_PERF_SEL_CPC_UTCL2IU_IDLE", "value": 31}, - {"name": "CPC_PERF_SEL_CPC_UTCL2IU_STALL", "value": 32}, - {"name": "CPC_PERF_SEL_ME1_DC0_SPI_BUSY", "value": 33}, - {"name": "CPC_PERF_SEL_ME2_DC1_SPI_BUSY", "value": 34}, - {"name": "CPC_PERF_SEL_CPC_GCRIU_BUSY", "value": 35}, - {"name": "CPC_PERF_SEL_CPC_GCRIU_IDLE", "value": 36}, - {"name": "CPC_PERF_SEL_CPC_GCRIU_STALL", "value": 37}, - {"name": "CPC_PERF_SEL_GCRIU_STALL_WAIT_ON_FREE", "value": 38}, - {"name": "CPC_PERF_SEL_ME1_STALL_WAIT_ON_TCIU_READ", "value": 39}, - {"name": "CPC_PERF_SEL_ME2_STALL_WAIT_ON_TCIU_READ", "value": 40}, - {"name": "CPC_PERF_SEL_CPC_UTCL2IU_XACK", "value": 41}, - {"name": "CPC_PERF_SEL_CPC_UTCL2IU_XNACK", "value": 42}, - {"name": "CPC_PERF_SEL_MEC_INSTR_CACHE_HIT", "value": 43}, - {"name": "CPC_PERF_SEL_MEC_INSTR_CACHE_MISS", "value": 44}, - {"name": "CPC_PERF_SEL_MES_THREAD0", "value": 45}, - {"name": "CPC_PERF_SEL_MES_THREAD1", "value": 46} - ] - }, - "CPF_LATENCY_STATS_SEL": { - "entries": [ - {"name": "CPF_LATENCY_STATS_SEL_XACK_MAX", "value": 0}, - {"name": "CPF_LATENCY_STATS_SEL_XACK_MIN", "value": 1}, - {"name": "CPF_LATENCY_STATS_SEL_XACK_LAST", "value": 2}, - {"name": "CPF_LATENCY_STATS_SEL_XNACK_MAX", "value": 3}, - {"name": "CPF_LATENCY_STATS_SEL_XNACK_MIN", "value": 4}, - {"name": "CPF_LATENCY_STATS_SEL_XNACK_LAST", "value": 5}, - {"name": "CPF_LATENCY_STATS_SEL_READ_MAX", "value": 6}, - {"name": "CPF_LATENCY_STATS_SEL_READ_MIN", "value": 7}, - {"name": "CPF_LATENCY_STATS_SEL_READ_LAST", "value": 8}, - {"name": "CPF_LATENCY_STATS_SEL_INVAL_MAX", "value": 9}, - {"name": "CPF_LATENCY_STATS_SEL_INVAL_MIN", "value": 10}, - {"name": "CPF_LATENCY_STATS_SEL_INVAL_LAST", "value": 11} - ] - }, - "CPF_PERFCOUNTWINDOW_SEL": { - "entries": [ - {"name": "CPF_PERFWINDOW_SEL_CSF", "value": 0}, - {"name": "CPF_PERFWINDOW_SEL_HQD1", "value": 1}, - {"name": "CPF_PERFWINDOW_SEL_HQD2", "value": 2}, - {"name": "CPF_PERFWINDOW_SEL_RDMA", "value": 3}, - {"name": "CPF_PERFWINDOW_SEL_RWPP", "value": 4} + {"name": "MAX_BLOCK_SIZE_64B", "value": 0}, + {"name": "MAX_BLOCK_SIZE_128B", "value": 1}, + {"name": "MAX_BLOCK_SIZE_256B", "value": 2} ] }, - "CPF_PERFCOUNT_SEL": { - "entries": [ - {"name": "CPF_PERF_SEL_ALWAYS_COUNT", "value": 0}, - {"name": "CPF_PERF_SEL_MIU_STALLED_WAITING_RDREQ_FREE", "value": 1}, - {"name": "CPF_PERF_SEL_TCIU_STALLED_WAITING_ON_FREE", "value": 2}, - {"name": "CPF_PERF_SEL_TCIU_STALLED_WAITING_ON_TAGS", "value": 3}, - {"name": "CPF_PERF_SEL_CSF_BUSY_FOR_FETCHING_RING", "value": 4}, - {"name": "CPF_PERF_SEL_CSF_BUSY_FOR_FETCHING_IB1", "value": 5}, - {"name": "CPF_PERF_SEL_CSF_BUSY_FOR_FETCHING_IB2", "value": 6}, - {"name": "CPF_PERF_SEL_CSF_BUSY_FOR_FECTHINC_STATE", "value": 7}, - {"name": "CPF_PERF_SEL_MIU_BUSY_FOR_OUTSTANDING_TAGS", "value": 8}, - {"name": "CPF_PERF_SEL_CSF_RTS_MIU_NOT_RTR", "value": 9}, - {"name": "CPF_PERF_SEL_CSF_STATE_FIFO_NOT_RTR", "value": 10}, - {"name": "CPF_PERF_SEL_CSF_FETCHING_CMD_BUFFERS", "value": 11}, - {"name": "CPF_PERF_SEL_GRBM_DWORDS_SENT", "value": 12}, - {"name": "CPF_PERF_SEL_DYNAMIC_CLOCK_VALID", "value": 13}, - {"name": "CPF_PERF_SEL_REGISTER_CLOCK_VALID", "value": 14}, - {"name": "CPF_PERF_SEL_GUS_WRITE_REQUEST_SENT", "value": 15}, - {"name": "CPF_PERF_SEL_GUS_READ_REQUEST_SENT", "value": 16}, - {"name": "CPF_PERF_SEL_UTCL2IU_STALL_WAIT_ON_FREE", "value": 17}, - {"name": "CPF_PERF_SEL_UTCL2IU_STALL_WAIT_ON_TAGS", "value": 18}, - {"name": "CPF_PERF_SEL_GFX_UTCL1_STALL_ON_TRANSLATION", "value": 19}, - {"name": "CPF_PERF_SEL_CMP_UTCL1_STALL_ON_TRANSLATION", "value": 20}, - {"name": "CPF_PERF_SEL_RCIU_STALL_WAIT_ON_FREE", "value": 21}, - {"name": "CPF_PERF_SEL_TCIU_WRITE_REQUEST_SENT", "value": 22}, - {"name": "CPF_PERF_SEL_TCIU_READ_REQUEST_SENT", "value": 23}, - {"name": "CPF_PERF_SEL_CPF_STAT_BUSY", "value": 24}, - {"name": "CPF_PERF_SEL_CPF_STAT_IDLE", "value": 25}, - {"name": "CPF_PERF_SEL_CPF_STAT_STALL", "value": 26}, - {"name": "CPF_PERF_SEL_CPF_TCIU_BUSY", "value": 27}, - {"name": "CPF_PERF_SEL_CPF_TCIU_IDLE", "value": 28}, - {"name": "CPF_PERF_SEL_CPF_TCIU_STALL", "value": 29}, - {"name": "CPF_PERF_SEL_CPF_UTCL2IU_BUSY", "value": 30}, - {"name": "CPF_PERF_SEL_CPF_UTCL2IU_IDLE", "value": 31}, - {"name": "CPF_PERF_SEL_CPF_UTCL2IU_STALL", "value": 32}, - {"name": "CPF_PERF_SEL_CPF_GCRIU_BUSY", "value": 33}, - {"name": "CPF_PERF_SEL_CPF_GCRIU_IDLE", "value": 34}, - {"name": "CPF_PERF_SEL_CPF_GCRIU_STALL", "value": 35}, - {"name": "CPF_PERF_SEL_GCRIU_STALL_WAIT_ON_FREE", "value": 36}, - {"name": "CPF_PERF_SEL_CSF_BUSY_FOR_FETCHING_DB", "value": 37}, - {"name": "CPF_PERF_SEL_CPF_UTCL2IU_XACK", "value": 38}, - {"name": "CPF_PERF_SEL_CPF_UTCL2IU_XNACK", "value": 39} - ] - }, - "CPG_LATENCY_STATS_SEL": { + "CB_COLOR_DCC_CONTROL__MIN_COMPRESSED_BLOCK_SIZE": { "entries": [ - {"name": "CPG_LATENCY_STATS_SEL_XACK_MAX", "value": 0}, - {"name": "CPG_LATENCY_STATS_SEL_XACK_MIN", "value": 1}, - {"name": "CPG_LATENCY_STATS_SEL_XACK_LAST", "value": 2}, - {"name": "CPG_LATENCY_STATS_SEL_XNACK_MAX", "value": 3}, - {"name": "CPG_LATENCY_STATS_SEL_XNACK_MIN", "value": 4}, - {"name": "CPG_LATENCY_STATS_SEL_XNACK_LAST", "value": 5}, - {"name": "CPG_LATENCY_STATS_SEL_WRITE_MAX", "value": 6}, - {"name": "CPG_LATENCY_STATS_SEL_WRITE_MIN", "value": 7}, - {"name": "CPG_LATENCY_STATS_SEL_WRITE_LAST", "value": 8}, - {"name": "CPG_LATENCY_STATS_SEL_READ_MAX", "value": 9}, - {"name": "CPG_LATENCY_STATS_SEL_READ_MIN", "value": 10}, - {"name": "CPG_LATENCY_STATS_SEL_READ_LAST", "value": 11}, - {"name": "CPG_LATENCY_STATS_SEL_ATOMIC_MAX", "value": 12}, - {"name": "CPG_LATENCY_STATS_SEL_ATOMIC_MIN", "value": 13}, - {"name": "CPG_LATENCY_STATS_SEL_ATOMIC_LAST", "value": 14}, - {"name": "CPG_LATENCY_STATS_SEL_INVAL_MAX", "value": 15}, - {"name": "CPG_LATENCY_STATS_SEL_INVAL_MIN", "value": 16}, - {"name": "CPG_LATENCY_STATS_SEL_INVAL_LAST", "value": 17} - ] - }, - "CPG_PERFCOUNTWINDOW_SEL": { - "entries": [ - {"name": "CPG_PERFWINDOW_SEL_PFP", "value": 0}, - {"name": "CPG_PERFWINDOW_SEL_ME", "value": 1}, - {"name": "CPG_PERFWINDOW_SEL_CE", "value": 2}, - {"name": "CPG_PERFWINDOW_SEL_MES", "value": 3}, - {"name": "CPG_PERFWINDOW_SEL_MEC1", "value": 4}, - {"name": "CPG_PERFWINDOW_SEL_MEC2", "value": 5}, - {"name": "CPG_PERFWINDOW_SEL_DFY", "value": 6}, - {"name": "CPG_PERFWINDOW_SEL_DMA", "value": 7}, - {"name": "CPG_PERFWINDOW_SEL_SHADOW", "value": 8}, - {"name": "CPG_PERFWINDOW_SEL_RB", "value": 9}, - {"name": "CPG_PERFWINDOW_SEL_CEDMA", "value": 10}, - {"name": "CPG_PERFWINDOW_SEL_PRT_HDR_RPTR", "value": 11}, - {"name": "CPG_PERFWINDOW_SEL_PRT_SMP_RPTR", "value": 12}, - {"name": "CPG_PERFWINDOW_SEL_PQ1", "value": 13}, - {"name": "CPG_PERFWINDOW_SEL_PQ2", "value": 14}, - {"name": "CPG_PERFWINDOW_SEL_PQ3", "value": 15}, - {"name": "CPG_PERFWINDOW_SEL_MEMWR", "value": 16}, - {"name": "CPG_PERFWINDOW_SEL_MEMRD", "value": 17}, - {"name": "CPG_PERFWINDOW_SEL_VGT0", "value": 18}, - {"name": "CPG_PERFWINDOW_SEL_VGT1", "value": 19}, - {"name": "CPG_PERFWINDOW_SEL_APPEND", "value": 20}, - {"name": "CPG_PERFWINDOW_SEL_QURD", "value": 21}, - {"name": "CPG_PERFWINDOW_SEL_DDID", "value": 22}, - {"name": "CPG_PERFWINDOW_SEL_SR", "value": 23}, - {"name": "CPG_PERFWINDOW_SEL_QU_EOP", "value": 24}, - {"name": "CPG_PERFWINDOW_SEL_QU_STRM", "value": 25}, - {"name": "CPG_PERFWINDOW_SEL_QU_PIPE", "value": 26}, - {"name": "CPG_PERFWINDOW_SEL_RESERVED1", "value": 27}, - {"name": "CPG_PERFWINDOW_SEL_CPC_IC", "value": 28}, - {"name": "CPG_PERFWINDOW_SEL_RESERVED2", "value": 29}, - {"name": "CPG_PERFWINDOW_SEL_CPG_IC", "value": 30} - ] - }, - "CPG_PERFCOUNT_SEL": { + {"name": "MIN_BLOCK_SIZE_32B", "value": 0}, + {"name": "MIN_BLOCK_SIZE_64B", "value": 1} + ] + }, + "CLIP_RULE": { "entries": [ - {"name": "CPG_PERF_SEL_ALWAYS_COUNT", "value": 0}, - {"name": "CPG_PERF_SEL_RBIU_FIFO_FULL", "value": 1}, - {"name": "CPG_PERF_SEL_CSF_RTS_BUT_MIU_NOT_RTR", "value": 2}, - {"name": "CPG_PERF_SEL_CSF_ST_BASE_SIZE_FIFO_FULL", "value": 3}, - {"name": "CPG_PERF_SEL_CP_GRBM_DWORDS_SENT", "value": 4}, - {"name": "CPG_PERF_SEL_ME_PARSER_BUSY", "value": 5}, - {"name": "CPG_PERF_SEL_COUNT_TYPE0_PACKETS", "value": 6}, - {"name": "CPG_PERF_SEL_COUNT_TYPE3_PACKETS", "value": 7}, - {"name": "CPG_PERF_SEL_CSF_FETCHING_CMD_BUFFERS", "value": 8}, - {"name": "CPG_PERF_SEL_CP_GRBM_OUT_OF_CREDITS", "value": 9}, - {"name": "CPG_PERF_SEL_CP_PFP_GRBM_OUT_OF_CREDITS", "value": 10}, - {"name": "CPG_PERF_SEL_CP_GDS_GRBM_OUT_OF_CREDITS", "value": 11}, - {"name": "CPG_PERF_SEL_RCIU_STALLED_ON_ME_READ", "value": 12}, - {"name": "CPG_PERF_SEL_RCIU_STALLED_ON_DMA_READ", "value": 13}, - {"name": "CPG_PERF_SEL_SSU_STALLED_ON_ACTIVE_CNTX", "value": 14}, - {"name": "CPG_PERF_SEL_SSU_STALLED_ON_CLEAN_SIGNALS", "value": 15}, - {"name": "CPG_PERF_SEL_QU_STALLED_ON_EOP_DONE_PULSE", "value": 16}, - {"name": "CPG_PERF_SEL_QU_STALLED_ON_EOP_DONE_WR_CONFIRM", "value": 17}, - {"name": "CPG_PERF_SEL_PFP_STALLED_ON_CSF_READY", "value": 18}, - {"name": "CPG_PERF_SEL_PFP_STALLED_ON_MEQ_READY", "value": 19}, - {"name": "CPG_PERF_SEL_PFP_STALLED_ON_RCIU_READY", "value": 20}, - {"name": "CPG_PERF_SEL_PFP_STALLED_FOR_DATA_FROM_ROQ", "value": 21}, - {"name": "CPG_PERF_SEL_ME_STALLED_FOR_DATA_FROM_PFP", "value": 22}, - {"name": "CPG_PERF_SEL_ME_STALLED_FOR_DATA_FROM_STQ", "value": 23}, - {"name": "CPG_PERF_SEL_ME_STALLED_ON_NO_AVAIL_GFX_CNTX", "value": 24}, - {"name": "CPG_PERF_SEL_ME_STALLED_WRITING_TO_RCIU", "value": 25}, - {"name": "CPG_PERF_SEL_ME_STALLED_WRITING_CONSTANTS", "value": 26}, - {"name": "CPG_PERF_SEL_ME_STALLED_ON_PARTIAL_FLUSH", "value": 27}, - {"name": "CPG_PERF_SEL_ME_WAIT_ON_CE_COUNTER", "value": 28}, - {"name": "CPG_PERF_SEL_ME_WAIT_ON_AVAIL_BUFFER", "value": 29}, - {"name": "CPG_PERF_SEL_SEMAPHORE_BUSY_POLLING_FOR_PASS", "value": 30}, - {"name": "CPG_PERF_SEL_LOAD_STALLED_ON_SET_COHERENCY", "value": 31}, - {"name": "CPG_PERF_SEL_DYNAMIC_CLK_VALID", "value": 32}, - {"name": "CPG_PERF_SEL_REGISTER_CLK_VALID", "value": 33}, - {"name": "CPG_PERF_SEL_GUS_WRITE_REQUEST_SENT", "value": 34}, - {"name": "CPG_PERF_SEL_GUS_READ_REQUEST_SENT", "value": 35}, - {"name": "CPG_PERF_SEL_CE_STALL_RAM_DUMP", "value": 36}, - {"name": "CPG_PERF_SEL_CE_STALL_RAM_WRITE", "value": 37}, - {"name": "CPG_PERF_SEL_CE_STALL_ON_INC_FIFO", "value": 38}, - {"name": "CPG_PERF_SEL_CE_STALL_ON_WR_RAM_FIFO", "value": 39}, - {"name": "CPG_PERF_SEL_CE_STALL_ON_DATA_FROM_MIU", "value": 40}, - {"name": "CPG_PERF_SEL_CE_STALL_ON_DATA_FROM_ROQ", "value": 41}, - {"name": "CPG_PERF_SEL_CE_STALL_ON_CE_BUFFER_FLAG", "value": 42}, - {"name": "CPG_PERF_SEL_CE_STALL_ON_DE_COUNTER", "value": 43}, - {"name": "CPG_PERF_SEL_TCIU_STALL_WAIT_ON_FREE", "value": 44}, - {"name": "CPG_PERF_SEL_TCIU_STALL_WAIT_ON_TAGS", "value": 45}, - {"name": "CPG_PERF_SEL_UTCL2IU_STALL_WAIT_ON_FREE", "value": 46}, - {"name": "CPG_PERF_SEL_UTCL2IU_STALL_WAIT_ON_TAGS", "value": 47}, - {"name": "CPG_PERF_SEL_UTCL1_STALL_ON_TRANSLATION", "value": 48}, - {"name": "CPG_PERF_SEL_TCIU_WRITE_REQUEST_SENT", "value": 49}, - {"name": "CPG_PERF_SEL_TCIU_READ_REQUEST_SENT", "value": 50}, - {"name": "CPG_PERF_SEL_CPG_STAT_BUSY", "value": 51}, - {"name": "CPG_PERF_SEL_CPG_STAT_IDLE", "value": 52}, - {"name": "CPG_PERF_SEL_CPG_STAT_STALL", "value": 53}, - {"name": "CPG_PERF_SEL_CPG_TCIU_BUSY", "value": 54}, - {"name": "CPG_PERF_SEL_CPG_TCIU_IDLE", "value": 55}, - {"name": "CPG_PERF_SEL_CPG_TCIU_STALL", "value": 56}, - {"name": "CPG_PERF_SEL_CPG_UTCL2IU_BUSY", "value": 57}, - {"name": "CPG_PERF_SEL_CPG_UTCL2IU_IDLE", "value": 58}, - {"name": "CPG_PERF_SEL_CPG_UTCL2IU_STALL", "value": 59}, - {"name": "CPG_PERF_SEL_CPG_GCRIU_BUSY", "value": 60}, - {"name": "CPG_PERF_SEL_CPG_GCRIU_IDLE", "value": 61}, - {"name": "CPG_PERF_SEL_CPG_GCRIU_STALL", "value": 62}, - {"name": "CPG_PERF_SEL_GCRIU_STALL_WAIT_ON_FREE", "value": 63}, - {"name": "CPG_PERF_SEL_ALL_GFX_PIPES_BUSY", "value": 64}, - {"name": "CPG_PERF_SEL_CPG_UTCL2IU_XACK", "value": 65}, - {"name": "CPG_PERF_SEL_CPG_UTCL2IU_XNACK", "value": 66}, - {"name": "CPG_PERF_SEL_PFP_STALLED_ON_MEQ_DDID_READY", "value": 67}, - {"name": "CPG_PERF_SEL_PFP_INSTR_CACHE_HIT", "value": 68}, - {"name": "CPG_PERF_SEL_PFP_INSTR_CACHE_MISS", "value": 69}, - {"name": "CPG_PERF_SEL_CE_INSTR_CACHE_HIT", "value": 70}, - {"name": "CPG_PERF_SEL_CE_INSTR_CACHE_MISS", "value": 71}, - {"name": "CPG_PERF_SEL_ME_INSTR_CACHE_HIT", "value": 72}, - {"name": "CPG_PERF_SEL_ME_INSTR_CACHE_MISS", "value": 73}, - {"name": "CPG_PERF_SEL_PFP_PACKET_FILTER_HIT_IB1", "value": 74}, - {"name": "CPG_PERF_SEL_PFP_PACKET_FILTER_MISS_IB1", "value": 75}, - {"name": "CPG_PERF_SEL_PFP_PACKET_FILTER_HIT_IB2", "value": 76}, - {"name": "CPG_PERF_SEL_PFP_PACKET_FILTER_MISS_IB2", "value": 77}, - {"name": "CPG_PERF_SEL_DMA_BUSY", "value": 78}, - {"name": "CPG_PERF_SEL_DMA_STARVED", "value": 79}, - {"name": "CPG_PERF_SEL_DMA_STALLED", "value": 80}, - {"name": "CPG_PERF_SEL_DMA_FETCHER_STALLED_ON_ROQ_FULL", "value": 81} + {"name": "OUT", "value": 1}, + {"name": "IN_0", "value": 2}, + {"name": "IN_1", "value": 4}, + {"name": "IN_10", "value": 8}, + {"name": "IN_2", "value": 16}, + {"name": "IN_20", "value": 32}, + {"name": "IN_21", "value": 64}, + {"name": "IN_210", "value": 128}, + {"name": "IN_3", "value": 256}, + {"name": "IN_30", "value": 512}, + {"name": "IN_31", "value": 1024}, + {"name": "IN_310", "value": 2048}, + {"name": "IN_32", "value": 4096}, + {"name": "IN_320", "value": 8192}, + {"name": "IN_321", "value": 16384}, + {"name": "IN_3210", "value": 32768} ] }, "CP_PERFMON_ENABLE_MODE": { @@ -1015,6 +183,42 @@ {"name": "CMASK_ADDR_COMPATIBLE", "value": 2} ] }, + "ColorFormat": { + "entries": [ + {"name": "COLOR_INVALID", "value": 0}, + {"name": "COLOR_8", "value": 1}, + {"name": "COLOR_16", "value": 2}, + {"name": "COLOR_8_8", "value": 3}, + {"name": "COLOR_32", "value": 4}, + {"name": "COLOR_16_16", "value": 5}, + {"name": "COLOR_10_11_11", "value": 6}, + {"name": "COLOR_11_11_10", "value": 7}, + {"name": "COLOR_10_10_10_2", "value": 8}, + {"name": "COLOR_2_10_10_10", "value": 9}, + {"name": "COLOR_8_8_8_8", "value": 10}, + {"name": "COLOR_32_32", "value": 11}, + {"name": "COLOR_16_16_16_16", "value": 12}, + {"name": "COLOR_RESERVED_13", "value": 13}, + {"name": "COLOR_32_32_32_32", "value": 14}, + {"name": "COLOR_RESERVED_15", "value": 15}, + {"name": "COLOR_5_6_5", "value": 16}, + {"name": "COLOR_1_5_5_5", "value": 17}, + {"name": "COLOR_5_5_5_1", "value": 18}, + {"name": "COLOR_4_4_4_4", "value": 19}, + {"name": "COLOR_8_24", "value": 20}, + {"name": "COLOR_24_8", "value": 21}, + {"name": "COLOR_X24_8_32_FLOAT", "value": 22}, + {"name": "COLOR_RESERVED_23", "value": 23}, + {"name": "COLOR_RESERVED_24", "value": 24}, + {"name": "COLOR_RESERVED_25", "value": 25}, + {"name": "COLOR_RESERVED_26", "value": 26}, + {"name": "COLOR_RESERVED_27", "value": 27}, + {"name": "COLOR_RESERVED_28", "value": 28}, + {"name": "COLOR_RESERVED_29", "value": 29}, + {"name": "COLOR_RESERVED_30", "value": 30}, + {"name": "COLOR_2_10_10_10_6E4", "value": 31} + ] + }, "CombFunc": { "entries": [ {"name": "COMB_DST_PLUS_SRC", "value": 0}, @@ -1052,6 +256,14 @@ {"name": "RAW", "value": 3} ] }, + "DB_DFSM_CONTROL__PUNCHOUT_MODE": { + "entries": [ + {"name": "AUTO", "value": 0}, + {"name": "FORCE_ON", "value": 1}, + {"name": "FORCE_OFF", "value": 2}, + {"name": "RESERVED", "value": 3} + ] + }, "DbPRTFaultBehavior": { "entries": [ {"name": "FAULT_ZERO", "value": 0}, @@ -1068,6 +280,26 @@ {"name": "PSLC_COUNTDOWN", "value": 3} ] }, + "EXCP_EN": { + "entries": [ + {"name": "INVALID", "value": 1}, + {"name": "INPUT_DENORMAL", "value": 2}, + {"name": "DIVIDE_BY_ZERO", "value": 4}, + {"name": "OVERFLOW", "value": 8}, + {"name": "UNDERFLOW", "value": 16}, + {"name": "INEXACT", "value": 32}, + {"name": "INT_DIVIDE_BY_ZERO", "value": 64}, + {"name": "ADDRESS_WATCH", "value": 128}, + {"name": "MEMORY_VIOLATION", "value": 256} + ] + }, + "FLOAT_MODE": { + "entries": [ + {"name": "FP_32_DENORMS", "value": 48}, + {"name": "FP_64_DENORMS", "value": 192}, + {"name": "FP_ALL_DENORMS", "value": 240} + ] + }, "ForceControl": { "entries": [ {"name": "FORCE_OFF", "value": 0}, @@ -1076,2349 +308,73 @@ {"name": "FORCE_RESERVED", "value": 3} ] }, - "GCRPerfSel": { + "MacroTileAspect": { "entries": [ - {"name": "GCR_PERF_SEL_NONE", "value": 0}, - {"name": "GCR_PERF_SEL_SDMA0_ALL_REQ", "value": 1}, - {"name": "GCR_PERF_SEL_SDMA0_GL2_RANGE_REQ", "value": 2}, - {"name": "GCR_PERF_SEL_SDMA0_GL2_RANGE_LT16K_REQ", "value": 3}, - {"name": "GCR_PERF_SEL_SDMA0_GL2_RANGE_16K_REQ", "value": 4}, - {"name": "GCR_PERF_SEL_SDMA0_GL2_RANGE_GT16K_REQ", "value": 5}, - {"name": "GCR_PERF_SEL_SDMA0_GL2_ALL_REQ", "value": 6}, - {"name": "GCR_PERF_SEL_SDMA0_GL1_RANGE_REQ", "value": 7}, - {"name": "GCR_PERF_SEL_SDMA0_GL1_RANGE_LT16K_REQ", "value": 8}, - {"name": "GCR_PERF_SEL_SDMA0_GL1_RANGE_16K_REQ", "value": 9}, - {"name": "GCR_PERF_SEL_SDMA0_GL1_RANGE_GT16K_REQ", "value": 10}, - {"name": "GCR_PERF_SEL_SDMA0_GL1_ALL_REQ", "value": 11}, - {"name": "GCR_PERF_SEL_SDMA0_METADATA_REQ", "value": 12}, - {"name": "GCR_PERF_SEL_SDMA0_SQC_DATA_REQ", "value": 13}, - {"name": "GCR_PERF_SEL_SDMA0_SQC_INST_REQ", "value": 14}, - {"name": "GCR_PERF_SEL_SDMA0_TCP_REQ", "value": 15}, - {"name": "GCR_PERF_SEL_SDMA0_TCP_TLB_SHOOTDOWN_REQ", "value": 16}, - {"name": "GCR_PERF_SEL_SDMA1_ALL_REQ", "value": 17}, - {"name": "GCR_PERF_SEL_SDMA1_GL2_RANGE_REQ", "value": 18}, - {"name": "GCR_PERF_SEL_SDMA1_GL2_RANGE_LT16K_REQ", "value": 19}, - {"name": "GCR_PERF_SEL_SDMA1_GL2_RANGE_16K_REQ", "value": 20}, - {"name": "GCR_PERF_SEL_SDMA1_GL2_RANGE_GT16K_REQ", "value": 21}, - {"name": "GCR_PERF_SEL_SDMA1_GL2_ALL_REQ", "value": 22}, - {"name": "GCR_PERF_SEL_SDMA1_GL1_RANGE_REQ", "value": 23}, - {"name": "GCR_PERF_SEL_SDMA1_GL1_RANGE_LT16K_REQ", "value": 24}, - {"name": "GCR_PERF_SEL_SDMA1_GL1_RANGE_16K_REQ", "value": 25}, - {"name": "GCR_PERF_SEL_SDMA1_GL1_RANGE_GT16K_REQ", "value": 26}, - {"name": "GCR_PERF_SEL_SDMA1_GL1_ALL_REQ", "value": 27}, - {"name": "GCR_PERF_SEL_SDMA1_METADATA_REQ", "value": 28}, - {"name": "GCR_PERF_SEL_SDMA1_SQC_DATA_REQ", "value": 29}, - {"name": "GCR_PERF_SEL_SDMA1_SQC_INST_REQ", "value": 30}, - {"name": "GCR_PERF_SEL_SDMA1_TCP_REQ", "value": 31}, - {"name": "GCR_PERF_SEL_SDMA1_TCP_TLB_SHOOTDOWN_REQ", "value": 32}, - {"name": "GCR_PERF_SEL_CPG_ALL_REQ", "value": 33}, - {"name": "GCR_PERF_SEL_CPG_GL2_RANGE_REQ", "value": 34}, - {"name": "GCR_PERF_SEL_CPG_GL2_RANGE_LT16K_REQ", "value": 35}, - {"name": "GCR_PERF_SEL_CPG_GL2_RANGE_16K_REQ", "value": 36}, - {"name": "GCR_PERF_SEL_CPG_GL2_RANGE_GT16K_REQ", "value": 37}, - {"name": "GCR_PERF_SEL_CPG_GL2_ALL_REQ", "value": 38}, - {"name": "GCR_PERF_SEL_CPG_GL1_RANGE_REQ", "value": 39}, - {"name": "GCR_PERF_SEL_CPG_GL1_RANGE_LT16K_REQ", "value": 40}, - {"name": "GCR_PERF_SEL_CPG_GL1_RANGE_16K_REQ", "value": 41}, - {"name": "GCR_PERF_SEL_CPG_GL1_RANGE_GT16K_REQ", "value": 42}, - {"name": "GCR_PERF_SEL_CPG_GL1_ALL_REQ", "value": 43}, - {"name": "GCR_PERF_SEL_CPG_METADATA_REQ", "value": 44}, - {"name": "GCR_PERF_SEL_CPG_SQC_DATA_REQ", "value": 45}, - {"name": "GCR_PERF_SEL_CPG_SQC_INST_REQ", "value": 46}, - {"name": "GCR_PERF_SEL_CPG_TCP_REQ", "value": 47}, - {"name": "GCR_PERF_SEL_CPG_TCP_TLB_SHOOTDOWN_REQ", "value": 48}, - {"name": "GCR_PERF_SEL_CPC_ALL_REQ", "value": 49}, - {"name": "GCR_PERF_SEL_CPC_GL2_RANGE_REQ", "value": 50}, - {"name": "GCR_PERF_SEL_CPC_GL2_RANGE_LT16K_REQ", "value": 51}, - {"name": "GCR_PERF_SEL_CPC_GL2_RANGE_16K_REQ", "value": 52}, - {"name": "GCR_PERF_SEL_CPC_GL2_RANGE_GT16K_REQ", "value": 53}, - {"name": "GCR_PERF_SEL_CPC_GL2_ALL_REQ", "value": 54}, - {"name": "GCR_PERF_SEL_CPC_GL1_RANGE_REQ", "value": 55}, - {"name": "GCR_PERF_SEL_CPC_GL1_RANGE_LT16K_REQ", "value": 56}, - {"name": "GCR_PERF_SEL_CPC_GL1_RANGE_16K_REQ", "value": 57}, - {"name": "GCR_PERF_SEL_CPC_GL1_RANGE_GT16K_REQ", "value": 58}, - {"name": "GCR_PERF_SEL_CPC_GL1_ALL_REQ", "value": 59}, - {"name": "GCR_PERF_SEL_CPC_METADATA_REQ", "value": 60}, - {"name": "GCR_PERF_SEL_CPC_SQC_DATA_REQ", "value": 61}, - {"name": "GCR_PERF_SEL_CPC_SQC_INST_REQ", "value": 62}, - {"name": "GCR_PERF_SEL_CPC_TCP_REQ", "value": 63}, - {"name": "GCR_PERF_SEL_CPC_TCP_TLB_SHOOTDOWN_REQ", "value": 64}, - {"name": "GCR_PERF_SEL_CPF_ALL_REQ", "value": 65}, - {"name": "GCR_PERF_SEL_CPF_GL2_RANGE_REQ", "value": 66}, - {"name": "GCR_PERF_SEL_CPF_GL2_RANGE_LT16K_REQ", "value": 67}, - {"name": "GCR_PERF_SEL_CPF_GL2_RANGE_16K_REQ", "value": 68}, - {"name": "GCR_PERF_SEL_CPF_GL2_RANGE_GT16K_REQ", "value": 69}, - {"name": "GCR_PERF_SEL_CPF_GL2_ALL_REQ", "value": 70}, - {"name": "GCR_PERF_SEL_CPF_GL1_RANGE_REQ", "value": 71}, - {"name": "GCR_PERF_SEL_CPF_GL1_RANGE_LT16K_REQ", "value": 72}, - {"name": "GCR_PERF_SEL_CPF_GL1_RANGE_16K_REQ", "value": 73}, - {"name": "GCR_PERF_SEL_CPF_GL1_RANGE_GT16K_REQ", "value": 74}, - {"name": "GCR_PERF_SEL_CPF_GL1_ALL_REQ", "value": 75}, - {"name": "GCR_PERF_SEL_CPF_METADATA_REQ", "value": 76}, - {"name": "GCR_PERF_SEL_CPF_SQC_DATA_REQ", "value": 77}, - {"name": "GCR_PERF_SEL_CPF_SQC_INST_REQ", "value": 78}, - {"name": "GCR_PERF_SEL_CPF_TCP_REQ", "value": 79}, - {"name": "GCR_PERF_SEL_CPF_TCP_TLB_SHOOTDOWN_REQ", "value": 80}, - {"name": "GCR_PERF_SEL_VIRT_REQ", "value": 81}, - {"name": "GCR_PERF_SEL_PHY_REQ", "value": 82}, - {"name": "GCR_PERF_SEL_TLB_SHOOTDOWN_HEAVY_REQ", "value": 83}, - {"name": "GCR_PERF_SEL_TLB_SHOOTDOWN_LIGHT_REQ", "value": 84}, - {"name": "GCR_PERF_SEL_ALL_REQ", "value": 85}, - {"name": "GCR_PERF_SEL_CLK_FOR_PHY_OUTSTANDING_REQ", "value": 86}, - {"name": "GCR_PERF_SEL_CLK_FOR_VIRT_OUTSTANDING_REQ", "value": 87}, - {"name": "GCR_PERF_SEL_CLK_FOR_ALL_OUTSTANDING_REQ", "value": 88}, - {"name": "GCR_PERF_SEL_UTCL2_REQ", "value": 89}, - {"name": "GCR_PERF_SEL_UTCL2_RET", "value": 90}, - {"name": "GCR_PERF_SEL_UTCL2_OUT_OF_CREDIT_EVENT", "value": 91}, - {"name": "GCR_PERF_SEL_UTCL2_INFLIGHT_REQ", "value": 92}, - {"name": "GCR_PERF_SEL_UTCL2_FILTERED_RET", "value": 93} - ] - }, - "GE_PERFCOUNT_SELECT": { - "entries": [ - {"name": "ge_assembler_busy", "value": 0}, - {"name": "ge_assembler_stalled", "value": 1}, - {"name": "ge_cm_reading_stalled", "value": 2}, - {"name": "ge_cm_stalled_by_gog", "value": 3}, - {"name": "ge_cm_stalled_by_gsfetch_done", "value": 4}, - {"name": "ge_dma_busy", "value": 5}, - {"name": "ge_dma_lat_bin_0", "value": 6}, - {"name": "ge_dma_lat_bin_1", "value": 7}, - {"name": "ge_dma_lat_bin_2", "value": 8}, - {"name": "ge_dma_lat_bin_3", "value": 9}, - {"name": "ge_dma_lat_bin_4", "value": 10}, - {"name": "ge_dma_lat_bin_5", "value": 11}, - {"name": "ge_dma_lat_bin_6", "value": 12}, - {"name": "ge_dma_lat_bin_7", "value": 13}, - {"name": "ge_dma_return_cl0", "value": 14}, - {"name": "ge_dma_return_cl1", "value": 15}, - {"name": "ge_dma_utcl1_consecutive_retry_event", "value": 16}, - {"name": "ge_dma_utcl1_request_event", "value": 17}, - {"name": "ge_dma_utcl1_retry_event", "value": 18}, - {"name": "ge_dma_utcl1_stall_event", "value": 19}, - {"name": "ge_dma_utcl1_stall_utcl2_event", "value": 20}, - {"name": "ge_dma_utcl1_translation_hit_event", "value": 21}, - {"name": "ge_dma_utcl1_translation_miss_event", "value": 22}, - {"name": "ge_dma_utcl2_stall_on_trans", "value": 23}, - {"name": "ge_dma_utcl2_trans_ack", "value": 24}, - {"name": "ge_dma_utcl2_trans_xnack", "value": 25}, - {"name": "ge_ds_cache_hits", "value": 26}, - {"name": "ge_ds_prims", "value": 27}, - {"name": "ge_es_done", "value": 28}, - {"name": "ge_es_done_latency", "value": 29}, - {"name": "ge_es_flush", "value": 30}, - {"name": "ge_es_ring_high_water_mark", "value": 31}, - {"name": "ge_es_thread_groups", "value": 32}, - {"name": "ge_esthread_stalled_es_rb_full", "value": 33}, - {"name": "ge_esthread_stalled_spi_bp", "value": 34}, - {"name": "ge_esvert_stalled_es_tbl", "value": 35}, - {"name": "ge_esvert_stalled_gs_event", "value": 36}, - {"name": "ge_esvert_stalled_gs_tbl", "value": 37}, - {"name": "ge_esvert_stalled_gsprim", "value": 38}, - {"name": "ge_assembler_dma_starved", "value": 39}, - {"name": "ge_gog_busy", "value": 40}, - {"name": "ge_gog_out_indx_stalled", "value": 41}, - {"name": "ge_gog_out_prim_stalled", "value": 42}, - {"name": "ge_gog_vs_tbl_stalled", "value": 43}, - {"name": "ge_gs_cache_hits", "value": 44}, - {"name": "ge_gs_counters_avail_stalled", "value": 45}, - {"name": "ge_gs_done", "value": 46}, - {"name": "ge_gs_done_latency", "value": 47}, - {"name": "ge_gs_event_stall", "value": 48}, - {"name": "ge_gs_issue_rtr_stalled", "value": 49}, - {"name": "ge_gs_rb_space_avail_stalled", "value": 50}, - {"name": "ge_gs_ring_high_water_mark", "value": 51}, - {"name": "ge_gsprim_stalled_es_tbl", "value": 52}, - {"name": "ge_gsprim_stalled_esvert", "value": 53}, - {"name": "ge_gsprim_stalled_gs_event", "value": 54}, - {"name": "ge_gsprim_stalled_gs_tbl", "value": 55}, - {"name": "ge_gsthread_stalled", "value": 56}, - {"name": "ge_hs_done", "value": 57}, - {"name": "ge_hs_done_latency", "value": 58}, - {"name": "ge_hs_done_se0", "value": 59}, - {"name": "ge_hs_done_se1", "value": 60}, - {"name": "ge_hs_done_se2_reserved", "value": 61}, - {"name": "ge_hs_done_se3_reserved", "value": 62}, - {"name": "ge_hs_tfm_stall", "value": 63}, - {"name": "ge_hs_tgs_active_high_water_mark", "value": 64}, - {"name": "ge_hs_thread_groups", "value": 65}, - {"name": "ge_inside_tf_bin_0", "value": 66}, - {"name": "ge_inside_tf_bin_1", "value": 67}, - {"name": "ge_inside_tf_bin_2", "value": 68}, - {"name": "ge_inside_tf_bin_3", "value": 69}, - {"name": "ge_inside_tf_bin_4", "value": 70}, - {"name": "ge_inside_tf_bin_5", "value": 71}, - {"name": "ge_inside_tf_bin_6", "value": 72}, - {"name": "ge_inside_tf_bin_7", "value": 73}, - {"name": "ge_inside_tf_bin_8", "value": 74}, - {"name": "ge_ls_done", "value": 75}, - {"name": "ge_ls_done_latency", "value": 76}, - {"name": "ge_null_patch", "value": 77}, - {"name": "ge_se0pa0_clipp_eop", "value": 78}, - {"name": "ge_se0pa0_clipp_eopg", "value": 79}, - {"name": "ge_se0pa0_clipp_is_event", "value": 80}, - {"name": "ge_se0pa0_clipp_new_vtx_vect", "value": 81}, - {"name": "ge_se0pa0_clipp_null_prim", "value": 82}, - {"name": "ge_se0pa0_clipp_send", "value": 83}, - {"name": "ge_se0pa0_clipp_send_not_event", "value": 84}, - {"name": "ge_se0pa0_clipp_stalled", "value": 85}, - {"name": "ge_se0pa0_clipp_starved_busy", "value": 86}, - {"name": "ge_se0pa0_clipp_starved_idle", "value": 87}, - {"name": "ge_se0pa0_clipp_starved_after_work", "value": 88}, - {"name": "ge_se0pa0_clipp_valid_prim", "value": 89}, - {"name": "ge_se0pa0_clips_send", "value": 90}, - {"name": "ge_se0pa0_clips_stalled", "value": 91}, - {"name": "ge_se0pa0_clipv_send", "value": 92}, - {"name": "ge_se0pa0_clipv_stalled", "value": 93}, - {"name": "ge_se0pa1_clipp_eop", "value": 94}, - {"name": "ge_se0pa1_clipp_eopg", "value": 95}, - {"name": "ge_se0pa1_clipp_is_event", "value": 96}, - {"name": "ge_se0pa1_clipp_new_vtx_vect", "value": 97}, - {"name": "ge_se0pa1_clipp_null_prim", "value": 98}, - {"name": "ge_se0pa1_clipp_send", "value": 99}, - {"name": "ge_se0pa1_clipp_send_not_event", "value": 100}, - {"name": "ge_se0pa1_clipp_stalled", "value": 101}, - {"name": "ge_se0pa1_clipp_starved_busy", "value": 102}, - {"name": "ge_se0pa1_clipp_starved_idle", "value": 103}, - {"name": "ge_se0pa1_clipp_starved_after_work", "value": 104}, - {"name": "ge_se0pa1_clipp_valid_prim", "value": 105}, - {"name": "ge_se0pa1_clips_send", "value": 106}, - {"name": "ge_se0pa1_clips_stalled", "value": 107}, - {"name": "ge_se0pa1_clipv_send", "value": 108}, - {"name": "ge_se0pa1_clipv_stalled", "value": 109}, - {"name": "ge_se1pa0_clipp_eop", "value": 110}, - {"name": "ge_se1pa0_clipp_eopg", "value": 111}, - {"name": "ge_se1pa0_clipp_is_event", "value": 112}, - {"name": "ge_se1pa0_clipp_new_vtx_vect", "value": 113}, - {"name": "ge_se1pa0_clipp_null_prim", "value": 114}, - {"name": "ge_se1pa0_clipp_send", "value": 115}, - {"name": "ge_se1pa0_clipp_send_not_event", "value": 116}, - {"name": "ge_se1pa0_clipp_stalled", "value": 117}, - {"name": "ge_se1pa0_clipp_starved_busy", "value": 118}, - {"name": "ge_se1pa0_clipp_starved_idle", "value": 119}, - {"name": "ge_se1pa0_clipp_starved_after_work", "value": 120}, - {"name": "ge_se1pa0_clipp_valid_prim", "value": 121}, - {"name": "ge_se1pa0_clips_send", "value": 122}, - {"name": "ge_se1pa0_clips_stalled", "value": 123}, - {"name": "ge_se1pa0_clipv_send", "value": 124}, - {"name": "ge_se1pa0_clipv_stalled", "value": 125}, - {"name": "ge_se1pa1_clipp_eop", "value": 126}, - {"name": "ge_se1pa1_clipp_eopg", "value": 127}, - {"name": "ge_se1pa1_clipp_is_event", "value": 128}, - {"name": "ge_se1pa1_clipp_new_vtx_vect", "value": 129}, - {"name": "ge_se1pa1_clipp_null_prim", "value": 130}, - {"name": "ge_se1pa1_clipp_send", "value": 131}, - {"name": "ge_se1pa1_clipp_send_not_event", "value": 132}, - {"name": "ge_se1pa1_clipp_stalled", "value": 133}, - {"name": "ge_se1pa1_clipp_starved_busy", "value": 134}, - {"name": "ge_se1pa1_clipp_starved_idle", "value": 135}, - {"name": "ge_se1pa1_clipp_starved_after_work", "value": 136}, - {"name": "ge_se1pa1_clipp_valid_prim", "value": 137}, - {"name": "ge_se1pa1_clips_send", "value": 138}, - {"name": "ge_se1pa1_clips_stalled", "value": 139}, - {"name": "ge_se1pa1_clipv_send", "value": 140}, - {"name": "ge_se1pa1_clipv_stalled", "value": 141}, - {"name": "ge_se2pa0_clipp_eop", "value": 142}, - {"name": "ge_se2pa0_clipp_eopg", "value": 143}, - {"name": "ge_se2pa0_clipp_is_event", "value": 144}, - {"name": "ge_se2pa0_clipp_new_vtx_vect", "value": 145}, - {"name": "ge_se2pa0_clipp_null_prim", "value": 146}, - {"name": "ge_se2pa0_clipp_send", "value": 147}, - {"name": "ge_se2pa0_clipp_send_not_event", "value": 148}, - {"name": "ge_se2pa0_clipp_stalled", "value": 149}, - {"name": "ge_se2pa0_clipp_starved_busy", "value": 150}, - {"name": "ge_se2pa0_clipp_starved_idle", "value": 151}, - {"name": "ge_se2pa0_clipp_starved_after_work", "value": 152}, - {"name": "ge_se2pa0_clipp_valid_prim", "value": 153}, - {"name": "ge_se2pa0_clips_send", "value": 154}, - {"name": "ge_se2pa0_clips_stalled", "value": 155}, - {"name": "ge_se2pa0_clipv_send", "value": 156}, - {"name": "ge_se2pa0_clipv_stalled", "value": 157}, - {"name": "ge_se2pa1_clipp_eop", "value": 158}, - {"name": "ge_se2pa1_clipp_eopg", "value": 159}, - {"name": "ge_se2pa1_clipp_is_event", "value": 160}, - {"name": "ge_se2pa1_clipp_new_vtx_vect", "value": 161}, - {"name": "ge_se2pa1_clipp_null_prim", "value": 162}, - {"name": "ge_se2pa1_clipp_send", "value": 163}, - {"name": "ge_se2pa1_clipp_send_not_event", "value": 164}, - {"name": "ge_se2pa1_clipp_stalled", "value": 165}, - {"name": "ge_se2pa1_clipp_starved_busy", "value": 166}, - {"name": "ge_se2pa1_clipp_starved_idle", "value": 167}, - {"name": "ge_se2pa1_clipp_starved_after_work", "value": 168}, - {"name": "ge_se2pa1_clipp_valid_prim", "value": 169}, - {"name": "ge_se2pa1_clips_send", "value": 170}, - {"name": "ge_se2pa1_clips_stalled", "value": 171}, - {"name": "ge_se2pa1_clipv_send", "value": 172}, - {"name": "ge_se2pa1_clipv_stalled", "value": 173}, - {"name": "ge_se3pa0_clipp_eop", "value": 174}, - {"name": "ge_se3pa0_clipp_eopg", "value": 175}, - {"name": "ge_se3pa0_clipp_is_event", "value": 176}, - {"name": "ge_se3pa0_clipp_new_vtx_vect", "value": 177}, - {"name": "ge_se3pa0_clipp_null_prim", "value": 178}, - {"name": "ge_se3pa0_clipp_send", "value": 179}, - {"name": "ge_se3pa0_clipp_send_not_event", "value": 180}, - {"name": "ge_se3pa0_clipp_stalled", "value": 181}, - {"name": "ge_se3pa0_clipp_starved_busy", "value": 182}, - {"name": "ge_se3pa0_clipp_starved_idle", "value": 183}, - {"name": "ge_se3pa0_clipp_starved_after_work", "value": 184}, - {"name": "ge_se3pa0_clipp_valid_prim", "value": 185}, - {"name": "ge_se3pa0_clips_send", "value": 186}, - {"name": "ge_se3pa0_clips_stalled", "value": 187}, - {"name": "ge_se3pa0_clipv_send", "value": 188}, - {"name": "ge_se3pa0_clipv_stalled", "value": 189}, - {"name": "ge_se3pa1_clipp_eop", "value": 190}, - {"name": "ge_se3pa1_clipp_eopg", "value": 191}, - {"name": "ge_se3pa1_clipp_is_event", "value": 192}, - {"name": "ge_se3pa1_clipp_new_vtx_vect", "value": 193}, - {"name": "ge_se3pa1_clipp_null_prim", "value": 194}, - {"name": "ge_se3pa1_clipp_send", "value": 195}, - {"name": "ge_se3pa1_clipp_send_not_event", "value": 196}, - {"name": "ge_se3pa1_clipp_stalled", "value": 197}, - {"name": "ge_se3pa1_clipp_starved_busy", "value": 198}, - {"name": "ge_se3pa1_clipp_starved_idle", "value": 199}, - {"name": "ge_se3pa1_clipp_starved_after_work", "value": 200}, - {"name": "ge_se3pa1_clipp_valid_prim", "value": 201}, - {"name": "ge_se3pa1_clips_send", "value": 202}, - {"name": "ge_se3pa1_clips_stalled", "value": 203}, - {"name": "ge_se3pa1_clipv_send", "value": 204}, - {"name": "ge_se3pa1_clipv_stalled", "value": 205}, - {"name": "ge_rbiu_di_fifo_stalled", "value": 206}, - {"name": "ge_rbiu_di_fifo_starved", "value": 207}, - {"name": "ge_rbiu_dr_fifo_stalled", "value": 208}, - {"name": "ge_rbiu_dr_fifo_starved", "value": 209}, - {"name": "ge_reused_es_indices", "value": 210}, - {"name": "ge_reused_vs_indices", "value": 211}, - {"name": "ge_sclk_core_vld", "value": 212}, - {"name": "ge_sclk_gs_vld", "value": 213}, - {"name": "ge_sclk_input_vld", "value": 214}, - {"name": "ge_sclk_leg_gs_arb_vld", "value": 215}, - {"name": "ge_sclk_ngg_vld", "value": 216}, - {"name": "ge_sclk_reg_vld", "value": 217}, - {"name": "ge_sclk_te11_vld", "value": 218}, - {"name": "ge_sclk_vr_vld", "value": 219}, - {"name": "ge_sclk_wd_te11_vld", "value": 220}, - {"name": "ge_spi_esvert_eov", "value": 221}, - {"name": "ge_spi_esvert_stalled", "value": 222}, - {"name": "ge_spi_esvert_starved_busy", "value": 223}, - {"name": "ge_spi_esvert_valid", "value": 224}, - {"name": "ge_spi_eswave_is_event", "value": 225}, - {"name": "ge_spi_eswave_send", "value": 226}, - {"name": "ge_spi_gsprim_cont", "value": 227}, - {"name": "ge_spi_gsprim_eov", "value": 228}, - {"name": "ge_spi_gsprim_stalled", "value": 229}, - {"name": "ge_spi_gsprim_starved_busy", "value": 230}, - {"name": "ge_spi_gsprim_starved_idle", "value": 231}, - {"name": "ge_spi_gsprim_valid", "value": 232}, - {"name": "ge_spi_gssubgrp_is_event", "value": 233}, - {"name": "ge_spi_gssubgrp_send", "value": 234}, - {"name": "ge_spi_gswave_is_event", "value": 235}, - {"name": "ge_spi_gswave_send", "value": 236}, - {"name": "ge_spi_hsvert_eov", "value": 237}, - {"name": "ge_spi_hsvert_stalled", "value": 238}, - {"name": "ge_spi_hsvert_starved_busy", "value": 239}, - {"name": "ge_spi_hsvert_valid", "value": 240}, - {"name": "ge_spi_hsgrp_is_event", "value": 241}, - {"name": "ge_spi_hswgrp_send", "value": 242}, - {"name": "ge_spi_hsgrp_event_window_active", "value": 243}, - {"name": "ge_spi_lsvert_eov", "value": 244}, - {"name": "ge_spi_lsvert_stalled", "value": 245}, - {"name": "ge_spi_lsvert_starved_busy", "value": 246}, - {"name": "ge_spi_lsvert_starved_idle", "value": 247}, - {"name": "ge_spi_lsvert_valid", "value": 248}, - {"name": "ge_spi_lswave_is_event", "value": 249}, - {"name": "ge_spi_lswave_send", "value": 250}, - {"name": "ge_spi_vsvert_eov", "value": 251}, - {"name": "ge_spi_vsvert_send", "value": 252}, - {"name": "ge_spi_vsvert_stalled", "value": 253}, - {"name": "ge_spi_vsvert_starved_busy", "value": 254}, - {"name": "ge_spi_vsvert_starved_idle", "value": 255}, - {"name": "ge_spi_vswave_is_event", "value": 256}, - {"name": "ge_spi_vswave_send", "value": 257}, - {"name": "ge_starved_on_hs_done", "value": 258}, - {"name": "ge_stat_busy", "value": 259}, - {"name": "ge_stat_combined_busy", "value": 260}, - {"name": "ge_stat_no_dma_busy", "value": 261}, - {"name": "ge_strmout_stalled", "value": 262}, - {"name": "ge_te11_busy", "value": 263}, - {"name": "ge_te11_starved", "value": 264}, - {"name": "ge_tfreq_lat_bin_0", "value": 265}, - {"name": "ge_tfreq_lat_bin_1", "value": 266}, - {"name": "ge_tfreq_lat_bin_2", "value": 267}, - {"name": "ge_tfreq_lat_bin_3", "value": 268}, - {"name": "ge_tfreq_lat_bin_4", "value": 269}, - {"name": "ge_tfreq_lat_bin_5", "value": 270}, - {"name": "ge_tfreq_lat_bin_6", "value": 271}, - {"name": "ge_tfreq_lat_bin_7", "value": 272}, - {"name": "ge_tfreq_utcl1_consecutive_retry_event", "value": 273}, - {"name": "ge_tfreq_utcl1_request_event", "value": 274}, - {"name": "ge_tfreq_utcl1_retry_event", "value": 275}, - {"name": "ge_tfreq_utcl1_stall_event", "value": 276}, - {"name": "ge_tfreq_utcl1_stall_utcl2_event", "value": 277}, - {"name": "ge_tfreq_utcl1_translation_hit_event", "value": 278}, - {"name": "ge_tfreq_utcl1_translation_miss_event", "value": 279}, - {"name": "spare23", "value": 280}, - {"name": "spare24", "value": 281}, - {"name": "spare25", "value": 282}, - {"name": "ge_vs_cache_hits", "value": 283}, - {"name": "ge_vs_done", "value": 284}, - {"name": "ge_vs_pc_stall", "value": 285}, - {"name": "ge_vs_table_high_water_mark", "value": 286}, - {"name": "ge_vs_thread_groups", "value": 287}, - {"name": "ge_vsvert_api_send", "value": 288}, - {"name": "ge_vsvert_ds_send", "value": 289}, - {"name": "ge_wait_for_es_done_stalled", "value": 290}, - {"name": "ge_waveid_stalled", "value": 291}, - {"name": "ge_spi_vsvert_valid", "value": 292}, - {"name": "pc_feorder_ffo_full", "value": 293}, - {"name": "pc_ge_manager_busy", "value": 294}, - {"name": "pc_req_stall_se0", "value": 295}, - {"name": "pc_req_stall_se1", "value": 296}, - {"name": "pc_req_stall_se2", "value": 297}, - {"name": "pc_req_stall_se3", "value": 298}, - {"name": "ge_pipe0_to_pipe1", "value": 299}, - {"name": "ge_pipe1_to_pipe0", "value": 300}, - {"name": "ge_dma_return_size_cl0", "value": 301}, - {"name": "ge_dma_return_size_cl1", "value": 302}, - {"name": "ge_spi_gssubgrp_event_window_active", "value": 303}, - {"name": "ge_bypass_fifo_full", "value": 304} - ] - }, - "GL1A_PERF_SEL": { - "entries": [ - {"name": "GL1A_PERF_SEL_BUSY", "value": 0}, - {"name": "GL1A_PERF_SEL_STALL_GL1C0", "value": 1}, - {"name": "GL1A_PERF_SEL_STALL_GL1C1", "value": 2}, - {"name": "GL1A_PERF_SEL_STALL_GL1C2", "value": 3}, - {"name": "GL1A_PERF_SEL_STALL_GL1C3", "value": 4}, - {"name": "GL1A_PERF_SEL_STALL_GL1C4", "value": 5}, - {"name": "GL1A_PERF_SEL_REQUEST_GL1C0", "value": 6}, - {"name": "GL1A_PERF_SEL_REQUEST_GL1C1", "value": 7}, - {"name": "GL1A_PERF_SEL_REQUEST_GL1C2", "value": 8}, - {"name": "GL1A_PERF_SEL_REQUEST_GL1C3", "value": 9}, - {"name": "GL1A_PERF_SEL_REQUEST_GL1C4", "value": 10}, - {"name": "GL1A_PERF_SEL_MEM_32B_WDS_GL1C0", "value": 11}, - {"name": "GL1A_PERF_SEL_MEM_32B_WDS_GL1C1", "value": 12}, - {"name": "GL1A_PERF_SEL_MEM_32B_WDS_GL1C2", "value": 13}, - {"name": "GL1A_PERF_SEL_MEM_32B_WDS_GL1C3", "value": 14}, - {"name": "GL1A_PERF_SEL_MEM_32B_WDS_GL1C4", "value": 15}, - {"name": "GL1A_PERF_SEL_IO_32B_WDS_GL1C0", "value": 16}, - {"name": "GL1A_PERF_SEL_IO_32B_WDS_GL1C1", "value": 17}, - {"name": "GL1A_PERF_SEL_IO_32B_WDS_GL1C2", "value": 18}, - {"name": "GL1A_PERF_SEL_IO_32B_WDS_GL1C3", "value": 19}, - {"name": "GL1A_PERF_SEL_IO_32B_WDS_GL1C4", "value": 20}, - {"name": "GL1A_PERF_SEL_MEM_BURST_COUNT_GL1C0", "value": 21}, - {"name": "GL1A_PERF_SEL_MEM_BURST_COUNT_GL1C1", "value": 22}, - {"name": "GL1A_PERF_SEL_MEM_BURST_COUNT_GL1C2", "value": 23}, - {"name": "GL1A_PERF_SEL_MEM_BURST_COUNT_GL1C3", "value": 24}, - {"name": "GL1A_PERF_SEL_MEM_BURST_COUNT_GL1C4", "value": 25}, - {"name": "GL1A_PERF_SEL_IO_BURST_COUNT_GL1C0", "value": 26}, - {"name": "GL1A_PERF_SEL_IO_BURST_COUNT_GL1C1", "value": 27}, - {"name": "GL1A_PERF_SEL_IO_BURST_COUNT_GL1C2", "value": 28}, - {"name": "GL1A_PERF_SEL_IO_BURST_COUNT_GL1C3", "value": 29}, - {"name": "GL1A_PERF_SEL_IO_BURST_COUNT_GL1C4", "value": 30}, - {"name": "GL1A_PERF_SEL_ARB_REQUESTS", "value": 31}, - {"name": "GL1A_PERF_SEL_REQ_ARB_LEVEL_GL1C0", "value": 32}, - {"name": "GL1A_PERF_SEL_REQ_ARB_LEVEL_GL1C1", "value": 33}, - {"name": "GL1A_PERF_SEL_REQ_ARB_LEVEL_GL1C2", "value": 34}, - {"name": "GL1A_PERF_SEL_REQ_ARB_LEVEL_GL1C3", "value": 35}, - {"name": "GL1A_PERF_SEL_REQ_ARB_LEVEL_GL1C4", "value": 36}, - {"name": "GL1A_PERF_SEL_REQ_INFLIGHT_LEVEL", "value": 37}, - {"name": "GL1A_PERF_SEL_STALL_RET_CONFLICT_GL1C0", "value": 38}, - {"name": "GL1A_PERF_SEL_STALL_RET_CONFLICT_GL1C1", "value": 39}, - {"name": "GL1A_PERF_SEL_STALL_RET_CONFLICT_GL1C2", "value": 40}, - {"name": "GL1A_PERF_SEL_STALL_RET_CONFLICT_GL1C3", "value": 41}, - {"name": "GL1A_PERF_SEL_STALL_RET_CONFLICT_GL1C4", "value": 42}, - {"name": "GL1A_PERF_SEL_CYCLE", "value": 43} - ] - }, - "GL1CG_PERF_SEL": { - "entries": [ - {"name": "GL1CG_PERF_SEL_CYCLE", "value": 0}, - {"name": "GL1CG_PERF_SEL_BUSY", "value": 1}, - {"name": "GL1CG_PERF_SEL_ARB_RET_LEVEL", "value": 2}, - {"name": "GL1CG_PERF_SEL_GL2_REQ_READ_LATENCY", "value": 3}, - {"name": "GL1CG_PERF_SEL_GL2_REQ_WRITE_LATENCY", "value": 4}, - {"name": "GL1CG_PERF_SEL_REQ", "value": 5}, - {"name": "GL1CG_PERF_SEL_REQ_ATOMIC_WITH_RET", "value": 6}, - {"name": "GL1CG_PERF_SEL_REQ_ATOMIC_WITHOUT_RET", "value": 7}, - {"name": "GL1CG_PERF_SEL_REQ_NOP_ACK", "value": 8}, - {"name": "GL1CG_PERF_SEL_REQ_NOP_RTN0", "value": 9}, - {"name": "GL1CG_PERF_SEL_REQ_READ", "value": 10}, - {"name": "GL1CG_PERF_SEL_REQ_READ_128B", "value": 11}, - {"name": "GL1CG_PERF_SEL_REQ_READ_32B", "value": 12}, - {"name": "GL1CG_PERF_SEL_REQ_READ_64B", "value": 13}, - {"name": "GL1CG_PERF_SEL_REQ_WRITE", "value": 14}, - {"name": "GL1CG_PERF_SEL_REQ_WRITE_32B", "value": 15}, - {"name": "GL1CG_PERF_SEL_REQ_WRITE_64B", "value": 16}, - {"name": "GL1CG_PERF_SEL_STALL_GUS_GL1", "value": 17}, - {"name": "GL1CG_PERF_SEL_STALL_BUFFER_FULL", "value": 18}, - {"name": "GL1CG_PERF_SEL_REQ_CLIENT0", "value": 19}, - {"name": "GL1CG_PERF_SEL_REQ_CLIENT1", "value": 20}, - {"name": "GL1CG_PERF_SEL_REQ_CLIENT2", "value": 21}, - {"name": "GL1CG_PERF_SEL_REQ_CLIENT3", "value": 22}, - {"name": "GL1CG_PERF_SEL_REQ_CLIENT4", "value": 23}, - {"name": "GL1CG_PERF_SEL_REQ_CLIENT5", "value": 24}, - {"name": "GL1CG_PERF_SEL_REQ_CLIENT6", "value": 25}, - {"name": "GL1CG_PERF_SEL_REQ_CLIENT7", "value": 26}, - {"name": "GL1CG_PERF_SEL_REQ_CLIENT8", "value": 27}, - {"name": "GL1CG_PERF_SEL_REQ_CLIENT9", "value": 28}, - {"name": "GL1CG_PERF_SEL_REQ_CLIENT10", "value": 29}, - {"name": "GL1CG_PERF_SEL_REQ_CLIENT11", "value": 30}, - {"name": "GL1CG_PERF_SEL_REQ_CLIENT12", "value": 31}, - {"name": "GL1CG_PERF_SEL_REQ_CLIENT13", "value": 32}, - {"name": "GL1CG_PERF_SEL_REQ_CLIENT14", "value": 33}, - {"name": "GL1CG_PERF_SEL_REQ_CLIENT15", "value": 34}, - {"name": "GL1CG_PERF_SEL_REQ_CLIENT16", "value": 35}, - {"name": "GL1CG_PERF_SEL_REQ_CLIENT17", "value": 36}, - {"name": "GL1CG_PERF_SEL_REQ_CLIENT18", "value": 37} - ] - }, - "GL1C_PERF_SEL": { - "entries": [ - {"name": "GL1C_PERF_SEL_CYCLE", "value": 0}, - {"name": "GL1C_PERF_SEL_BUSY", "value": 1}, - {"name": "GL1C_PERF_SEL_ARB_RET_LEVEL", "value": 2}, - {"name": "GL1C_PERF_SEL_GL2_REQ_READ", "value": 3}, - {"name": "GL1C_PERF_SEL_GL2_REQ_READ_128B", "value": 4}, - {"name": "GL1C_PERF_SEL_GL2_REQ_READ_32B", "value": 5}, - {"name": "GL1C_PERF_SEL_GL2_REQ_READ_64B", "value": 6}, - {"name": "GL1C_PERF_SEL_GL2_REQ_READ_LATENCY", "value": 7}, - {"name": "GL1C_PERF_SEL_GL2_REQ_WRITE", "value": 8}, - {"name": "GL1C_PERF_SEL_GL2_REQ_WRITE_32B", "value": 9}, - {"name": "GL1C_PERF_SEL_GL2_REQ_WRITE_64B", "value": 10}, - {"name": "GL1C_PERF_SEL_GL2_REQ_WRITE_LATENCY", "value": 11}, - {"name": "GL1C_PERF_SEL_GL2_REQ_PREFETCH", "value": 12}, - {"name": "GL1C_PERF_SEL_REQ", "value": 13}, - {"name": "GL1C_PERF_SEL_REQ_ATOMIC_WITH_RET", "value": 14}, - {"name": "GL1C_PERF_SEL_REQ_ATOMIC_WITHOUT_RET", "value": 15}, - {"name": "GL1C_PERF_SEL_REQ_SHADER_INV", "value": 16}, - {"name": "GL1C_PERF_SEL_REQ_MISS", "value": 17}, - {"name": "GL1C_PERF_SEL_REQ_NOP_ACK", "value": 18}, - {"name": "GL1C_PERF_SEL_REQ_NOP_RTN0", "value": 19}, - {"name": "GL1C_PERF_SEL_REQ_READ", "value": 20}, - {"name": "GL1C_PERF_SEL_REQ_READ_128B", "value": 21}, - {"name": "GL1C_PERF_SEL_REQ_READ_32B", "value": 22}, - {"name": "GL1C_PERF_SEL_REQ_READ_64B", "value": 23}, - {"name": "GL1C_PERF_SEL_REQ_READ_POLICY_HIT_EVICT", "value": 24}, - {"name": "GL1C_PERF_SEL_REQ_READ_POLICY_HIT_LRU", "value": 25}, - {"name": "GL1C_PERF_SEL_REQ_READ_POLICY_MISS_EVICT", "value": 26}, - {"name": "GL1C_PERF_SEL_REQ_WRITE", "value": 27}, - {"name": "GL1C_PERF_SEL_REQ_WRITE_32B", "value": 28}, - {"name": "GL1C_PERF_SEL_REQ_WRITE_64B", "value": 29}, - {"name": "GL1C_PERF_SEL_STALL_GL2_GL1", "value": 30}, - {"name": "GL1C_PERF_SEL_STALL_LFIFO_FULL", "value": 31}, - {"name": "GL1C_PERF_SEL_STALL_NO_AVAILABLE_ACK_ALLOC", "value": 32}, - {"name": "GL1C_PERF_SEL_STALL_NOTHING_REPLACEABLE", "value": 33}, - {"name": "GL1C_PERF_SEL_STALL_GCR_INV", "value": 34}, - {"name": "GL1C_PERF_SEL_REQ_CLIENT0", "value": 35}, - {"name": "GL1C_PERF_SEL_REQ_CLIENT1", "value": 36}, - {"name": "GL1C_PERF_SEL_REQ_CLIENT2", "value": 37}, - {"name": "GL1C_PERF_SEL_REQ_CLIENT3", "value": 38}, - {"name": "GL1C_PERF_SEL_REQ_CLIENT4", "value": 39}, - {"name": "GL1C_PERF_SEL_REQ_CLIENT5", "value": 40}, - {"name": "GL1C_PERF_SEL_REQ_CLIENT6", "value": 41}, - {"name": "GL1C_PERF_SEL_REQ_CLIENT7", "value": 42}, - {"name": "GL1C_PERF_SEL_REQ_CLIENT8", "value": 43}, - {"name": "GL1C_PERF_SEL_REQ_CLIENT9", "value": 44}, - {"name": "GL1C_PERF_SEL_REQ_CLIENT10", "value": 45}, - {"name": "GL1C_PERF_SEL_REQ_CLIENT11", "value": 46}, - {"name": "GL1C_PERF_SEL_REQ_CLIENT12", "value": 47}, - {"name": "GL1C_PERF_SEL_REQ_CLIENT13", "value": 48}, - {"name": "GL1C_PERF_SEL_REQ_CLIENT14", "value": 49}, - {"name": "GL1C_PERF_SEL_REQ_CLIENT15", "value": 50}, - {"name": "GL1C_PERF_SEL_REQ_CLIENT16", "value": 51}, - {"name": "GL1C_PERF_SEL_REQ_CLIENT17", "value": 52}, - {"name": "GL1C_PERF_SEL_REQ_CLIENT18", "value": 53} - ] - }, - "GL2A_PERF_SEL": { - "entries": [ - {"name": "GL2A_PERF_SEL_NONE", "value": 0}, - {"name": "GL2A_PERF_SEL_CYCLE", "value": 1}, - {"name": "GL2A_PERF_SEL_BUSY", "value": 2}, - {"name": "GL2A_PERF_SEL_REQ_GL2C0", "value": 3}, - {"name": "GL2A_PERF_SEL_REQ_GL2C1", "value": 4}, - {"name": "GL2A_PERF_SEL_REQ_GL2C2", "value": 5}, - {"name": "GL2A_PERF_SEL_REQ_GL2C3", "value": 6}, - {"name": "GL2A_PERF_SEL_REQ_GL2C4", "value": 7}, - {"name": "GL2A_PERF_SEL_REQ_GL2C5", "value": 8}, - {"name": "GL2A_PERF_SEL_REQ_GL2C6", "value": 9}, - {"name": "GL2A_PERF_SEL_REQ_GL2C7", "value": 10}, - {"name": "GL2A_PERF_SEL_REQ_HI_PRIO_GL2C0", "value": 11}, - {"name": "GL2A_PERF_SEL_REQ_HI_PRIO_GL2C1", "value": 12}, - {"name": "GL2A_PERF_SEL_REQ_HI_PRIO_GL2C2", "value": 13}, - {"name": "GL2A_PERF_SEL_REQ_HI_PRIO_GL2C3", "value": 14}, - {"name": "GL2A_PERF_SEL_REQ_HI_PRIO_GL2C4", "value": 15}, - {"name": "GL2A_PERF_SEL_REQ_HI_PRIO_GL2C5", "value": 16}, - {"name": "GL2A_PERF_SEL_REQ_HI_PRIO_GL2C6", "value": 17}, - {"name": "GL2A_PERF_SEL_REQ_HI_PRIO_GL2C7", "value": 18}, - {"name": "GL2A_PERF_SEL_REQ_BURST_GL2C0", "value": 19}, - {"name": "GL2A_PERF_SEL_REQ_BURST_GL2C1", "value": 20}, - {"name": "GL2A_PERF_SEL_REQ_BURST_GL2C2", "value": 21}, - {"name": "GL2A_PERF_SEL_REQ_BURST_GL2C3", "value": 22}, - {"name": "GL2A_PERF_SEL_REQ_BURST_GL2C4", "value": 23}, - {"name": "GL2A_PERF_SEL_REQ_BURST_GL2C5", "value": 24}, - {"name": "GL2A_PERF_SEL_REQ_BURST_GL2C6", "value": 25}, - {"name": "GL2A_PERF_SEL_REQ_BURST_GL2C7", "value": 26}, - {"name": "GL2A_PERF_SEL_REQ_STALL_GL2C0", "value": 27}, - {"name": "GL2A_PERF_SEL_REQ_STALL_GL2C1", "value": 28}, - {"name": "GL2A_PERF_SEL_REQ_STALL_GL2C2", "value": 29}, - {"name": "GL2A_PERF_SEL_REQ_STALL_GL2C3", "value": 30}, - {"name": "GL2A_PERF_SEL_REQ_STALL_GL2C4", "value": 31}, - {"name": "GL2A_PERF_SEL_REQ_STALL_GL2C5", "value": 32}, - {"name": "GL2A_PERF_SEL_REQ_STALL_GL2C6", "value": 33}, - {"name": "GL2A_PERF_SEL_REQ_STALL_GL2C7", "value": 34}, - {"name": "GL2A_PERF_SEL_RTN_STALL_GL2C0", "value": 35}, - {"name": "GL2A_PERF_SEL_RTN_STALL_GL2C1", "value": 36}, - {"name": "GL2A_PERF_SEL_RTN_STALL_GL2C2", "value": 37}, - {"name": "GL2A_PERF_SEL_RTN_STALL_GL2C3", "value": 38}, - {"name": "GL2A_PERF_SEL_RTN_STALL_GL2C4", "value": 39}, - {"name": "GL2A_PERF_SEL_RTN_STALL_GL2C5", "value": 40}, - {"name": "GL2A_PERF_SEL_RTN_STALL_GL2C6", "value": 41}, - {"name": "GL2A_PERF_SEL_RTN_STALL_GL2C7", "value": 42}, - {"name": "GL2A_PERF_SEL_RTN_CLIENT0", "value": 43}, - {"name": "GL2A_PERF_SEL_RTN_CLIENT1", "value": 44}, - {"name": "GL2A_PERF_SEL_RTN_CLIENT2", "value": 45}, - {"name": "GL2A_PERF_SEL_RTN_CLIENT3", "value": 46}, - {"name": "GL2A_PERF_SEL_RTN_CLIENT4", "value": 47}, - {"name": "GL2A_PERF_SEL_RTN_CLIENT5", "value": 48}, - {"name": "GL2A_PERF_SEL_RTN_CLIENT6", "value": 49}, - {"name": "GL2A_PERF_SEL_RTN_CLIENT7", "value": 50}, - {"name": "GL2A_PERF_SEL_RTN_CLIENT8", "value": 51}, - {"name": "GL2A_PERF_SEL_RTN_CLIENT9", "value": 52}, - {"name": "GL2A_PERF_SEL_RTN_CLIENT10", "value": 53}, - {"name": "GL2A_PERF_SEL_RTN_CLIENT11", "value": 54}, - {"name": "GL2A_PERF_SEL_RTN_CLIENT12", "value": 55}, - {"name": "GL2A_PERF_SEL_RTN_CLIENT13", "value": 56}, - {"name": "GL2A_PERF_SEL_RTN_CLIENT14", "value": 57}, - {"name": "GL2A_PERF_SEL_RTN_CLIENT15", "value": 58}, - {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT0", "value": 59}, - {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT1", "value": 60}, - {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT2", "value": 61}, - {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT3", "value": 62}, - {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT4", "value": 63}, - {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT5", "value": 64}, - {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT6", "value": 65}, - {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT7", "value": 66}, - {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT8", "value": 67}, - {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT9", "value": 68}, - {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT10", "value": 69}, - {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT11", "value": 70}, - {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT12", "value": 71}, - {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT13", "value": 72}, - {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT14", "value": 73}, - {"name": "GL2A_PERF_SEL_RTN_ARB_COLLISION_CLIENT15", "value": 74} - ] - }, - "GL2C_PERF_SEL": { - "entries": [ - {"name": "GL2C_PERF_SEL_NONE", "value": 0}, - {"name": "GL2C_PERF_SEL_CYCLE", "value": 1}, - {"name": "GL2C_PERF_SEL_BUSY", "value": 2}, - {"name": "GL2C_PERF_SEL_REQ", "value": 3}, - {"name": "GL2C_PERF_SEL_VOL_REQ", "value": 4}, - {"name": "GL2C_PERF_SEL_HIGH_PRIORITY_REQ", "value": 5}, - {"name": "GL2C_PERF_SEL_READ", "value": 6}, - {"name": "GL2C_PERF_SEL_WRITE", "value": 7}, - {"name": "GL2C_PERF_SEL_ATOMIC", "value": 8}, - {"name": "GL2C_PERF_SEL_NOP_ACK", "value": 9}, - {"name": "GL2C_PERF_SEL_NOP_RTN0", "value": 10}, - {"name": "GL2C_PERF_SEL_PROBE", "value": 11}, - {"name": "GL2C_PERF_SEL_PROBE_ALL", "value": 12}, - {"name": "GL2C_PERF_SEL_INTERNAL_PROBE", "value": 13}, - {"name": "GL2C_PERF_SEL_COMPRESSED_READ_REQ", "value": 14}, - {"name": "GL2C_PERF_SEL_METADATA_READ_REQ", "value": 15}, - {"name": "GL2C_PERF_SEL_CLIENT0_REQ", "value": 16}, - {"name": "GL2C_PERF_SEL_CLIENT1_REQ", "value": 17}, - {"name": "GL2C_PERF_SEL_CLIENT2_REQ", "value": 18}, - {"name": "GL2C_PERF_SEL_CLIENT3_REQ", "value": 19}, - {"name": "GL2C_PERF_SEL_CLIENT4_REQ", "value": 20}, - {"name": "GL2C_PERF_SEL_CLIENT5_REQ", "value": 21}, - {"name": "GL2C_PERF_SEL_CLIENT6_REQ", "value": 22}, - {"name": "GL2C_PERF_SEL_CLIENT7_REQ", "value": 23}, - {"name": "GL2C_PERF_SEL_C_RW_S_REQ", "value": 24}, - {"name": "GL2C_PERF_SEL_C_RW_US_REQ", "value": 25}, - {"name": "GL2C_PERF_SEL_C_RO_S_REQ", "value": 26}, - {"name": "GL2C_PERF_SEL_C_RO_US_REQ", "value": 27}, - {"name": "GL2C_PERF_SEL_UC_REQ", "value": 28}, - {"name": "GL2C_PERF_SEL_LRU_REQ", "value": 29}, - {"name": "GL2C_PERF_SEL_STREAM_REQ", "value": 30}, - {"name": "GL2C_PERF_SEL_BYPASS_REQ", "value": 31}, - {"name": "GL2C_PERF_SEL_NOA_REQ", "value": 32}, - {"name": "GL2C_PERF_SEL_SHARED_REQ", "value": 33}, - {"name": "GL2C_PERF_SEL_HIT", "value": 34}, - {"name": "GL2C_PERF_SEL_MISS", "value": 35}, - {"name": "GL2C_PERF_SEL_FULL_HIT", "value": 36}, - {"name": "GL2C_PERF_SEL_PARTIAL_32B_HIT", "value": 37}, - {"name": "GL2C_PERF_SEL_PARTIAL_64B_HIT", "value": 38}, - {"name": "GL2C_PERF_SEL_PARTIAL_96B_HIT", "value": 39}, - {"name": "GL2C_PERF_SEL_DEWRITE_ALLOCATE_HIT", "value": 40}, - {"name": "GL2C_PERF_SEL_FULLY_WRITTEN_HIT", "value": 41}, - {"name": "GL2C_PERF_SEL_UNCACHED_WRITE", "value": 42}, - {"name": "GL2C_PERF_SEL_WRITEBACK", "value": 43}, - {"name": "GL2C_PERF_SEL_NORMAL_WRITEBACK", "value": 44}, - {"name": "GL2C_PERF_SEL_EVICT", "value": 45}, - {"name": "GL2C_PERF_SEL_NORMAL_EVICT", "value": 46}, - {"name": "GL2C_PERF_SEL_PROBE_EVICT", "value": 47}, - {"name": "GL2C_PERF_SEL_REQ_TO_MISS_QUEUE", "value": 48}, - {"name": "GL2C_PERF_SEL_HIT_PASS_MISS_IN_HI_PRIO", "value": 49}, - {"name": "GL2C_PERF_SEL_HIT_PASS_MISS_IN_COMP", "value": 50}, - {"name": "GL2C_PERF_SEL_HIT_PASS_MISS_IN_CLIENT0", "value": 51}, - {"name": "GL2C_PERF_SEL_HIT_PASS_MISS_IN_CLIENT1", "value": 52}, - {"name": "GL2C_PERF_SEL_HIT_PASS_MISS_IN_CLIENT2", "value": 53}, - {"name": "GL2C_PERF_SEL_HIT_PASS_MISS_IN_CLIENT3", "value": 54}, - {"name": "GL2C_PERF_SEL_HIT_PASS_MISS_IN_CLIENT4", "value": 55}, - {"name": "GL2C_PERF_SEL_HIT_PASS_MISS_IN_CLIENT5", "value": 56}, - {"name": "GL2C_PERF_SEL_HIT_PASS_MISS_IN_CLIENT6", "value": 57}, - {"name": "GL2C_PERF_SEL_HIT_PASS_MISS_IN_CLIENT7", "value": 58}, - {"name": "GL2C_PERF_SEL_READ_32_REQ", "value": 59}, - {"name": "GL2C_PERF_SEL_READ_64_REQ", "value": 60}, - {"name": "GL2C_PERF_SEL_READ_128_REQ", "value": 61}, - {"name": "GL2C_PERF_SEL_WRITE_32_REQ", "value": 62}, - {"name": "GL2C_PERF_SEL_WRITE_64_REQ", "value": 63}, - {"name": "GL2C_PERF_SEL_COMPRESSED_READ_0_REQ", "value": 64}, - {"name": "GL2C_PERF_SEL_COMPRESSED_READ_32_REQ", "value": 65}, - {"name": "GL2C_PERF_SEL_COMPRESSED_READ_64_REQ", "value": 66}, - {"name": "GL2C_PERF_SEL_COMPRESSED_READ_96_REQ", "value": 67}, - {"name": "GL2C_PERF_SEL_COMPRESSED_READ_128_REQ", "value": 68}, - {"name": "GL2C_PERF_SEL_MC_WRREQ", "value": 69}, - {"name": "GL2C_PERF_SEL_EA_WRREQ_64B", "value": 70}, - {"name": "GL2C_PERF_SEL_EA_WRREQ_PROBE_COMMAND", "value": 71}, - {"name": "GL2C_PERF_SEL_EA_WR_UNCACHED_32B", "value": 72}, - {"name": "GL2C_PERF_SEL_MC_WRREQ_STALL", "value": 73}, - {"name": "GL2C_PERF_SEL_EA_WRREQ_IO_CREDIT_STALL", "value": 74}, - {"name": "GL2C_PERF_SEL_EA_WRREQ_GMI_CREDIT_STALL", "value": 75}, - {"name": "GL2C_PERF_SEL_EA_WRREQ_DRAM_CREDIT_STALL", "value": 76}, - {"name": "GL2C_PERF_SEL_TOO_MANY_EA_WRREQS_STALL", "value": 77}, - {"name": "GL2C_PERF_SEL_MC_WRREQ_LEVEL", "value": 78}, - {"name": "GL2C_PERF_SEL_EA_ATOMIC", "value": 79}, - {"name": "GL2C_PERF_SEL_EA_ATOMIC_LEVEL", "value": 80}, - {"name": "GL2C_PERF_SEL_MC_RDREQ", "value": 81}, - {"name": "GL2C_PERF_SEL_EA_RDREQ_SPLIT", "value": 82}, - {"name": "GL2C_PERF_SEL_EA_RDREQ_32B", "value": 83}, - {"name": "GL2C_PERF_SEL_EA_RDREQ_64B", "value": 84}, - {"name": "GL2C_PERF_SEL_EA_RDREQ_96B", "value": 85}, - {"name": "GL2C_PERF_SEL_EA_RDREQ_128B", "value": 86}, - {"name": "GL2C_PERF_SEL_EA_RD_UNCACHED_32B", "value": 87}, - {"name": "GL2C_PERF_SEL_EA_RD_MDC_32B", "value": 88}, - {"name": "GL2C_PERF_SEL_EA_RD_COMPRESSED_32B", "value": 89}, - {"name": "GL2C_PERF_SEL_EA_RDREQ_IO_CREDIT_STALL", "value": 90}, - {"name": "GL2C_PERF_SEL_EA_RDREQ_GMI_CREDIT_STALL", "value": 91}, - {"name": "GL2C_PERF_SEL_EA_RDREQ_DRAM_CREDIT_STALL", "value": 92}, - {"name": "GL2C_PERF_SEL_MC_RDREQ_LEVEL", "value": 93}, - {"name": "GL2C_PERF_SEL_EA_RDREQ_DRAM", "value": 94}, - {"name": "GL2C_PERF_SEL_EA_WRREQ_DRAM", "value": 95}, - {"name": "GL2C_PERF_SEL_EA_RDREQ_DRAM_32B", "value": 96}, - {"name": "GL2C_PERF_SEL_EA_WRREQ_DRAM_32B", "value": 97}, - {"name": "GL2C_PERF_SEL_ONION_READ", "value": 98}, - {"name": "GL2C_PERF_SEL_ONION_WRITE", "value": 99}, - {"name": "GL2C_PERF_SEL_IO_READ", "value": 100}, - {"name": "GL2C_PERF_SEL_IO_WRITE", "value": 101}, - {"name": "GL2C_PERF_SEL_GARLIC_READ", "value": 102}, - {"name": "GL2C_PERF_SEL_GARLIC_WRITE", "value": 103}, - {"name": "GL2C_PERF_SEL_LATENCY_FIFO_FULL", "value": 104}, - {"name": "GL2C_PERF_SEL_SRC_FIFO_FULL", "value": 105}, - {"name": "GL2C_PERF_SEL_TAG_STALL", "value": 106}, - {"name": "GL2C_PERF_SEL_TAG_WRITEBACK_FIFO_FULL_STALL", "value": 107}, - {"name": "GL2C_PERF_SEL_TAG_MISS_NOTHING_REPLACEABLE_STALL", "value": 108}, - {"name": "GL2C_PERF_SEL_TAG_UNCACHED_WRITE_ATOMIC_FIFO_FULL_STALL", "value": 109}, - {"name": "GL2C_PERF_SEL_TAG_NO_UNCACHED_WRITE_ATOMIC_ENTRIES_STALL", "value": 110}, - {"name": "GL2C_PERF_SEL_TAG_PROBE_STALL", "value": 111}, - {"name": "GL2C_PERF_SEL_TAG_PROBE_FILTER_STALL", "value": 112}, - {"name": "GL2C_PERF_SEL_TAG_PROBE_FIFO_FULL_STALL", "value": 113}, - {"name": "GL2C_PERF_SEL_TAG_READ_DST_STALL", "value": 114}, - {"name": "GL2C_PERF_SEL_READ_RETURN_TIMEOUT", "value": 115}, - {"name": "GL2C_PERF_SEL_WRITEBACK_READ_TIMEOUT", "value": 116}, - {"name": "GL2C_PERF_SEL_READ_RETURN_FULL_BUBBLE", "value": 117}, - {"name": "GL2C_PERF_SEL_BUBBLE", "value": 118}, - {"name": "GL2C_PERF_SEL_IB_REQ", "value": 119}, - {"name": "GL2C_PERF_SEL_IB_STALL", "value": 120}, - {"name": "GL2C_PERF_SEL_IB_TAG_STALL", "value": 121}, - {"name": "GL2C_PERF_SEL_IB_CM_STALL", "value": 122}, - {"name": "GL2C_PERF_SEL_RETURN_ACK", "value": 123}, - {"name": "GL2C_PERF_SEL_RETURN_DATA", "value": 124}, - {"name": "GL2C_PERF_SEL_EA_RDRET_NACK", "value": 125}, - {"name": "GL2C_PERF_SEL_EA_WRRET_NACK", "value": 126}, - {"name": "GL2C_PERF_SEL_GL2A_LEVEL", "value": 127}, - {"name": "GL2C_PERF_SEL_PROBE_FILTER_DISABLE_TRANSITION", "value": 128}, - {"name": "GL2C_PERF_SEL_PROBE_FILTER_DISABLED", "value": 129}, - {"name": "GL2C_PERF_SEL_ALL_TC_OP_WB_OR_INV_START", "value": 130}, - {"name": "GL2C_PERF_SEL_ALL_TC_OP_WB_OR_INV_VOL_START", "value": 131}, - {"name": "GL2C_PERF_SEL_GCR_INV", "value": 132}, - {"name": "GL2C_PERF_SEL_GCR_WB", "value": 133}, - {"name": "GL2C_PERF_SEL_GCR_DISCARD", "value": 134}, - {"name": "GL2C_PERF_SEL_GCR_RANGE", "value": 135}, - {"name": "GL2C_PERF_SEL_GCR_ALL", "value": 136}, - {"name": "GL2C_PERF_SEL_GCR_VOL", "value": 137}, - {"name": "GL2C_PERF_SEL_GCR_UNSHARED", "value": 138}, - {"name": "GL2C_PERF_SEL_GCR_MDC_INV", "value": 139}, - {"name": "GL2C_PERF_SEL_GCR_GL2_INV_ALL", "value": 140}, - {"name": "GL2C_PERF_SEL_GCR_GL2_WB_ALL", "value": 141}, - {"name": "GL2C_PERF_SEL_GCR_MDC_INV_ALL", "value": 142}, - {"name": "GL2C_PERF_SEL_GCR_GL2_INV_RANGE", "value": 143}, - {"name": "GL2C_PERF_SEL_GCR_GL2_WB_RANGE", "value": 144}, - {"name": "GL2C_PERF_SEL_GCR_GL2_WB_INV_RANGE", "value": 145}, - {"name": "GL2C_PERF_SEL_GCR_MDC_INV_RANGE", "value": 146}, - {"name": "GL2C_PERF_SEL_ALL_GCR_INV_EVICT", "value": 147}, - {"name": "GL2C_PERF_SEL_ALL_GCR_INV_VOL_EVICT", "value": 148}, - {"name": "GL2C_PERF_SEL_ALL_GCR_WB_OR_INV_CYCLE", "value": 149}, - {"name": "GL2C_PERF_SEL_ALL_GCR_WB_OR_INV_VOL_CYCLE", "value": 150}, - {"name": "GL2C_PERF_SEL_ALL_GCR_WB_WRITEBACK", "value": 151}, - {"name": "GL2C_PERF_SEL_GCR_INVL2_VOL_CYCLE", "value": 152}, - {"name": "GL2C_PERF_SEL_GCR_INVL2_VOL_EVICT", "value": 153}, - {"name": "GL2C_PERF_SEL_GCR_INVL2_VOL_START", "value": 154}, - {"name": "GL2C_PERF_SEL_GCR_WBL2_VOL_CYCLE", "value": 155}, - {"name": "GL2C_PERF_SEL_GCR_WBL2_VOL_EVICT", "value": 156}, - {"name": "GL2C_PERF_SEL_GCR_WBL2_VOL_START", "value": 157}, - {"name": "GL2C_PERF_SEL_GCR_WBINVL2_CYCLE", "value": 158}, - {"name": "GL2C_PERF_SEL_GCR_WBINVL2_EVICT", "value": 159}, - {"name": "GL2C_PERF_SEL_GCR_WBINVL2_START", "value": 160}, - {"name": "GL2C_PERF_SEL_MDC_INV_METADATA", "value": 161}, - {"name": "GL2C_PERF_SEL_MDC_REQ", "value": 162}, - {"name": "GL2C_PERF_SEL_MDC_LEVEL", "value": 163}, - {"name": "GL2C_PERF_SEL_MDC_TAG_HIT", "value": 164}, - {"name": "GL2C_PERF_SEL_MDC_SECTOR_HIT", "value": 165}, - {"name": "GL2C_PERF_SEL_MDC_SECTOR_MISS", "value": 166}, - {"name": "GL2C_PERF_SEL_MDC_TAG_STALL", "value": 167}, - {"name": "GL2C_PERF_SEL_MDC_TAG_REPLACEMENT_LINE_IN_USE_STALL", "value": 168}, - {"name": "GL2C_PERF_SEL_MDC_TAG_DESECTORIZATION_FIFO_FULL_STALL", "value": 169}, - {"name": "GL2C_PERF_SEL_MDC_TAG_WAITING_FOR_INVALIDATE_COMPLETION_STALL", "value": 170}, - {"name": "GL2C_PERF_SEL_CM_CHANNEL0_REQ", "value": 171}, - {"name": "GL2C_PERF_SEL_CM_CHANNEL1_REQ", "value": 172}, - {"name": "GL2C_PERF_SEL_CM_CHANNEL2_REQ", "value": 173}, - {"name": "GL2C_PERF_SEL_CM_CHANNEL3_REQ", "value": 174}, - {"name": "GL2C_PERF_SEL_CM_CHANNEL4_REQ", "value": 175}, - {"name": "GL2C_PERF_SEL_CM_CHANNEL5_REQ", "value": 176}, - {"name": "GL2C_PERF_SEL_CM_CHANNEL6_REQ", "value": 177}, - {"name": "GL2C_PERF_SEL_CM_CHANNEL7_REQ", "value": 178}, - {"name": "GL2C_PERF_SEL_CM_CHANNEL8_REQ", "value": 179}, - {"name": "GL2C_PERF_SEL_CM_CHANNEL9_REQ", "value": 180}, - {"name": "GL2C_PERF_SEL_CM_CHANNEL10_REQ", "value": 181}, - {"name": "GL2C_PERF_SEL_CM_CHANNEL11_REQ", "value": 182}, - {"name": "GL2C_PERF_SEL_CM_CHANNEL12_REQ", "value": 183}, - {"name": "GL2C_PERF_SEL_CM_CHANNEL13_REQ", "value": 184}, - {"name": "GL2C_PERF_SEL_CM_CHANNEL14_REQ", "value": 185}, - {"name": "GL2C_PERF_SEL_CM_CHANNEL15_REQ", "value": 186}, - {"name": "GL2C_PERF_SEL_CM_CHANNEL16_REQ", "value": 187}, - {"name": "GL2C_PERF_SEL_CM_CHANNEL17_REQ", "value": 188}, - {"name": "GL2C_PERF_SEL_CM_CHANNEL18_REQ", "value": 189}, - {"name": "GL2C_PERF_SEL_CM_CHANNEL19_REQ", "value": 190}, - {"name": "GL2C_PERF_SEL_CM_CHANNEL20_REQ", "value": 191}, - {"name": "GL2C_PERF_SEL_CM_CHANNEL21_REQ", "value": 192}, - {"name": "GL2C_PERF_SEL_CM_CHANNEL22_REQ", "value": 193}, - {"name": "GL2C_PERF_SEL_CM_CHANNEL23_REQ", "value": 194}, - {"name": "GL2C_PERF_SEL_CM_CHANNEL24_REQ", "value": 195}, - {"name": "GL2C_PERF_SEL_CM_CHANNEL25_REQ", "value": 196}, - {"name": "GL2C_PERF_SEL_CM_CHANNEL26_REQ", "value": 197}, - {"name": "GL2C_PERF_SEL_CM_CHANNEL27_REQ", "value": 198}, - {"name": "GL2C_PERF_SEL_CM_CHANNEL28_REQ", "value": 199}, - {"name": "GL2C_PERF_SEL_CM_CHANNEL29_REQ", "value": 200}, - {"name": "GL2C_PERF_SEL_CM_CHANNEL30_REQ", "value": 201}, - {"name": "GL2C_PERF_SEL_CM_CHANNEL31_REQ", "value": 202}, - {"name": "GL2C_PERF_SEL_CM_COMP_ATOMIC_COLOR_REQ", "value": 203}, - {"name": "GL2C_PERF_SEL_CM_COMP_ATOMIC_DEPTH16_REQ", "value": 204}, - {"name": "GL2C_PERF_SEL_CM_COMP_ATOMIC_DEPTH32_REQ", "value": 205}, - {"name": "GL2C_PERF_SEL_CM_COMP_WRITE_COLOR_REQ", "value": 206}, - {"name": "GL2C_PERF_SEL_CM_COMP_WRITE_DEPTH16_REQ", "value": 207}, - {"name": "GL2C_PERF_SEL_CM_COMP_WRITE_DEPTH32_REQ", "value": 208}, - {"name": "GL2C_PERF_SEL_CM_COMP_WRITE_STENCIL_REQ", "value": 209}, - {"name": "GL2C_PERF_SEL_CM_COMP_READ_REQ", "value": 210}, - {"name": "GL2C_PERF_SEL_CM_READ_BACK_REQ", "value": 211}, - {"name": "GL2C_PERF_SEL_CM_METADATA_WR_REQ", "value": 212}, - {"name": "GL2C_PERF_SEL_CM_WR_ACK_REQ", "value": 213}, - {"name": "GL2C_PERF_SEL_CM_NO_ACK_REQ", "value": 214}, - {"name": "GL2C_PERF_SEL_CM_NOOP_REQ", "value": 215}, - {"name": "GL2C_PERF_SEL_CM_COMP_COLOR_EN_REQ", "value": 216}, - {"name": "GL2C_PERF_SEL_CM_COMP_COLOR_DIS_REQ", "value": 217}, - {"name": "GL2C_PERF_SEL_CM_COMP_STENCIL_REQ", "value": 218}, - {"name": "GL2C_PERF_SEL_CM_COMP_DEPTH16_REQ", "value": 219}, - {"name": "GL2C_PERF_SEL_CM_COMP_DEPTH32_REQ", "value": 220}, - {"name": "GL2C_PERF_SEL_CM_COLOR_32B_WR_REQ", "value": 221}, - {"name": "GL2C_PERF_SEL_CM_COLOR_64B_WR_REQ", "value": 222}, - {"name": "GL2C_PERF_SEL_CM_FULL_WRITE_REQ", "value": 223}, - {"name": "GL2C_PERF_SEL_CM_RVF_FULL", "value": 224}, - {"name": "GL2C_PERF_SEL_CM_SDR_FULL", "value": 225}, - {"name": "GL2C_PERF_SEL_CM_MERGE_BUF_FULL", "value": 226}, - {"name": "GL2C_PERF_SEL_CM_DCC_STALL", "value": 227} - ] - }, - "GRBM_PERF_SEL": { - "entries": [ - {"name": "GRBM_PERF_SEL_COUNT", "value": 0}, - {"name": "GRBM_PERF_SEL_USER_DEFINED", "value": 1}, - {"name": "GRBM_PERF_SEL_GUI_ACTIVE", "value": 2}, - {"name": "GRBM_PERF_SEL_CP_BUSY", "value": 3}, - {"name": "GRBM_PERF_SEL_CP_COHER_BUSY", "value": 4}, - {"name": "GRBM_PERF_SEL_CP_DMA_BUSY", "value": 5}, - {"name": "GRBM_PERF_SEL_CB_BUSY", "value": 6}, - {"name": "GRBM_PERF_SEL_DB_BUSY", "value": 7}, - {"name": "GRBM_PERF_SEL_PA_BUSY", "value": 8}, - {"name": "GRBM_PERF_SEL_SC_BUSY", "value": 9}, - {"name": "GRBM_PERF_SEL_RESERVED_6", "value": 10}, - {"name": "GRBM_PERF_SEL_SPI_BUSY", "value": 11}, - {"name": "GRBM_PERF_SEL_SX_BUSY", "value": 12}, - {"name": "GRBM_PERF_SEL_TA_BUSY", "value": 13}, - {"name": "GRBM_PERF_SEL_CB_CLEAN", "value": 14}, - {"name": "GRBM_PERF_SEL_DB_CLEAN", "value": 15}, - {"name": "GRBM_PERF_SEL_RESERVED_5", "value": 16}, - {"name": "GRBM_PERF_SEL_RESERVED_9", "value": 17}, - {"name": "GRBM_PERF_SEL_RESERVED_4", "value": 18}, - {"name": "GRBM_PERF_SEL_RESERVED_3", "value": 19}, - {"name": "GRBM_PERF_SEL_RESERVED_2", "value": 20}, - {"name": "GRBM_PERF_SEL_RESERVED_1", "value": 21}, - {"name": "GRBM_PERF_SEL_RESERVED_0", "value": 22}, - {"name": "GRBM_PERF_SEL_RESERVED_8", "value": 23}, - {"name": "GRBM_PERF_SEL_RESERVED_7", "value": 24}, - {"name": "GRBM_PERF_SEL_GDS_BUSY", "value": 25}, - {"name": "GRBM_PERF_SEL_BCI_BUSY", "value": 26}, - {"name": "GRBM_PERF_SEL_RLC_BUSY", "value": 27}, - {"name": "GRBM_PERF_SEL_TCP_BUSY", "value": 28}, - {"name": "GRBM_PERF_SEL_CPG_BUSY", "value": 29}, - {"name": "GRBM_PERF_SEL_CPC_BUSY", "value": 30}, - {"name": "GRBM_PERF_SEL_CPF_BUSY", "value": 31}, - {"name": "GRBM_PERF_SEL_GE_BUSY", "value": 32}, - {"name": "GRBM_PERF_SEL_GE_NO_DMA_BUSY", "value": 33}, - {"name": "GRBM_PERF_SEL_UTCL2_BUSY", "value": 34}, - {"name": "GRBM_PERF_SEL_EA_BUSY", "value": 35}, - {"name": "GRBM_PERF_SEL_RMI_BUSY", "value": 36}, - {"name": "GRBM_PERF_SEL_CPAXI_BUSY", "value": 37}, - {"name": "GRBM_PERF_SEL_UTCL1_BUSY", "value": 39}, - {"name": "GRBM_PERF_SEL_GL2CC_BUSY", "value": 40}, - {"name": "GRBM_PERF_SEL_SDMA_BUSY", "value": 41}, - {"name": "GRBM_PERF_SEL_CH_BUSY", "value": 42}, - {"name": "GRBM_PERF_SEL_PH_BUSY", "value": 43}, - {"name": "GRBM_PERF_SEL_PMM_BUSY", "value": 44}, - {"name": "GRBM_PERF_SEL_GUS_BUSY", "value": 45}, - {"name": "GRBM_PERF_SEL_GL1CC_BUSY", "value": 46} - ] - }, - "GRBM_SE0_PERF_SEL": { + {"name": "ADDR_SURF_MACRO_ASPECT_1", "value": 0}, + {"name": "ADDR_SURF_MACRO_ASPECT_2", "value": 1}, + {"name": "ADDR_SURF_MACRO_ASPECT_4", "value": 2}, + {"name": "ADDR_SURF_MACRO_ASPECT_8", "value": 3} + ] + }, + "MicroTileMode": { "entries": [ - {"name": "GRBM_SE0_PERF_SEL_COUNT", "value": 0}, - {"name": "GRBM_SE0_PERF_SEL_USER_DEFINED", "value": 1}, - {"name": "GRBM_SE0_PERF_SEL_CB_BUSY", "value": 2}, - {"name": "GRBM_SE0_PERF_SEL_DB_BUSY", "value": 3}, - {"name": "GRBM_SE0_PERF_SEL_SC_BUSY", "value": 4}, - {"name": "GRBM_SE0_PERF_SEL_RESERVED_1", "value": 5}, - {"name": "GRBM_SE0_PERF_SEL_SPI_BUSY", "value": 6}, - {"name": "GRBM_SE0_PERF_SEL_SX_BUSY", "value": 7}, - {"name": "GRBM_SE0_PERF_SEL_TA_BUSY", "value": 8}, - {"name": "GRBM_SE0_PERF_SEL_CB_CLEAN", "value": 9}, - {"name": "GRBM_SE0_PERF_SEL_DB_CLEAN", "value": 10}, - {"name": "GRBM_SE0_PERF_SEL_RESERVED_0", "value": 11}, - {"name": "GRBM_SE0_PERF_SEL_PA_BUSY", "value": 12}, - {"name": "GRBM_SE0_PERF_SEL_RESERVED_2", "value": 13}, - {"name": "GRBM_SE0_PERF_SEL_BCI_BUSY", "value": 14}, - {"name": "GRBM_SE0_PERF_SEL_RMI_BUSY", "value": 15}, - {"name": "GRBM_SE0_PERF_SEL_UTCL1_BUSY", "value": 16}, - {"name": "GRBM_SE0_PERF_SEL_TCP_BUSY", "value": 17}, - {"name": "GRBM_SE0_PERF_SEL_GL1CC_BUSY", "value": 18} - ] - }, - "GRBM_SE1_PERF_SEL": { + {"name": "ADDR_SURF_DISPLAY_MICRO_TILING", "value": 0}, + {"name": "ADDR_SURF_THIN_MICRO_TILING", "value": 1}, + {"name": "ADDR_SURF_DEPTH_MICRO_TILING", "value": 2}, + {"name": "ADDR_SURF_ROTATED_MICRO_TILING", "value": 3}, + {"name": "ADDR_SURF_THICK_MICRO_TILING", "value": 4} + ] + }, + "NumBanks": { "entries": [ - {"name": "GRBM_SE1_PERF_SEL_COUNT", "value": 0}, - {"name": "GRBM_SE1_PERF_SEL_USER_DEFINED", "value": 1}, - {"name": "GRBM_SE1_PERF_SEL_CB_BUSY", "value": 2}, - {"name": "GRBM_SE1_PERF_SEL_DB_BUSY", "value": 3}, - {"name": "GRBM_SE1_PERF_SEL_SC_BUSY", "value": 4}, - {"name": "GRBM_SE1_PERF_SEL_RESERVED_1", "value": 5}, - {"name": "GRBM_SE1_PERF_SEL_SPI_BUSY", "value": 6}, - {"name": "GRBM_SE1_PERF_SEL_SX_BUSY", "value": 7}, - {"name": "GRBM_SE1_PERF_SEL_TA_BUSY", "value": 8}, - {"name": "GRBM_SE1_PERF_SEL_CB_CLEAN", "value": 9}, - {"name": "GRBM_SE1_PERF_SEL_DB_CLEAN", "value": 10}, - {"name": "GRBM_SE1_PERF_SEL_RESERVED_0", "value": 11}, - {"name": "GRBM_SE1_PERF_SEL_PA_BUSY", "value": 12}, - {"name": "GRBM_SE1_PERF_SEL_RESERVED_2", "value": 13}, - {"name": "GRBM_SE1_PERF_SEL_BCI_BUSY", "value": 14}, - {"name": "GRBM_SE1_PERF_SEL_RMI_BUSY", "value": 15}, - {"name": "GRBM_SE1_PERF_SEL_UTCL1_BUSY", "value": 16}, - {"name": "GRBM_SE1_PERF_SEL_TCP_BUSY", "value": 17}, - {"name": "GRBM_SE1_PERF_SEL_GL1CC_BUSY", "value": 18} - ] - }, - "GRBM_SE2_PERF_SEL": { + {"name": "ADDR_SURF_2_BANK", "value": 0}, + {"name": "ADDR_SURF_4_BANK", "value": 1}, + {"name": "ADDR_SURF_8_BANK", "value": 2}, + {"name": "ADDR_SURF_16_BANK", "value": 3} + ] + }, + "PA_SU_SC_MODE_CNTL__POLYMODE_FRONT_PTYPE": { "entries": [ - {"name": "GRBM_SE2_PERF_SEL_COUNT", "value": 0}, - {"name": "GRBM_SE2_PERF_SEL_USER_DEFINED", "value": 1}, - {"name": "GRBM_SE2_PERF_SEL_CB_BUSY", "value": 2}, - {"name": "GRBM_SE2_PERF_SEL_DB_BUSY", "value": 3}, - {"name": "GRBM_SE2_PERF_SEL_SC_BUSY", "value": 4}, - {"name": "GRBM_SE2_PERF_SEL_RESERVED_1", "value": 5}, - {"name": "GRBM_SE2_PERF_SEL_SPI_BUSY", "value": 6}, - {"name": "GRBM_SE2_PERF_SEL_SX_BUSY", "value": 7}, - {"name": "GRBM_SE2_PERF_SEL_TA_BUSY", "value": 8}, - {"name": "GRBM_SE2_PERF_SEL_CB_CLEAN", "value": 9}, - {"name": "GRBM_SE2_PERF_SEL_DB_CLEAN", "value": 10}, - {"name": "GRBM_SE2_PERF_SEL_RESERVED_0", "value": 11}, - {"name": "GRBM_SE2_PERF_SEL_PA_BUSY", "value": 12}, - {"name": "GRBM_SE2_PERF_SEL_RESERVED_2", "value": 13}, - {"name": "GRBM_SE2_PERF_SEL_BCI_BUSY", "value": 14}, - {"name": "GRBM_SE2_PERF_SEL_RMI_BUSY", "value": 15}, - {"name": "GRBM_SE2_PERF_SEL_UTCL1_BUSY", "value": 16}, - {"name": "GRBM_SE2_PERF_SEL_TCP_BUSY", "value": 17}, - {"name": "GRBM_SE2_PERF_SEL_GL1CC_BUSY", "value": 18} - ] - }, - "GRBM_SE3_PERF_SEL": { + {"name": "X_DRAW_POINTS", "value": 0}, + {"name": "X_DRAW_LINES", "value": 1}, + {"name": "X_DRAW_TRIANGLES", "value": 2} + ] + }, + "PA_SU_SC_MODE_CNTL__POLY_MODE": { "entries": [ - {"name": "GRBM_SE3_PERF_SEL_COUNT", "value": 0}, - {"name": "GRBM_SE3_PERF_SEL_USER_DEFINED", "value": 1}, - {"name": "GRBM_SE3_PERF_SEL_CB_BUSY", "value": 2}, - {"name": "GRBM_SE3_PERF_SEL_DB_BUSY", "value": 3}, - {"name": "GRBM_SE3_PERF_SEL_SC_BUSY", "value": 4}, - {"name": "GRBM_SE3_PERF_SEL_RESERVED_1", "value": 5}, - {"name": "GRBM_SE3_PERF_SEL_SPI_BUSY", "value": 6}, - {"name": "GRBM_SE3_PERF_SEL_SX_BUSY", "value": 7}, - {"name": "GRBM_SE3_PERF_SEL_TA_BUSY", "value": 8}, - {"name": "GRBM_SE3_PERF_SEL_CB_CLEAN", "value": 9}, - {"name": "GRBM_SE3_PERF_SEL_DB_CLEAN", "value": 10}, - {"name": "GRBM_SE3_PERF_SEL_RESERVED_0", "value": 11}, - {"name": "GRBM_SE3_PERF_SEL_PA_BUSY", "value": 12}, - {"name": "GRBM_SE3_PERF_SEL_RESERVED_2", "value": 13}, - {"name": "GRBM_SE3_PERF_SEL_BCI_BUSY", "value": 14}, - {"name": "GRBM_SE3_PERF_SEL_RMI_BUSY", "value": 15}, - {"name": "GRBM_SE3_PERF_SEL_UTCL1_BUSY", "value": 16}, - {"name": "GRBM_SE3_PERF_SEL_TCP_BUSY", "value": 17}, - {"name": "GRBM_SE3_PERF_SEL_GL1CC_BUSY", "value": 18} - ] - }, - "PH_PERFCNT_SEL": { + {"name": "X_DISABLE_POLY_MODE", "value": 0}, + {"name": "X_DUAL_MODE", "value": 1} + ] + }, + "PA_SU_VTX_CNTL__ROUND_MODE": { "entries": [ - {"name": "PH_SC0_SRPS_WINDOW_VALID", "value": 0}, - {"name": "PH_SC0_ARB_XFC_ALL_EVENT_OR_PRIM_CYCLES", "value": 1}, - {"name": "PH_SC0_ARB_XFC_ONLY_PRIM_CYCLES", "value": 2}, - {"name": "PH_SC0_ARB_XFC_ONLY_ONE_INC_PER_PRIM", "value": 3}, - {"name": "PH_SC0_ARB_STALLED_FROM_BELOW", "value": 4}, - {"name": "PH_SC0_ARB_STARVED_FROM_ABOVE", "value": 5}, - {"name": "PH_SC0_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_NOT_EMPTY", "value": 6}, - {"name": "PH_SC0_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_FULL", "value": 7}, - {"name": "PH_SC0_ARB_BUSY", "value": 8}, - {"name": "PH_SC0_ARB_PA_BUSY_SOP", "value": 9}, - {"name": "PH_SC0_ARB_EOP_POP_SYNC_POP", "value": 10}, - {"name": "PH_SC0_ARB_EVENT_SYNC_POP", "value": 11}, - {"name": "PH_SC0_PS_ENG_MULTICYCLE_BUBBLE", "value": 12}, - {"name": "PH_SC0_EOP_SYNC_WINDOW", "value": 13}, - {"name": "PH_SC0_BUSY_PROCESSING_MULTICYCLE_PRIM", "value": 14}, - {"name": "PH_SC0_BUSY_CNT_NOT_ZERO", "value": 15}, - {"name": "PH_SC0_SEND", "value": 16}, - {"name": "PH_SC0_CREDIT_AT_ZERO_WITH_PENDING_SEND", "value": 17}, - {"name": "PH_SC0_CREDIT_AT_MAX", "value": 18}, - {"name": "PH_SC0_CREDIT_AT_MAX_NO_PENDING_SEND", "value": 19}, - {"name": "PH_SC0_GFX_PIPE_EVENT_PROVOKED_TRANSITION", "value": 20}, - {"name": "PH_SC0_GFX_PIPE_PRIM_PROVOKED_TRANSITION", "value": 21}, - {"name": "PH_SC0_GFX_PIPE0_TO_1_TRANSITION", "value": 22}, - {"name": "PH_SC0_GFX_PIPE1_TO_0_TRANSITION", "value": 23}, - {"name": "PH_SC0_PA0_DATA_FIFO_RD", "value": 24}, - {"name": "PH_SC0_PA0_DATA_FIFO_WE", "value": 25}, - {"name": "PH_SC0_PA0_FIFO_EMPTY", "value": 26}, - {"name": "PH_SC0_PA0_FIFO_FULL", "value": 27}, - {"name": "PH_SC0_PA0_NULL_WE", "value": 28}, - {"name": "PH_SC0_PA0_EVENT_WE", "value": 29}, - {"name": "PH_SC0_PA0_FPOV_WE", "value": 30}, - {"name": "PH_SC0_PA0_LPOV_WE", "value": 31}, - {"name": "PH_SC0_PA0_EOP_WE", "value": 32}, - {"name": "PH_SC0_PA0_DATA_FIFO_EOP_RD", "value": 33}, - {"name": "PH_SC0_PA0_EOPG_WE", "value": 34}, - {"name": "PH_SC0_PA0_DEALLOC_4_0_RD", "value": 35}, - {"name": "PH_SC0_PA1_DATA_FIFO_RD", "value": 36}, - {"name": "PH_SC0_PA1_DATA_FIFO_WE", "value": 37}, - {"name": "PH_SC0_PA1_FIFO_EMPTY", "value": 38}, - {"name": "PH_SC0_PA1_FIFO_FULL", "value": 39}, - {"name": "PH_SC0_PA1_NULL_WE", "value": 40}, - {"name": "PH_SC0_PA1_EVENT_WE", "value": 41}, - {"name": "PH_SC0_PA1_FPOV_WE", "value": 42}, - {"name": "PH_SC0_PA1_LPOV_WE", "value": 43}, - {"name": "PH_SC0_PA1_EOP_WE", "value": 44}, - {"name": "PH_SC0_PA1_DATA_FIFO_EOP_RD", "value": 45}, - {"name": "PH_SC0_PA1_EOPG_WE", "value": 46}, - {"name": "PH_SC0_PA1_DEALLOC_4_0_RD", "value": 47}, - {"name": "PH_SC0_PA2_DATA_FIFO_RD", "value": 48}, - {"name": "PH_SC0_PA2_DATA_FIFO_WE", "value": 49}, - {"name": "PH_SC0_PA2_FIFO_EMPTY", "value": 50}, - {"name": "PH_SC0_PA2_FIFO_FULL", "value": 51}, - {"name": "PH_SC0_PA2_NULL_WE", "value": 52}, - {"name": "PH_SC0_PA2_EVENT_WE", "value": 53}, - {"name": "PH_SC0_PA2_FPOV_WE", "value": 54}, - {"name": "PH_SC0_PA2_LPOV_WE", "value": 55}, - {"name": "PH_SC0_PA2_EOP_WE", "value": 56}, - {"name": "PH_SC0_PA2_DATA_FIFO_EOP_RD", "value": 57}, - {"name": "PH_SC0_PA2_EOPG_WE", "value": 58}, - {"name": "PH_SC0_PA2_DEALLOC_4_0_RD", "value": 59}, - {"name": "PH_SC0_PA3_DATA_FIFO_RD", "value": 60}, - {"name": "PH_SC0_PA3_DATA_FIFO_WE", "value": 61}, - {"name": "PH_SC0_PA3_FIFO_EMPTY", "value": 62}, - {"name": "PH_SC0_PA3_FIFO_FULL", "value": 63}, - {"name": "PH_SC0_PA3_NULL_WE", "value": 64}, - {"name": "PH_SC0_PA3_EVENT_WE", "value": 65}, - {"name": "PH_SC0_PA3_FPOV_WE", "value": 66}, - {"name": "PH_SC0_PA3_LPOV_WE", "value": 67}, - {"name": "PH_SC0_PA3_EOP_WE", "value": 68}, - {"name": "PH_SC0_PA3_DATA_FIFO_EOP_RD", "value": 69}, - {"name": "PH_SC0_PA3_EOPG_WE", "value": 70}, - {"name": "PH_SC0_PA3_DEALLOC_4_0_RD", "value": 71}, - {"name": "PH_SC0_PA4_DATA_FIFO_RD", "value": 72}, - {"name": "PH_SC0_PA4_DATA_FIFO_WE", "value": 73}, - {"name": "PH_SC0_PA4_FIFO_EMPTY", "value": 74}, - {"name": "PH_SC0_PA4_FIFO_FULL", "value": 75}, - {"name": "PH_SC0_PA4_NULL_WE", "value": 76}, - {"name": "PH_SC0_PA4_EVENT_WE", "value": 77}, - {"name": "PH_SC0_PA4_FPOV_WE", "value": 78}, - {"name": "PH_SC0_PA4_LPOV_WE", "value": 79}, - {"name": "PH_SC0_PA4_EOP_WE", "value": 80}, - {"name": "PH_SC0_PA4_DATA_FIFO_EOP_RD", "value": 81}, - {"name": "PH_SC0_PA4_EOPG_WE", "value": 82}, - {"name": "PH_SC0_PA4_DEALLOC_4_0_RD", "value": 83}, - {"name": "PH_SC0_PA5_DATA_FIFO_RD", "value": 84}, - {"name": "PH_SC0_PA5_DATA_FIFO_WE", "value": 85}, - {"name": "PH_SC0_PA5_FIFO_EMPTY", "value": 86}, - {"name": "PH_SC0_PA5_FIFO_FULL", "value": 87}, - {"name": "PH_SC0_PA5_NULL_WE", "value": 88}, - {"name": "PH_SC0_PA5_EVENT_WE", "value": 89}, - {"name": "PH_SC0_PA5_FPOV_WE", "value": 90}, - {"name": "PH_SC0_PA5_LPOV_WE", "value": 91}, - {"name": "PH_SC0_PA5_EOP_WE", "value": 92}, - {"name": "PH_SC0_PA5_DATA_FIFO_EOP_RD", "value": 93}, - {"name": "PH_SC0_PA5_EOPG_WE", "value": 94}, - {"name": "PH_SC0_PA5_DEALLOC_4_0_RD", "value": 95}, - {"name": "PH_SC0_PA6_DATA_FIFO_RD", "value": 96}, - {"name": "PH_SC0_PA6_DATA_FIFO_WE", "value": 97}, - {"name": "PH_SC0_PA6_FIFO_EMPTY", "value": 98}, - {"name": "PH_SC0_PA6_FIFO_FULL", "value": 99}, - {"name": "PH_SC0_PA6_NULL_WE", "value": 100}, - {"name": "PH_SC0_PA6_EVENT_WE", "value": 101}, - {"name": "PH_SC0_PA6_FPOV_WE", "value": 102}, - {"name": "PH_SC0_PA6_LPOV_WE", "value": 103}, - {"name": "PH_SC0_PA6_EOP_WE", "value": 104}, - {"name": "PH_SC0_PA6_DATA_FIFO_EOP_RD", "value": 105}, - {"name": "PH_SC0_PA6_EOPG_WE", "value": 106}, - {"name": "PH_SC0_PA6_DEALLOC_4_0_RD", "value": 107}, - {"name": "PH_SC0_PA7_DATA_FIFO_RD", "value": 108}, - {"name": "PH_SC0_PA7_DATA_FIFO_WE", "value": 109}, - {"name": "PH_SC0_PA7_FIFO_EMPTY", "value": 110}, - {"name": "PH_SC0_PA7_FIFO_FULL", "value": 111}, - {"name": "PH_SC0_PA7_NULL_WE", "value": 112}, - {"name": "PH_SC0_PA7_EVENT_WE", "value": 113}, - {"name": "PH_SC0_PA7_FPOV_WE", "value": 114}, - {"name": "PH_SC0_PA7_LPOV_WE", "value": 115}, - {"name": "PH_SC0_PA7_EOP_WE", "value": 116}, - {"name": "PH_SC0_PA7_DATA_FIFO_EOP_RD", "value": 117}, - {"name": "PH_SC0_PA7_EOPG_WE", "value": 118}, - {"name": "PH_SC0_PA7_DEALLOC_4_0_RD", "value": 119}, - {"name": "PH_SC1_SRPS_WINDOW_VALID", "value": 120}, - {"name": "PH_SC1_ARB_XFC_ALL_EVENT_OR_PRIM_CYCLES", "value": 121}, - {"name": "PH_SC1_ARB_XFC_ONLY_PRIM_CYCLES", "value": 122}, - {"name": "PH_SC1_ARB_XFC_ONLY_ONE_INC_PER_PRIM", "value": 123}, - {"name": "PH_SC1_ARB_STALLED_FROM_BELOW", "value": 124}, - {"name": "PH_SC1_ARB_STARVED_FROM_ABOVE", "value": 125}, - {"name": "PH_SC1_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_NOT_EMPTY", "value": 126}, - {"name": "PH_SC1_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_FULL", "value": 127}, - {"name": "PH_SC1_ARB_BUSY", "value": 128}, - {"name": "PH_SC1_ARB_PA_BUSY_SOP", "value": 129}, - {"name": "PH_SC1_ARB_EOP_POP_SYNC_POP", "value": 130}, - {"name": "PH_SC1_ARB_EVENT_SYNC_POP", "value": 131}, - {"name": "PH_SC1_PS_ENG_MULTICYCLE_BUBBLE", "value": 132}, - {"name": "PH_SC1_EOP_SYNC_WINDOW", "value": 133}, - {"name": "PH_SC1_BUSY_PROCESSING_MULTICYCLE_PRIM", "value": 134}, - {"name": "PH_SC1_BUSY_CNT_NOT_ZERO", "value": 135}, - {"name": "PH_SC1_SEND", "value": 136}, - {"name": "PH_SC1_CREDIT_AT_ZERO_WITH_PENDING_SEND", "value": 137}, - {"name": "PH_SC1_CREDIT_AT_MAX", "value": 138}, - {"name": "PH_SC1_CREDIT_AT_MAX_NO_PENDING_SEND", "value": 139}, - {"name": "PH_SC1_GFX_PIPE_EVENT_PROVOKED_TRANSITION", "value": 140}, - {"name": "PH_SC1_GFX_PIPE_EOP_PRIM_PROVOKED_TRANSITION", "value": 141}, - {"name": "PH_SC1_GFX_PIPE0_TO_1_TRANSITION", "value": 142}, - {"name": "PH_SC1_GFX_PIPE1_TO_0_TRANSITION", "value": 143}, - {"name": "PH_SC1_PA0_DATA_FIFO_RD", "value": 144}, - {"name": "PH_SC1_PA0_DATA_FIFO_WE", "value": 145}, - {"name": "PH_SC1_PA0_FIFO_EMPTY", "value": 146}, - {"name": "PH_SC1_PA0_FIFO_FULL", "value": 147}, - {"name": "PH_SC1_PA0_NULL_WE", "value": 148}, - {"name": "PH_SC1_PA0_EVENT_WE", "value": 149}, - {"name": "PH_SC1_PA0_FPOV_WE", "value": 150}, - {"name": "PH_SC1_PA0_LPOV_WE", "value": 151}, - {"name": "PH_SC1_PA0_EOP_WE", "value": 152}, - {"name": "PH_SC1_PA0_DATA_FIFO_EOP_RD", "value": 153}, - {"name": "PH_SC1_PA0_EOPG_WE", "value": 154}, - {"name": "PH_SC1_PA0_DEALLOC_4_0_RD", "value": 155}, - {"name": "PH_SC1_PA1_DATA_FIFO_RD", "value": 156}, - {"name": "PH_SC1_PA1_DATA_FIFO_WE", "value": 157}, - {"name": "PH_SC1_PA1_FIFO_EMPTY", "value": 158}, - {"name": "PH_SC1_PA1_FIFO_FULL", "value": 159}, - {"name": "PH_SC1_PA1_NULL_WE", "value": 160}, - {"name": "PH_SC1_PA1_EVENT_WE", "value": 161}, - {"name": "PH_SC1_PA1_FPOV_WE", "value": 162}, - {"name": "PH_SC1_PA1_LPOV_WE", "value": 163}, - {"name": "PH_SC1_PA1_EOP_WE", "value": 164}, - {"name": "PH_SC1_PA1_DATA_FIFO_EOP_RD", "value": 165}, - {"name": "PH_SC1_PA1_EOPG_WE", "value": 166}, - {"name": "PH_SC1_PA1_DEALLOC_4_0_RD", "value": 167}, - {"name": "PH_SC1_PA2_DATA_FIFO_RD", "value": 168}, - {"name": "PH_SC1_PA2_DATA_FIFO_WE", "value": 169}, - {"name": "PH_SC1_PA2_FIFO_EMPTY", "value": 170}, - {"name": "PH_SC1_PA2_FIFO_FULL", "value": 171}, - {"name": "PH_SC1_PA2_NULL_WE", "value": 172}, - {"name": "PH_SC1_PA2_EVENT_WE", "value": 173}, - {"name": "PH_SC1_PA2_FPOV_WE", "value": 174}, - {"name": "PH_SC1_PA2_LPOV_WE", "value": 175}, - {"name": "PH_SC1_PA2_EOP_WE", "value": 176}, - {"name": "PH_SC1_PA2_DATA_FIFO_EOP_RD", "value": 177}, - {"name": "PH_SC1_PA2_EOPG_WE", "value": 178}, - {"name": "PH_SC1_PA2_DEALLOC_4_0_RD", "value": 179}, - {"name": "PH_SC1_PA3_DATA_FIFO_RD", "value": 180}, - {"name": "PH_SC1_PA3_DATA_FIFO_WE", "value": 181}, - {"name": "PH_SC1_PA3_FIFO_EMPTY", "value": 182}, - {"name": "PH_SC1_PA3_FIFO_FULL", "value": 183}, - {"name": "PH_SC1_PA3_NULL_WE", "value": 184}, - {"name": "PH_SC1_PA3_EVENT_WE", "value": 185}, - {"name": "PH_SC1_PA3_FPOV_WE", "value": 186}, - {"name": "PH_SC1_PA3_LPOV_WE", "value": 187}, - {"name": "PH_SC1_PA3_EOP_WE", "value": 188}, - {"name": "PH_SC1_PA3_DATA_FIFO_EOP_RD", "value": 189}, - {"name": "PH_SC1_PA3_EOPG_WE", "value": 190}, - {"name": "PH_SC1_PA3_DEALLOC_4_0_RD", "value": 191}, - {"name": "PH_SC1_PA4_DATA_FIFO_RD", "value": 192}, - {"name": "PH_SC1_PA4_DATA_FIFO_WE", "value": 193}, - {"name": "PH_SC1_PA4_FIFO_EMPTY", "value": 194}, - {"name": "PH_SC1_PA4_FIFO_FULL", "value": 195}, - {"name": "PH_SC1_PA4_NULL_WE", "value": 196}, - {"name": "PH_SC1_PA4_EVENT_WE", "value": 197}, - {"name": "PH_SC1_PA4_FPOV_WE", "value": 198}, - {"name": "PH_SC1_PA4_LPOV_WE", "value": 199}, - {"name": "PH_SC1_PA4_EOP_WE", "value": 200}, - {"name": "PH_SC1_PA4_DATA_FIFO_EOP_RD", "value": 201}, - {"name": "PH_SC1_PA4_EOPG_WE", "value": 202}, - {"name": "PH_SC1_PA4_DEALLOC_4_0_RD", "value": 203}, - {"name": "PH_SC1_PA5_DATA_FIFO_RD", "value": 204}, - {"name": "PH_SC1_PA5_DATA_FIFO_WE", "value": 205}, - {"name": "PH_SC1_PA5_FIFO_EMPTY", "value": 206}, - {"name": "PH_SC1_PA5_FIFO_FULL", "value": 207}, - {"name": "PH_SC1_PA5_NULL_WE", "value": 208}, - {"name": "PH_SC1_PA5_EVENT_WE", "value": 209}, - {"name": "PH_SC1_PA5_FPOV_WE", "value": 210}, - {"name": "PH_SC1_PA5_LPOV_WE", "value": 211}, - {"name": "PH_SC1_PA5_EOP_WE", "value": 212}, - {"name": "PH_SC1_PA5_DATA_FIFO_EOP_RD", "value": 213}, - {"name": "PH_SC1_PA5_EOPG_WE", "value": 214}, - {"name": "PH_SC1_PA5_DEALLOC_4_0_RD", "value": 215}, - {"name": "PH_SC1_PA6_DATA_FIFO_RD", "value": 216}, - {"name": "PH_SC1_PA6_DATA_FIFO_WE", "value": 217}, - {"name": "PH_SC1_PA6_FIFO_EMPTY", "value": 218}, - {"name": "PH_SC1_PA6_FIFO_FULL", "value": 219}, - {"name": "PH_SC1_PA6_NULL_WE", "value": 220}, - {"name": "PH_SC1_PA6_EVENT_WE", "value": 221}, - {"name": "PH_SC1_PA6_FPOV_WE", "value": 222}, - {"name": "PH_SC1_PA6_LPOV_WE", "value": 223}, - {"name": "PH_SC1_PA6_EOP_WE", "value": 224}, - {"name": "PH_SC1_PA6_DATA_FIFO_EOP_RD", "value": 225}, - {"name": "PH_SC1_PA6_EOPG_WE", "value": 226}, - {"name": "PH_SC1_PA6_DEALLOC_4_0_RD", "value": 227}, - {"name": "PH_SC1_PA7_DATA_FIFO_RD", "value": 228}, - {"name": "PH_SC1_PA7_DATA_FIFO_WE", "value": 229}, - {"name": "PH_SC1_PA7_FIFO_EMPTY", "value": 230}, - {"name": "PH_SC1_PA7_FIFO_FULL", "value": 231}, - {"name": "PH_SC1_PA7_NULL_WE", "value": 232}, - {"name": "PH_SC1_PA7_EVENT_WE", "value": 233}, - {"name": "PH_SC1_PA7_FPOV_WE", "value": 234}, - {"name": "PH_SC1_PA7_LPOV_WE", "value": 235}, - {"name": "PH_SC1_PA7_EOP_WE", "value": 236}, - {"name": "PH_SC1_PA7_DATA_FIFO_EOP_RD", "value": 237}, - {"name": "PH_SC1_PA7_EOPG_WE", "value": 238}, - {"name": "PH_SC1_PA7_DEALLOC_4_0_RD", "value": 239}, - {"name": "PH_SC2_SRPS_WINDOW_VALID", "value": 240}, - {"name": "PH_SC2_ARB_XFC_ALL_EVENT_OR_PRIM_CYCLES", "value": 241}, - {"name": "PH_SC2_ARB_XFC_ONLY_PRIM_CYCLES", "value": 242}, - {"name": "PH_SC2_ARB_XFC_ONLY_ONE_INC_PER_PRIM", "value": 243}, - {"name": "PH_SC2_ARB_STALLED_FROM_BELOW", "value": 244}, - {"name": "PH_SC2_ARB_STARVED_FROM_ABOVE", "value": 245}, - {"name": "PH_SC2_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_NOT_EMPTY", "value": 246}, - {"name": "PH_SC2_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_FULL", "value": 247}, - {"name": "PH_SC2_ARB_BUSY", "value": 248}, - {"name": "PH_SC2_ARB_PA_BUSY_SOP", "value": 249}, - {"name": "PH_SC2_ARB_EOP_POP_SYNC_POP", "value": 250}, - {"name": "PH_SC2_ARB_EVENT_SYNC_POP", "value": 251}, - {"name": "PH_SC2_PS_ENG_MULTICYCLE_BUBBLE", "value": 252}, - {"name": "PH_SC2_EOP_SYNC_WINDOW", "value": 253}, - {"name": "PH_SC2_BUSY_PROCESSING_MULTICYCLE_PRIM", "value": 254}, - {"name": "PH_SC2_BUSY_CNT_NOT_ZERO", "value": 255}, - {"name": "PH_SC2_SEND", "value": 256}, - {"name": "PH_SC2_CREDIT_AT_ZERO_WITH_PENDING_SEND", "value": 257}, - {"name": "PH_SC2_CREDIT_AT_MAX", "value": 258}, - {"name": "PH_SC2_CREDIT_AT_MAX_NO_PENDING_SEND", "value": 259}, - {"name": "PH_SC2_GFX_PIPE_EVENT_PROVOKED_TRANSITION", "value": 260}, - {"name": "PH_SC2_GFX_PIPE_EOP_PRIM_PROVOKED_TRANSITION", "value": 261}, - {"name": "PH_SC2_GFX_PIPE0_TO_1_TRANSITION", "value": 262}, - {"name": "PH_SC2_GFX_PIPE1_TO_0_TRANSITION", "value": 263}, - {"name": "PH_SC2_PA0_DATA_FIFO_RD", "value": 264}, - {"name": "PH_SC2_PA0_DATA_FIFO_WE", "value": 265}, - {"name": "PH_SC2_PA0_FIFO_EMPTY", "value": 266}, - {"name": "PH_SC2_PA0_FIFO_FULL", "value": 267}, - {"name": "PH_SC2_PA0_NULL_WE", "value": 268}, - {"name": "PH_SC2_PA0_EVENT_WE", "value": 269}, - {"name": "PH_SC2_PA0_FPOV_WE", "value": 270}, - {"name": "PH_SC2_PA0_LPOV_WE", "value": 271}, - {"name": "PH_SC2_PA0_EOP_WE", "value": 272}, - {"name": "PH_SC2_PA0_DATA_FIFO_EOP_RD", "value": 273}, - {"name": "PH_SC2_PA0_EOPG_WE", "value": 274}, - {"name": "PH_SC2_PA0_DEALLOC_4_0_RD", "value": 275}, - {"name": "PH_SC2_PA1_DATA_FIFO_RD", "value": 276}, - {"name": "PH_SC2_PA1_DATA_FIFO_WE", "value": 277}, - {"name": "PH_SC2_PA1_FIFO_EMPTY", "value": 278}, - {"name": "PH_SC2_PA1_FIFO_FULL", "value": 279}, - {"name": "PH_SC2_PA1_NULL_WE", "value": 280}, - {"name": "PH_SC2_PA1_EVENT_WE", "value": 281}, - {"name": "PH_SC2_PA1_FPOV_WE", "value": 282}, - {"name": "PH_SC2_PA1_LPOV_WE", "value": 283}, - {"name": "PH_SC2_PA1_EOP_WE", "value": 284}, - {"name": "PH_SC2_PA1_DATA_FIFO_EOP_RD", "value": 285}, - {"name": "PH_SC2_PA1_EOPG_WE", "value": 286}, - {"name": "PH_SC2_PA1_DEALLOC_4_0_RD", "value": 287}, - {"name": "PH_SC2_PA2_DATA_FIFO_RD", "value": 288}, - {"name": "PH_SC2_PA2_DATA_FIFO_WE", "value": 289}, - {"name": "PH_SC2_PA2_FIFO_EMPTY", "value": 290}, - {"name": "PH_SC2_PA2_FIFO_FULL", "value": 291}, - {"name": "PH_SC2_PA2_NULL_WE", "value": 292}, - {"name": "PH_SC2_PA2_EVENT_WE", "value": 293}, - {"name": "PH_SC2_PA2_FPOV_WE", "value": 294}, - {"name": "PH_SC2_PA2_LPOV_WE", "value": 295}, - {"name": "PH_SC2_PA2_EOP_WE", "value": 296}, - {"name": "PH_SC2_PA2_DATA_FIFO_EOP_RD", "value": 297}, - {"name": "PH_SC2_PA2_EOPG_WE", "value": 298}, - {"name": "PH_SC2_PA2_DEALLOC_4_0_RD", "value": 299}, - {"name": "PH_SC2_PA3_DATA_FIFO_RD", "value": 300}, - {"name": "PH_SC2_PA3_DATA_FIFO_WE", "value": 301}, - {"name": "PH_SC2_PA3_FIFO_EMPTY", "value": 302}, - {"name": "PH_SC2_PA3_FIFO_FULL", "value": 303}, - {"name": "PH_SC2_PA3_NULL_WE", "value": 304}, - {"name": "PH_SC2_PA3_EVENT_WE", "value": 305}, - {"name": "PH_SC2_PA3_FPOV_WE", "value": 306}, - {"name": "PH_SC2_PA3_LPOV_WE", "value": 307}, - {"name": "PH_SC2_PA3_EOP_WE", "value": 308}, - {"name": "PH_SC2_PA3_DATA_FIFO_EOP_RD", "value": 309}, - {"name": "PH_SC2_PA3_EOPG_WE", "value": 310}, - {"name": "PH_SC2_PA3_DEALLOC_4_0_RD", "value": 311}, - {"name": "PH_SC2_PA4_DATA_FIFO_RD", "value": 312}, - {"name": "PH_SC2_PA4_DATA_FIFO_WE", "value": 313}, - {"name": "PH_SC2_PA4_FIFO_EMPTY", "value": 314}, - {"name": "PH_SC2_PA4_FIFO_FULL", "value": 315}, - {"name": "PH_SC2_PA4_NULL_WE", "value": 316}, - {"name": "PH_SC2_PA4_EVENT_WE", "value": 317}, - {"name": "PH_SC2_PA4_FPOV_WE", "value": 318}, - {"name": "PH_SC2_PA4_LPOV_WE", "value": 319}, - {"name": "PH_SC2_PA4_EOP_WE", "value": 320}, - {"name": "PH_SC2_PA4_DATA_FIFO_EOP_RD", "value": 321}, - {"name": "PH_SC2_PA4_EOPG_WE", "value": 322}, - {"name": "PH_SC2_PA4_DEALLOC_4_0_RD", "value": 323}, - {"name": "PH_SC2_PA5_DATA_FIFO_RD", "value": 324}, - {"name": "PH_SC2_PA5_DATA_FIFO_WE", "value": 325}, - {"name": "PH_SC2_PA5_FIFO_EMPTY", "value": 326}, - {"name": "PH_SC2_PA5_FIFO_FULL", "value": 327}, - {"name": "PH_SC2_PA5_NULL_WE", "value": 328}, - {"name": "PH_SC2_PA5_EVENT_WE", "value": 329}, - {"name": "PH_SC2_PA5_FPOV_WE", "value": 330}, - {"name": "PH_SC2_PA5_LPOV_WE", "value": 331}, - {"name": "PH_SC2_PA5_EOP_WE", "value": 332}, - {"name": "PH_SC2_PA5_DATA_FIFO_EOP_RD", "value": 333}, - {"name": "PH_SC2_PA5_EOPG_WE", "value": 334}, - {"name": "PH_SC2_PA5_DEALLOC_4_0_RD", "value": 335}, - {"name": "PH_SC2_PA6_DATA_FIFO_RD", "value": 336}, - {"name": "PH_SC2_PA6_DATA_FIFO_WE", "value": 337}, - {"name": "PH_SC2_PA6_FIFO_EMPTY", "value": 338}, - {"name": "PH_SC2_PA6_FIFO_FULL", "value": 339}, - {"name": "PH_SC2_PA6_NULL_WE", "value": 340}, - {"name": "PH_SC2_PA6_EVENT_WE", "value": 341}, - {"name": "PH_SC2_PA6_FPOV_WE", "value": 342}, - {"name": "PH_SC2_PA6_LPOV_WE", "value": 343}, - {"name": "PH_SC2_PA6_EOP_WE", "value": 344}, - {"name": "PH_SC2_PA6_DATA_FIFO_EOP_RD", "value": 345}, - {"name": "PH_SC2_PA6_EOPG_WE", "value": 346}, - {"name": "PH_SC2_PA6_DEALLOC_4_0_RD", "value": 347}, - {"name": "PH_SC2_PA7_DATA_FIFO_RD", "value": 348}, - {"name": "PH_SC2_PA7_DATA_FIFO_WE", "value": 349}, - {"name": "PH_SC2_PA7_FIFO_EMPTY", "value": 350}, - {"name": "PH_SC2_PA7_FIFO_FULL", "value": 351}, - {"name": "PH_SC2_PA7_NULL_WE", "value": 352}, - {"name": "PH_SC2_PA7_EVENT_WE", "value": 353}, - {"name": "PH_SC2_PA7_FPOV_WE", "value": 354}, - {"name": "PH_SC2_PA7_LPOV_WE", "value": 355}, - {"name": "PH_SC2_PA7_EOP_WE", "value": 356}, - {"name": "PH_SC2_PA7_DATA_FIFO_EOP_RD", "value": 357}, - {"name": "PH_SC2_PA7_EOPG_WE", "value": 358}, - {"name": "PH_SC2_PA7_DEALLOC_4_0_RD", "value": 359}, - {"name": "PH_SC3_SRPS_WINDOW_VALID", "value": 360}, - {"name": "PH_SC3_ARB_XFC_ALL_EVENT_OR_PRIM_CYCLES", "value": 361}, - {"name": "PH_SC3_ARB_XFC_ONLY_PRIM_CYCLES", "value": 362}, - {"name": "PH_SC3_ARB_XFC_ONLY_ONE_INC_PER_PRIM", "value": 363}, - {"name": "PH_SC3_ARB_STALLED_FROM_BELOW", "value": 364}, - {"name": "PH_SC3_ARB_STARVED_FROM_ABOVE", "value": 365}, - {"name": "PH_SC3_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_NOT_EMPTY", "value": 366}, - {"name": "PH_SC3_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_FULL", "value": 367}, - {"name": "PH_SC3_ARB_BUSY", "value": 368}, - {"name": "PH_SC3_ARB_PA_BUSY_SOP", "value": 369}, - {"name": "PH_SC3_ARB_EOP_POP_SYNC_POP", "value": 370}, - {"name": "PH_SC3_ARB_EVENT_SYNC_POP", "value": 371}, - {"name": "PH_SC3_PS_ENG_MULTICYCLE_BUBBLE", "value": 372}, - {"name": "PH_SC3_EOP_SYNC_WINDOW", "value": 373}, - {"name": "PH_SC3_BUSY_PROCESSING_MULTICYCLE_PRIM", "value": 374}, - {"name": "PH_SC3_BUSY_CNT_NOT_ZERO", "value": 375}, - {"name": "PH_SC3_SEND", "value": 376}, - {"name": "PH_SC3_CREDIT_AT_ZERO_WITH_PENDING_SEND", "value": 377}, - {"name": "PH_SC3_CREDIT_AT_MAX", "value": 378}, - {"name": "PH_SC3_CREDIT_AT_MAX_NO_PENDING_SEND", "value": 379}, - {"name": "PH_SC3_GFX_PIPE_EVENT_PROVOKED_TRANSITION", "value": 380}, - {"name": "PH_SC3_GFX_PIPE_EOP_PRIM_PROVOKED_TRANSITION", "value": 381}, - {"name": "PH_SC3_GFX_PIPE0_TO_1_TRANSITION", "value": 382}, - {"name": "PH_SC3_GFX_PIPE1_TO_0_TRANSITION", "value": 383}, - {"name": "PH_SC3_PA0_DATA_FIFO_RD", "value": 384}, - {"name": "PH_SC3_PA0_DATA_FIFO_WE", "value": 385}, - {"name": "PH_SC3_PA0_FIFO_EMPTY", "value": 386}, - {"name": "PH_SC3_PA0_FIFO_FULL", "value": 387}, - {"name": "PH_SC3_PA0_NULL_WE", "value": 388}, - {"name": "PH_SC3_PA0_EVENT_WE", "value": 389}, - {"name": "PH_SC3_PA0_FPOV_WE", "value": 390}, - {"name": "PH_SC3_PA0_LPOV_WE", "value": 391}, - {"name": "PH_SC3_PA0_EOP_WE", "value": 392}, - {"name": "PH_SC3_PA0_DATA_FIFO_EOP_RD", "value": 393}, - {"name": "PH_SC3_PA0_EOPG_WE", "value": 394}, - {"name": "PH_SC3_PA0_DEALLOC_4_0_RD", "value": 395}, - {"name": "PH_SC3_PA1_DATA_FIFO_RD", "value": 396}, - {"name": "PH_SC3_PA1_DATA_FIFO_WE", "value": 397}, - {"name": "PH_SC3_PA1_FIFO_EMPTY", "value": 398}, - {"name": "PH_SC3_PA1_FIFO_FULL", "value": 399}, - {"name": "PH_SC3_PA1_NULL_WE", "value": 400}, - {"name": "PH_SC3_PA1_EVENT_WE", "value": 401}, - {"name": "PH_SC3_PA1_FPOV_WE", "value": 402}, - {"name": "PH_SC3_PA1_LPOV_WE", "value": 403}, - {"name": "PH_SC3_PA1_EOP_WE", "value": 404}, - {"name": "PH_SC3_PA1_DATA_FIFO_EOP_RD", "value": 405}, - {"name": "PH_SC3_PA1_EOPG_WE", "value": 406}, - {"name": "PH_SC3_PA1_DEALLOC_4_0_RD", "value": 407}, - {"name": "PH_SC3_PA2_DATA_FIFO_RD", "value": 408}, - {"name": "PH_SC3_PA2_DATA_FIFO_WE", "value": 409}, - {"name": "PH_SC3_PA2_FIFO_EMPTY", "value": 410}, - {"name": "PH_SC3_PA2_FIFO_FULL", "value": 411}, - {"name": "PH_SC3_PA2_NULL_WE", "value": 412}, - {"name": "PH_SC3_PA2_EVENT_WE", "value": 413}, - {"name": "PH_SC3_PA2_FPOV_WE", "value": 414}, - {"name": "PH_SC3_PA2_LPOV_WE", "value": 415}, - {"name": "PH_SC3_PA2_EOP_WE", "value": 416}, - {"name": "PH_SC3_PA2_DATA_FIFO_EOP_RD", "value": 417}, - {"name": "PH_SC3_PA2_EOPG_WE", "value": 418}, - {"name": "PH_SC3_PA2_DEALLOC_4_0_RD", "value": 419}, - {"name": "PH_SC3_PA3_DATA_FIFO_RD", "value": 420}, - {"name": "PH_SC3_PA3_DATA_FIFO_WE", "value": 421}, - {"name": "PH_SC3_PA3_FIFO_EMPTY", "value": 422}, - {"name": "PH_SC3_PA3_FIFO_FULL", "value": 423}, - {"name": "PH_SC3_PA3_NULL_WE", "value": 424}, - {"name": "PH_SC3_PA3_EVENT_WE", "value": 425}, - {"name": "PH_SC3_PA3_FPOV_WE", "value": 426}, - {"name": "PH_SC3_PA3_LPOV_WE", "value": 427}, - {"name": "PH_SC3_PA3_EOP_WE", "value": 428}, - {"name": "PH_SC3_PA3_DATA_FIFO_EOP_RD", "value": 429}, - {"name": "PH_SC3_PA3_EOPG_WE", "value": 430}, - {"name": "PH_SC3_PA3_DEALLOC_4_0_RD", "value": 431}, - {"name": "PH_SC3_PA4_DATA_FIFO_RD", "value": 432}, - {"name": "PH_SC3_PA4_DATA_FIFO_WE", "value": 433}, - {"name": "PH_SC3_PA4_FIFO_EMPTY", "value": 434}, - {"name": "PH_SC3_PA4_FIFO_FULL", "value": 435}, - {"name": "PH_SC3_PA4_NULL_WE", "value": 436}, - {"name": "PH_SC3_PA4_EVENT_WE", "value": 437}, - {"name": "PH_SC3_PA4_FPOV_WE", "value": 438}, - {"name": "PH_SC3_PA4_LPOV_WE", "value": 439}, - {"name": "PH_SC3_PA4_EOP_WE", "value": 440}, - {"name": "PH_SC3_PA4_DATA_FIFO_EOP_RD", "value": 441}, - {"name": "PH_SC3_PA4_EOPG_WE", "value": 442}, - {"name": "PH_SC3_PA4_DEALLOC_4_0_RD", "value": 443}, - {"name": "PH_SC3_PA5_DATA_FIFO_RD", "value": 444}, - {"name": "PH_SC3_PA5_DATA_FIFO_WE", "value": 445}, - {"name": "PH_SC3_PA5_FIFO_EMPTY", "value": 446}, - {"name": "PH_SC3_PA5_FIFO_FULL", "value": 447}, - {"name": "PH_SC3_PA5_NULL_WE", "value": 448}, - {"name": "PH_SC3_PA5_EVENT_WE", "value": 449}, - {"name": "PH_SC3_PA5_FPOV_WE", "value": 450}, - {"name": "PH_SC3_PA5_LPOV_WE", "value": 451}, - {"name": "PH_SC3_PA5_EOP_WE", "value": 452}, - {"name": "PH_SC3_PA5_DATA_FIFO_EOP_RD", "value": 453}, - {"name": "PH_SC3_PA5_EOPG_WE", "value": 454}, - {"name": "PH_SC3_PA5_DEALLOC_4_0_RD", "value": 455}, - {"name": "PH_SC3_PA6_DATA_FIFO_RD", "value": 456}, - {"name": "PH_SC3_PA6_DATA_FIFO_WE", "value": 457}, - {"name": "PH_SC3_PA6_FIFO_EMPTY", "value": 458}, - {"name": "PH_SC3_PA6_FIFO_FULL", "value": 459}, - {"name": "PH_SC3_PA6_NULL_WE", "value": 460}, - {"name": "PH_SC3_PA6_EVENT_WE", "value": 461}, - {"name": "PH_SC3_PA6_FPOV_WE", "value": 462}, - {"name": "PH_SC3_PA6_LPOV_WE", "value": 463}, - {"name": "PH_SC3_PA6_EOP_WE", "value": 464}, - {"name": "PH_SC3_PA6_DATA_FIFO_EOP_RD", "value": 465}, - {"name": "PH_SC3_PA6_EOPG_WE", "value": 466}, - {"name": "PH_SC3_PA6_DEALLOC_4_0_RD", "value": 467}, - {"name": "PH_SC3_PA7_DATA_FIFO_RD", "value": 468}, - {"name": "PH_SC3_PA7_DATA_FIFO_WE", "value": 469}, - {"name": "PH_SC3_PA7_FIFO_EMPTY", "value": 470}, - {"name": "PH_SC3_PA7_FIFO_FULL", "value": 471}, - {"name": "PH_SC3_PA7_NULL_WE", "value": 472}, - {"name": "PH_SC3_PA7_EVENT_WE", "value": 473}, - {"name": "PH_SC3_PA7_FPOV_WE", "value": 474}, - {"name": "PH_SC3_PA7_LPOV_WE", "value": 475}, - {"name": "PH_SC3_PA7_EOP_WE", "value": 476}, - {"name": "PH_SC3_PA7_DATA_FIFO_EOP_RD", "value": 477}, - {"name": "PH_SC3_PA7_EOPG_WE", "value": 478}, - {"name": "PH_SC3_PA7_DEALLOC_4_0_RD", "value": 479}, - {"name": "PH_SC4_SRPS_WINDOW_VALID", "value": 480}, - {"name": "PH_SC4_ARB_XFC_ALL_EVENT_OR_PRIM_CYCLES", "value": 481}, - {"name": "PH_SC4_ARB_XFC_ONLY_PRIM_CYCLES", "value": 482}, - {"name": "PH_SC4_ARB_XFC_ONLY_ONE_INC_PER_PRIM", "value": 483}, - {"name": "PH_SC4_ARB_STALLED_FROM_BELOW", "value": 484}, - {"name": "PH_SC4_ARB_STARVED_FROM_ABOVE", "value": 485}, - {"name": "PH_SC4_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_NOT_EMPTY", "value": 486}, - {"name": "PH_SC4_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_FULL", "value": 487}, - {"name": "PH_SC4_ARB_BUSY", "value": 488}, - {"name": "PH_SC4_ARB_PA_BUSY_SOP", "value": 489}, - {"name": "PH_SC4_ARB_EOP_POP_SYNC_POP", "value": 490}, - {"name": "PH_SC4_ARB_EVENT_SYNC_POP", "value": 491}, - {"name": "PH_SC4_PS_ENG_MULTICYCLE_BUBBLE", "value": 492}, - {"name": "PH_SC4_EOP_SYNC_WINDOW", "value": 493}, - {"name": "PH_SC4_BUSY_PROCESSING_MULTICYCLE_PRIM", "value": 494}, - {"name": "PH_SC4_BUSY_CNT_NOT_ZERO", "value": 495}, - {"name": "PH_SC4_SEND", "value": 496}, - {"name": "PH_SC4_CREDIT_AT_ZERO_WITH_PENDING_SEND", "value": 497}, - {"name": "PH_SC4_CREDIT_AT_MAX", "value": 498}, - {"name": "PH_SC4_CREDIT_AT_MAX_NO_PENDING_SEND", "value": 499}, - {"name": "PH_SC4_GFX_PIPE_EVENT_PROVOKED_TRANSITION", "value": 500}, - {"name": "PH_SC4_GFX_PIPE_EOP_PRIM_PROVOKED_TRANSITION", "value": 501}, - {"name": "PH_SC4_GFX_PIPE0_TO_1_TRANSITION", "value": 502}, - {"name": "PH_SC4_GFX_PIPE1_TO_0_TRANSITION", "value": 503}, - {"name": "PH_SC4_PA0_DATA_FIFO_RD", "value": 504}, - {"name": "PH_SC4_PA0_DATA_FIFO_WE", "value": 505}, - {"name": "PH_SC4_PA0_FIFO_EMPTY", "value": 506}, - {"name": "PH_SC4_PA0_FIFO_FULL", "value": 507}, - {"name": "PH_SC4_PA0_NULL_WE", "value": 508}, - {"name": "PH_SC4_PA0_EVENT_WE", "value": 509}, - {"name": "PH_SC4_PA0_FPOV_WE", "value": 510}, - {"name": "PH_SC4_PA0_LPOV_WE", "value": 511}, - {"name": "PH_SC4_PA0_EOP_WE", "value": 512}, - {"name": "PH_SC4_PA0_DATA_FIFO_EOP_RD", "value": 513}, - {"name": "PH_SC4_PA0_EOPG_WE", "value": 514}, - {"name": "PH_SC4_PA0_DEALLOC_4_0_RD", "value": 515}, - {"name": "PH_SC4_PA1_DATA_FIFO_RD", "value": 516}, - {"name": "PH_SC4_PA1_DATA_FIFO_WE", "value": 517}, - {"name": "PH_SC4_PA1_FIFO_EMPTY", "value": 518}, - {"name": "PH_SC4_PA1_FIFO_FULL", "value": 519}, - {"name": "PH_SC4_PA1_NULL_WE", "value": 520}, - {"name": "PH_SC4_PA1_EVENT_WE", "value": 521}, - {"name": "PH_SC4_PA1_FPOV_WE", "value": 522}, - {"name": "PH_SC4_PA1_LPOV_WE", "value": 523}, - {"name": "PH_SC4_PA1_EOP_WE", "value": 524}, - {"name": "PH_SC4_PA1_DATA_FIFO_EOP_RD", "value": 525}, - {"name": "PH_SC4_PA1_EOPG_WE", "value": 526}, - {"name": "PH_SC4_PA1_DEALLOC_4_0_RD", "value": 527}, - {"name": "PH_SC4_PA2_DATA_FIFO_RD", "value": 528}, - {"name": "PH_SC4_PA2_DATA_FIFO_WE", "value": 529}, - {"name": "PH_SC4_PA2_FIFO_EMPTY", "value": 530}, - {"name": "PH_SC4_PA2_FIFO_FULL", "value": 531}, - {"name": "PH_SC4_PA2_NULL_WE", "value": 532}, - {"name": "PH_SC4_PA2_EVENT_WE", "value": 533}, - {"name": "PH_SC4_PA2_FPOV_WE", "value": 534}, - {"name": "PH_SC4_PA2_LPOV_WE", "value": 535}, - {"name": "PH_SC4_PA2_EOP_WE", "value": 536}, - {"name": "PH_SC4_PA2_DATA_FIFO_EOP_RD", "value": 537}, - {"name": "PH_SC4_PA2_EOPG_WE", "value": 538}, - {"name": "PH_SC4_PA2_DEALLOC_4_0_RD", "value": 539}, - {"name": "PH_SC4_PA3_DATA_FIFO_RD", "value": 540}, - {"name": "PH_SC4_PA3_DATA_FIFO_WE", "value": 541}, - {"name": "PH_SC4_PA3_FIFO_EMPTY", "value": 542}, - {"name": "PH_SC4_PA3_FIFO_FULL", "value": 543}, - {"name": "PH_SC4_PA3_NULL_WE", "value": 544}, - {"name": "PH_SC4_PA3_EVENT_WE", "value": 545}, - {"name": "PH_SC4_PA3_FPOV_WE", "value": 546}, - {"name": "PH_SC4_PA3_LPOV_WE", "value": 547}, - {"name": "PH_SC4_PA3_EOP_WE", "value": 548}, - {"name": "PH_SC4_PA3_DATA_FIFO_EOP_RD", "value": 549}, - {"name": "PH_SC4_PA3_EOPG_WE", "value": 550}, - {"name": "PH_SC4_PA3_DEALLOC_4_0_RD", "value": 551}, - {"name": "PH_SC4_PA4_DATA_FIFO_RD", "value": 552}, - {"name": "PH_SC4_PA4_DATA_FIFO_WE", "value": 553}, - {"name": "PH_SC4_PA4_FIFO_EMPTY", "value": 554}, - {"name": "PH_SC4_PA4_FIFO_FULL", "value": 555}, - {"name": "PH_SC4_PA4_NULL_WE", "value": 556}, - {"name": "PH_SC4_PA4_EVENT_WE", "value": 557}, - {"name": "PH_SC4_PA4_FPOV_WE", "value": 558}, - {"name": "PH_SC4_PA4_LPOV_WE", "value": 559}, - {"name": "PH_SC4_PA4_EOP_WE", "value": 560}, - {"name": "PH_SC4_PA4_DATA_FIFO_EOP_RD", "value": 561}, - {"name": "PH_SC4_PA4_EOPG_WE", "value": 562}, - {"name": "PH_SC4_PA4_DEALLOC_4_0_RD", "value": 563}, - {"name": "PH_SC4_PA5_DATA_FIFO_RD", "value": 564}, - {"name": "PH_SC4_PA5_DATA_FIFO_WE", "value": 565}, - {"name": "PH_SC4_PA5_FIFO_EMPTY", "value": 566}, - {"name": "PH_SC4_PA5_FIFO_FULL", "value": 567}, - {"name": "PH_SC4_PA5_NULL_WE", "value": 568}, - {"name": "PH_SC4_PA5_EVENT_WE", "value": 569}, - {"name": "PH_SC4_PA5_FPOV_WE", "value": 570}, - {"name": "PH_SC4_PA5_LPOV_WE", "value": 571}, - {"name": "PH_SC4_PA5_EOP_WE", "value": 572}, - {"name": "PH_SC4_PA5_DATA_FIFO_EOP_RD", "value": 573}, - {"name": "PH_SC4_PA5_EOPG_WE", "value": 574}, - {"name": "PH_SC4_PA5_DEALLOC_4_0_RD", "value": 575}, - {"name": "PH_SC4_PA6_DATA_FIFO_RD", "value": 576}, - {"name": "PH_SC4_PA6_DATA_FIFO_WE", "value": 577}, - {"name": "PH_SC4_PA6_FIFO_EMPTY", "value": 578}, - {"name": "PH_SC4_PA6_FIFO_FULL", "value": 579}, - {"name": "PH_SC4_PA6_NULL_WE", "value": 580}, - {"name": "PH_SC4_PA6_EVENT_WE", "value": 581}, - {"name": "PH_SC4_PA6_FPOV_WE", "value": 582}, - {"name": "PH_SC4_PA6_LPOV_WE", "value": 583}, - {"name": "PH_SC4_PA6_EOP_WE", "value": 584}, - {"name": "PH_SC4_PA6_DATA_FIFO_EOP_RD", "value": 585}, - {"name": "PH_SC4_PA6_EOPG_WE", "value": 586}, - {"name": "PH_SC4_PA6_DEALLOC_4_0_RD", "value": 587}, - {"name": "PH_SC4_PA7_DATA_FIFO_RD", "value": 588}, - {"name": "PH_SC4_PA7_DATA_FIFO_WE", "value": 589}, - {"name": "PH_SC4_PA7_FIFO_EMPTY", "value": 590}, - {"name": "PH_SC4_PA7_FIFO_FULL", "value": 591}, - {"name": "PH_SC4_PA7_NULL_WE", "value": 592}, - {"name": "PH_SC4_PA7_EVENT_WE", "value": 593}, - {"name": "PH_SC4_PA7_FPOV_WE", "value": 594}, - {"name": "PH_SC4_PA7_LPOV_WE", "value": 595}, - {"name": "PH_SC4_PA7_EOP_WE", "value": 596}, - {"name": "PH_SC4_PA7_DATA_FIFO_EOP_RD", "value": 597}, - {"name": "PH_SC4_PA7_EOPG_WE", "value": 598}, - {"name": "PH_SC4_PA7_DEALLOC_4_0_RD", "value": 599}, - {"name": "PH_SC5_SRPS_WINDOW_VALID", "value": 600}, - {"name": "PH_SC5_ARB_XFC_ALL_EVENT_OR_PRIM_CYCLES", "value": 601}, - {"name": "PH_SC5_ARB_XFC_ONLY_PRIM_CYCLES", "value": 602}, - {"name": "PH_SC5_ARB_XFC_ONLY_ONE_INC_PER_PRIM", "value": 603}, - {"name": "PH_SC5_ARB_STALLED_FROM_BELOW", "value": 604}, - {"name": "PH_SC5_ARB_STARVED_FROM_ABOVE", "value": 605}, - {"name": "PH_SC5_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_NOT_EMPTY", "value": 606}, - {"name": "PH_SC5_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_FULL", "value": 607}, - {"name": "PH_SC5_ARB_BUSY", "value": 608}, - {"name": "PH_SC5_ARB_PA_BUSY_SOP", "value": 609}, - {"name": "PH_SC5_ARB_EOP_POP_SYNC_POP", "value": 610}, - {"name": "PH_SC5_ARB_EVENT_SYNC_POP", "value": 611}, - {"name": "PH_SC5_PS_ENG_MULTICYCLE_BUBBLE", "value": 612}, - {"name": "PH_SC5_EOP_SYNC_WINDOW", "value": 613}, - {"name": "PH_SC5_BUSY_PROCESSING_MULTICYCLE_PRIM", "value": 614}, - {"name": "PH_SC5_BUSY_CNT_NOT_ZERO", "value": 615}, - {"name": "PH_SC5_SEND", "value": 616}, - {"name": "PH_SC5_CREDIT_AT_ZERO_WITH_PENDING_SEND", "value": 617}, - {"name": "PH_SC5_CREDIT_AT_MAX", "value": 618}, - {"name": "PH_SC5_CREDIT_AT_MAX_NO_PENDING_SEND", "value": 619}, - {"name": "PH_SC5_GFX_PIPE_EVENT_PROVOKED_TRANSITION", "value": 620}, - {"name": "PH_SC5_GFX_PIPE_EOP_PRIM_PROVOKED_TRANSITION", "value": 621}, - {"name": "PH_SC5_GFX_PIPE0_TO_1_TRANSITION", "value": 622}, - {"name": "PH_SC5_GFX_PIPE1_TO_0_TRANSITION", "value": 623}, - {"name": "PH_SC5_PA0_DATA_FIFO_RD", "value": 624}, - {"name": "PH_SC5_PA0_DATA_FIFO_WE", "value": 625}, - {"name": "PH_SC5_PA0_FIFO_EMPTY", "value": 626}, - {"name": "PH_SC5_PA0_FIFO_FULL", "value": 627}, - {"name": "PH_SC5_PA0_NULL_WE", "value": 628}, - {"name": "PH_SC5_PA0_EVENT_WE", "value": 629}, - {"name": "PH_SC5_PA0_FPOV_WE", "value": 630}, - {"name": "PH_SC5_PA0_LPOV_WE", "value": 631}, - {"name": "PH_SC5_PA0_EOP_WE", "value": 632}, - {"name": "PH_SC5_PA0_DATA_FIFO_EOP_RD", "value": 633}, - {"name": "PH_SC5_PA0_EOPG_WE", "value": 634}, - {"name": "PH_SC5_PA0_DEALLOC_4_0_RD", "value": 635}, - {"name": "PH_SC5_PA1_DATA_FIFO_RD", "value": 636}, - {"name": "PH_SC5_PA1_DATA_FIFO_WE", "value": 637}, - {"name": "PH_SC5_PA1_FIFO_EMPTY", "value": 638}, - {"name": "PH_SC5_PA1_FIFO_FULL", "value": 639}, - {"name": "PH_SC5_PA1_NULL_WE", "value": 640}, - {"name": "PH_SC5_PA1_EVENT_WE", "value": 641}, - {"name": "PH_SC5_PA1_FPOV_WE", "value": 642}, - {"name": "PH_SC5_PA1_LPOV_WE", "value": 643}, - {"name": "PH_SC5_PA1_EOP_WE", "value": 644}, - {"name": "PH_SC5_PA1_DATA_FIFO_EOP_RD", "value": 645}, - {"name": "PH_SC5_PA1_EOPG_WE", "value": 646}, - {"name": "PH_SC5_PA1_DEALLOC_4_0_RD", "value": 647}, - {"name": "PH_SC5_PA2_DATA_FIFO_RD", "value": 648}, - {"name": "PH_SC5_PA2_DATA_FIFO_WE", "value": 649}, - {"name": "PH_SC5_PA2_FIFO_EMPTY", "value": 650}, - {"name": "PH_SC5_PA2_FIFO_FULL", "value": 651}, - {"name": "PH_SC5_PA2_NULL_WE", "value": 652}, - {"name": "PH_SC5_PA2_EVENT_WE", "value": 653}, - {"name": "PH_SC5_PA2_FPOV_WE", "value": 654}, - {"name": "PH_SC5_PA2_LPOV_WE", "value": 655}, - {"name": "PH_SC5_PA2_EOP_WE", "value": 656}, - {"name": "PH_SC5_PA2_DATA_FIFO_EOP_RD", "value": 657}, - {"name": "PH_SC5_PA2_EOPG_WE", "value": 658}, - {"name": "PH_SC5_PA2_DEALLOC_4_0_RD", "value": 659}, - {"name": "PH_SC5_PA3_DATA_FIFO_RD", "value": 660}, - {"name": "PH_SC5_PA3_DATA_FIFO_WE", "value": 661}, - {"name": "PH_SC5_PA3_FIFO_EMPTY", "value": 662}, - {"name": "PH_SC5_PA3_FIFO_FULL", "value": 663}, - {"name": "PH_SC5_PA3_NULL_WE", "value": 664}, - {"name": "PH_SC5_PA3_EVENT_WE", "value": 665}, - {"name": "PH_SC5_PA3_FPOV_WE", "value": 666}, - {"name": "PH_SC5_PA3_LPOV_WE", "value": 667}, - {"name": "PH_SC5_PA3_EOP_WE", "value": 668}, - {"name": "PH_SC5_PA3_DATA_FIFO_EOP_RD", "value": 669}, - {"name": "PH_SC5_PA3_EOPG_WE", "value": 670}, - {"name": "PH_SC5_PA3_DEALLOC_4_0_RD", "value": 671}, - {"name": "PH_SC5_PA4_DATA_FIFO_RD", "value": 672}, - {"name": "PH_SC5_PA4_DATA_FIFO_WE", "value": 673}, - {"name": "PH_SC5_PA4_FIFO_EMPTY", "value": 674}, - {"name": "PH_SC5_PA4_FIFO_FULL", "value": 675}, - {"name": "PH_SC5_PA4_NULL_WE", "value": 676}, - {"name": "PH_SC5_PA4_EVENT_WE", "value": 677}, - {"name": "PH_SC5_PA4_FPOV_WE", "value": 678}, - {"name": "PH_SC5_PA4_LPOV_WE", "value": 679}, - {"name": "PH_SC5_PA4_EOP_WE", "value": 680}, - {"name": "PH_SC5_PA4_DATA_FIFO_EOP_RD", "value": 681}, - {"name": "PH_SC5_PA4_EOPG_WE", "value": 682}, - {"name": "PH_SC5_PA4_DEALLOC_4_0_RD", "value": 683}, - {"name": "PH_SC5_PA5_DATA_FIFO_RD", "value": 684}, - {"name": "PH_SC5_PA5_DATA_FIFO_WE", "value": 685}, - {"name": "PH_SC5_PA5_FIFO_EMPTY", "value": 686}, - {"name": "PH_SC5_PA5_FIFO_FULL", "value": 687}, - {"name": "PH_SC5_PA5_NULL_WE", "value": 688}, - {"name": "PH_SC5_PA5_EVENT_WE", "value": 689}, - {"name": "PH_SC5_PA5_FPOV_WE", "value": 690}, - {"name": "PH_SC5_PA5_LPOV_WE", "value": 691}, - {"name": "PH_SC5_PA5_EOP_WE", "value": 692}, - {"name": "PH_SC5_PA5_DATA_FIFO_EOP_RD", "value": 693}, - {"name": "PH_SC5_PA5_EOPG_WE", "value": 694}, - {"name": "PH_SC5_PA5_DEALLOC_4_0_RD", "value": 695}, - {"name": "PH_SC5_PA6_DATA_FIFO_RD", "value": 696}, - {"name": "PH_SC5_PA6_DATA_FIFO_WE", "value": 697}, - {"name": "PH_SC5_PA6_FIFO_EMPTY", "value": 698}, - {"name": "PH_SC5_PA6_FIFO_FULL", "value": 699}, - {"name": "PH_SC5_PA6_NULL_WE", "value": 700}, - {"name": "PH_SC5_PA6_EVENT_WE", "value": 701}, - {"name": "PH_SC5_PA6_FPOV_WE", "value": 702}, - {"name": "PH_SC5_PA6_LPOV_WE", "value": 703}, - {"name": "PH_SC5_PA6_EOP_WE", "value": 704}, - {"name": "PH_SC5_PA6_DATA_FIFO_EOP_RD", "value": 705}, - {"name": "PH_SC5_PA6_EOPG_WE", "value": 706}, - {"name": "PH_SC5_PA6_DEALLOC_4_0_RD", "value": 707}, - {"name": "PH_SC5_PA7_DATA_FIFO_RD", "value": 708}, - {"name": "PH_SC5_PA7_DATA_FIFO_WE", "value": 709}, - {"name": "PH_SC5_PA7_FIFO_EMPTY", "value": 710}, - {"name": "PH_SC5_PA7_FIFO_FULL", "value": 711}, - {"name": "PH_SC5_PA7_NULL_WE", "value": 712}, - {"name": "PH_SC5_PA7_EVENT_WE", "value": 713}, - {"name": "PH_SC5_PA7_FPOV_WE", "value": 714}, - {"name": "PH_SC5_PA7_LPOV_WE", "value": 715}, - {"name": "PH_SC5_PA7_EOP_WE", "value": 716}, - {"name": "PH_SC5_PA7_DATA_FIFO_EOP_RD", "value": 717}, - {"name": "PH_SC5_PA7_EOPG_WE", "value": 718}, - {"name": "PH_SC5_PA7_DEALLOC_4_0_RD", "value": 719}, - {"name": "PH_SC6_SRPS_WINDOW_VALID", "value": 720}, - {"name": "PH_SC6_ARB_XFC_ALL_EVENT_OR_PRIM_CYCLES", "value": 721}, - {"name": "PH_SC6_ARB_XFC_ONLY_PRIM_CYCLES", "value": 722}, - {"name": "PH_SC6_ARB_XFC_ONLY_ONE_INC_PER_PRIM", "value": 723}, - {"name": "PH_SC6_ARB_STALLED_FROM_BELOW", "value": 724}, - {"name": "PH_SC6_ARB_STARVED_FROM_ABOVE", "value": 725}, - {"name": "PH_SC6_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_NOT_EMPTY", "value": 726}, - {"name": "PH_SC6_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_FULL", "value": 727}, - {"name": "PH_SC6_ARB_BUSY", "value": 728}, - {"name": "PH_SC6_ARB_PA_BUSY_SOP", "value": 729}, - {"name": "PH_SC6_ARB_EOP_POP_SYNC_POP", "value": 730}, - {"name": "PH_SC6_ARB_EVENT_SYNC_POP", "value": 731}, - {"name": "PH_SC6_PS_ENG_MULTICYCLE_BUBBLE", "value": 732}, - {"name": "PH_SC6_EOP_SYNC_WINDOW", "value": 733}, - {"name": "PH_SC6_BUSY_PROCESSING_MULTICYCLE_PRIM", "value": 734}, - {"name": "PH_SC6_BUSY_CNT_NOT_ZERO", "value": 735}, - {"name": "PH_SC6_SEND", "value": 736}, - {"name": "PH_SC6_CREDIT_AT_ZERO_WITH_PENDING_SEND", "value": 737}, - {"name": "PH_SC6_CREDIT_AT_MAX", "value": 738}, - {"name": "PH_SC6_CREDIT_AT_MAX_NO_PENDING_SEND", "value": 739}, - {"name": "PH_SC6_GFX_PIPE_EVENT_PROVOKED_TRANSITION", "value": 740}, - {"name": "PH_SC6_GFX_PIPE_EOP_PRIM_PROVOKED_TRANSITION", "value": 741}, - {"name": "PH_SC6_GFX_PIPE0_TO_1_TRANSITION", "value": 742}, - {"name": "PH_SC6_GFX_PIPE1_TO_0_TRANSITION", "value": 743}, - {"name": "PH_SC6_PA0_DATA_FIFO_RD", "value": 744}, - {"name": "PH_SC6_PA0_DATA_FIFO_WE", "value": 745}, - {"name": "PH_SC6_PA0_FIFO_EMPTY", "value": 746}, - {"name": "PH_SC6_PA0_FIFO_FULL", "value": 747}, - {"name": "PH_SC6_PA0_NULL_WE", "value": 748}, - {"name": "PH_SC6_PA0_EVENT_WE", "value": 749}, - {"name": "PH_SC6_PA0_FPOV_WE", "value": 750}, - {"name": "PH_SC6_PA0_LPOV_WE", "value": 751}, - {"name": "PH_SC6_PA0_EOP_WE", "value": 752}, - {"name": "PH_SC6_PA0_DATA_FIFO_EOP_RD", "value": 753}, - {"name": "PH_SC6_PA0_EOPG_WE", "value": 754}, - {"name": "PH_SC6_PA0_DEALLOC_4_0_RD", "value": 755}, - {"name": "PH_SC6_PA1_DATA_FIFO_RD", "value": 756}, - {"name": "PH_SC6_PA1_DATA_FIFO_WE", "value": 757}, - {"name": "PH_SC6_PA1_FIFO_EMPTY", "value": 758}, - {"name": "PH_SC6_PA1_FIFO_FULL", "value": 759}, - {"name": "PH_SC6_PA1_NULL_WE", "value": 760}, - {"name": "PH_SC6_PA1_EVENT_WE", "value": 761}, - {"name": "PH_SC6_PA1_FPOV_WE", "value": 762}, - {"name": "PH_SC6_PA1_LPOV_WE", "value": 763}, - {"name": "PH_SC6_PA1_EOP_WE", "value": 764}, - {"name": "PH_SC6_PA1_DATA_FIFO_EOP_RD", "value": 765}, - {"name": "PH_SC6_PA1_EOPG_WE", "value": 766}, - {"name": "PH_SC6_PA1_DEALLOC_4_0_RD", "value": 767}, - {"name": "PH_SC6_PA2_DATA_FIFO_RD", "value": 768}, - {"name": "PH_SC6_PA2_DATA_FIFO_WE", "value": 769}, - {"name": "PH_SC6_PA2_FIFO_EMPTY", "value": 770}, - {"name": "PH_SC6_PA2_FIFO_FULL", "value": 771}, - {"name": "PH_SC6_PA2_NULL_WE", "value": 772}, - {"name": "PH_SC6_PA2_EVENT_WE", "value": 773}, - {"name": "PH_SC6_PA2_FPOV_WE", "value": 774}, - {"name": "PH_SC6_PA2_LPOV_WE", "value": 775}, - {"name": "PH_SC6_PA2_EOP_WE", "value": 776}, - {"name": "PH_SC6_PA2_DATA_FIFO_EOP_RD", "value": 777}, - {"name": "PH_SC6_PA2_EOPG_WE", "value": 778}, - {"name": "PH_SC6_PA2_DEALLOC_4_0_RD", "value": 779}, - {"name": "PH_SC6_PA3_DATA_FIFO_RD", "value": 780}, - {"name": "PH_SC6_PA3_DATA_FIFO_WE", "value": 781}, - {"name": "PH_SC6_PA3_FIFO_EMPTY", "value": 782}, - {"name": "PH_SC6_PA3_FIFO_FULL", "value": 783}, - {"name": "PH_SC6_PA3_NULL_WE", "value": 784}, - {"name": "PH_SC6_PA3_EVENT_WE", "value": 785}, - {"name": "PH_SC6_PA3_FPOV_WE", "value": 786}, - {"name": "PH_SC6_PA3_LPOV_WE", "value": 787}, - {"name": "PH_SC6_PA3_EOP_WE", "value": 788}, - {"name": "PH_SC6_PA3_DATA_FIFO_EOP_RD", "value": 789}, - {"name": "PH_SC6_PA3_EOPG_WE", "value": 790}, - {"name": "PH_SC6_PA3_DEALLOC_4_0_RD", "value": 791}, - {"name": "PH_SC6_PA4_DATA_FIFO_RD", "value": 792}, - {"name": "PH_SC6_PA4_DATA_FIFO_WE", "value": 793}, - {"name": "PH_SC6_PA4_FIFO_EMPTY", "value": 794}, - {"name": "PH_SC6_PA4_FIFO_FULL", "value": 795}, - {"name": "PH_SC6_PA4_NULL_WE", "value": 796}, - {"name": "PH_SC6_PA4_EVENT_WE", "value": 797}, - {"name": "PH_SC6_PA4_FPOV_WE", "value": 798}, - {"name": "PH_SC6_PA4_LPOV_WE", "value": 799}, - {"name": "PH_SC6_PA4_EOP_WE", "value": 800}, - {"name": "PH_SC6_PA4_DATA_FIFO_EOP_RD", "value": 801}, - {"name": "PH_SC6_PA4_EOPG_WE", "value": 802}, - {"name": "PH_SC6_PA4_DEALLOC_4_0_RD", "value": 803}, - {"name": "PH_SC6_PA5_DATA_FIFO_RD", "value": 804}, - {"name": "PH_SC6_PA5_DATA_FIFO_WE", "value": 805}, - {"name": "PH_SC6_PA5_FIFO_EMPTY", "value": 806}, - {"name": "PH_SC6_PA5_FIFO_FULL", "value": 807}, - {"name": "PH_SC6_PA5_NULL_WE", "value": 808}, - {"name": "PH_SC6_PA5_EVENT_WE", "value": 809}, - {"name": "PH_SC6_PA5_FPOV_WE", "value": 810}, - {"name": "PH_SC6_PA5_LPOV_WE", "value": 811}, - {"name": "PH_SC6_PA5_EOP_WE", "value": 812}, - {"name": "PH_SC6_PA5_DATA_FIFO_EOP_RD", "value": 813}, - {"name": "PH_SC6_PA5_EOPG_WE", "value": 814}, - {"name": "PH_SC6_PA5_DEALLOC_4_0_RD", "value": 815}, - {"name": "PH_SC6_PA6_DATA_FIFO_RD", "value": 816}, - {"name": "PH_SC6_PA6_DATA_FIFO_WE", "value": 817}, - {"name": "PH_SC6_PA6_FIFO_EMPTY", "value": 818}, - {"name": "PH_SC6_PA6_FIFO_FULL", "value": 819}, - {"name": "PH_SC6_PA6_NULL_WE", "value": 820}, - {"name": "PH_SC6_PA6_EVENT_WE", "value": 821}, - {"name": "PH_SC6_PA6_FPOV_WE", "value": 822}, - {"name": "PH_SC6_PA6_LPOV_WE", "value": 823}, - {"name": "PH_SC6_PA6_EOP_WE", "value": 824}, - {"name": "PH_SC6_PA6_DATA_FIFO_EOP_RD", "value": 825}, - {"name": "PH_SC6_PA6_EOPG_WE", "value": 826}, - {"name": "PH_SC6_PA6_DEALLOC_4_0_RD", "value": 827}, - {"name": "PH_SC6_PA7_DATA_FIFO_RD", "value": 828}, - {"name": "PH_SC6_PA7_DATA_FIFO_WE", "value": 829}, - {"name": "PH_SC6_PA7_FIFO_EMPTY", "value": 830}, - {"name": "PH_SC6_PA7_FIFO_FULL", "value": 831}, - {"name": "PH_SC6_PA7_NULL_WE", "value": 832}, - {"name": "PH_SC6_PA7_EVENT_WE", "value": 833}, - {"name": "PH_SC6_PA7_FPOV_WE", "value": 834}, - {"name": "PH_SC6_PA7_LPOV_WE", "value": 835}, - {"name": "PH_SC6_PA7_EOP_WE", "value": 836}, - {"name": "PH_SC6_PA7_DATA_FIFO_EOP_RD", "value": 837}, - {"name": "PH_SC6_PA7_EOPG_WE", "value": 838}, - {"name": "PH_SC6_PA7_DEALLOC_4_0_RD", "value": 839}, - {"name": "PH_SC7_SRPS_WINDOW_VALID", "value": 840}, - {"name": "PH_SC7_ARB_XFC_ALL_EVENT_OR_PRIM_CYCLES", "value": 841}, - {"name": "PH_SC7_ARB_XFC_ONLY_PRIM_CYCLES", "value": 842}, - {"name": "PH_SC7_ARB_XFC_ONLY_ONE_INC_PER_PRIM", "value": 843}, - {"name": "PH_SC7_ARB_STALLED_FROM_BELOW", "value": 844}, - {"name": "PH_SC7_ARB_STARVED_FROM_ABOVE", "value": 845}, - {"name": "PH_SC7_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_NOT_EMPTY", "value": 846}, - {"name": "PH_SC7_ARB_STARVED_FROM_ABOVE_WITH_UNSELECTED_FIFO_FULL", "value": 847}, - {"name": "PH_SC7_ARB_BUSY", "value": 848}, - {"name": "PH_SC7_ARB_PA_BUSY_SOP", "value": 849}, - {"name": "PH_SC7_ARB_EOP_POP_SYNC_POP", "value": 850}, - {"name": "PH_SC7_ARB_EVENT_SYNC_POP", "value": 851}, - {"name": "PH_SC7_PS_ENG_MULTICYCLE_BUBBLE", "value": 852}, - {"name": "PH_SC7_EOP_SYNC_WINDOW", "value": 853}, - {"name": "PH_SC7_BUSY_PROCESSING_MULTICYCLE_PRIM", "value": 854}, - {"name": "PH_SC7_BUSY_CNT_NOT_ZERO", "value": 855}, - {"name": "PH_SC7_SEND", "value": 856}, - {"name": "PH_SC7_CREDIT_AT_ZERO_WITH_PENDING_SEND", "value": 857}, - {"name": "PH_SC7_CREDIT_AT_MAX", "value": 858}, - {"name": "PH_SC7_CREDIT_AT_MAX_NO_PENDING_SEND", "value": 859}, - {"name": "PH_SC7_GFX_PIPE_EVENT_PROVOKED_TRANSITION", "value": 860}, - {"name": "PH_SC7_GFX_PIPE_EOP_PRIM_PROVOKED_TRANSITION", "value": 861}, - {"name": "PH_SC7_GFX_PIPE0_TO_1_TRANSITION", "value": 862}, - {"name": "PH_SC7_GFX_PIPE1_TO_0_TRANSITION", "value": 863}, - {"name": "PH_SC7_PA0_DATA_FIFO_RD", "value": 864}, - {"name": "PH_SC7_PA0_DATA_FIFO_WE", "value": 865}, - {"name": "PH_SC7_PA0_FIFO_EMPTY", "value": 866}, - {"name": "PH_SC7_PA0_FIFO_FULL", "value": 867}, - {"name": "PH_SC7_PA0_NULL_WE", "value": 868}, - {"name": "PH_SC7_PA0_EVENT_WE", "value": 869}, - {"name": "PH_SC7_PA0_FPOV_WE", "value": 870}, - {"name": "PH_SC7_PA0_LPOV_WE", "value": 871}, - {"name": "PH_SC7_PA0_EOP_WE", "value": 872}, - {"name": "PH_SC7_PA0_DATA_FIFO_EOP_RD", "value": 873}, - {"name": "PH_SC7_PA0_EOPG_WE", "value": 874}, - {"name": "PH_SC7_PA0_DEALLOC_4_0_RD", "value": 875}, - {"name": "PH_SC7_PA1_DATA_FIFO_RD", "value": 876}, - {"name": "PH_SC7_PA1_DATA_FIFO_WE", "value": 877}, - {"name": "PH_SC7_PA1_FIFO_EMPTY", "value": 878}, - {"name": "PH_SC7_PA1_FIFO_FULL", "value": 879}, - {"name": "PH_SC7_PA1_NULL_WE", "value": 880}, - {"name": "PH_SC7_PA1_EVENT_WE", "value": 881}, - {"name": "PH_SC7_PA1_FPOV_WE", "value": 882}, - {"name": "PH_SC7_PA1_LPOV_WE", "value": 883}, - {"name": "PH_SC7_PA1_EOP_WE", "value": 884}, - {"name": "PH_SC7_PA1_DATA_FIFO_EOP_RD", "value": 885}, - {"name": "PH_SC7_PA1_EOPG_WE", "value": 886}, - {"name": "PH_SC7_PA1_DEALLOC_4_0_RD", "value": 887}, - {"name": "PH_SC7_PA2_DATA_FIFO_RD", "value": 888}, - {"name": "PH_SC7_PA2_DATA_FIFO_WE", "value": 889}, - {"name": "PH_SC7_PA2_FIFO_EMPTY", "value": 890}, - {"name": "PH_SC7_PA2_FIFO_FULL", "value": 891}, - {"name": "PH_SC7_PA2_NULL_WE", "value": 892}, - {"name": "PH_SC7_PA2_EVENT_WE", "value": 893}, - {"name": "PH_SC7_PA2_FPOV_WE", "value": 894}, - {"name": "PH_SC7_PA2_LPOV_WE", "value": 895}, - {"name": "PH_SC7_PA2_EOP_WE", "value": 896}, - {"name": "PH_SC7_PA2_DATA_FIFO_EOP_RD", "value": 897}, - {"name": "PH_SC7_PA2_EOPG_WE", "value": 898}, - {"name": "PH_SC7_PA2_DEALLOC_4_0_RD", "value": 899}, - {"name": "PH_SC7_PA3_DATA_FIFO_RD", "value": 900}, - {"name": "PH_SC7_PA3_DATA_FIFO_WE", "value": 901}, - {"name": "PH_SC7_PA3_FIFO_EMPTY", "value": 902}, - {"name": "PH_SC7_PA3_FIFO_FULL", "value": 903}, - {"name": "PH_SC7_PA3_NULL_WE", "value": 904}, - {"name": "PH_SC7_PA3_EVENT_WE", "value": 905}, - {"name": "PH_SC7_PA3_FPOV_WE", "value": 906}, - {"name": "PH_SC7_PA3_LPOV_WE", "value": 907}, - {"name": "PH_SC7_PA3_EOP_WE", "value": 908}, - {"name": "PH_SC7_PA3_DATA_FIFO_EOP_RD", "value": 909}, - {"name": "PH_SC7_PA3_EOPG_WE", "value": 910}, - {"name": "PH_SC7_PA3_DEALLOC_4_0_RD", "value": 911}, - {"name": "PH_SC7_PA4_DATA_FIFO_RD", "value": 912}, - {"name": "PH_SC7_PA4_DATA_FIFO_WE", "value": 913}, - {"name": "PH_SC7_PA4_FIFO_EMPTY", "value": 914}, - {"name": "PH_SC7_PA4_FIFO_FULL", "value": 915}, - {"name": "PH_SC7_PA4_NULL_WE", "value": 916}, - {"name": "PH_SC7_PA4_EVENT_WE", "value": 917}, - {"name": "PH_SC7_PA4_FPOV_WE", "value": 918}, - {"name": "PH_SC7_PA4_LPOV_WE", "value": 919}, - {"name": "PH_SC7_PA4_EOP_WE", "value": 920}, - {"name": "PH_SC7_PA4_DATA_FIFO_EOP_RD", "value": 921}, - {"name": "PH_SC7_PA4_EOPG_WE", "value": 922}, - {"name": "PH_SC7_PA4_DEALLOC_4_0_RD", "value": 923}, - {"name": "PH_SC7_PA5_DATA_FIFO_RD", "value": 924}, - {"name": "PH_SC7_PA5_DATA_FIFO_WE", "value": 925}, - {"name": "PH_SC7_PA5_FIFO_EMPTY", "value": 926}, - {"name": "PH_SC7_PA5_FIFO_FULL", "value": 927}, - {"name": "PH_SC7_PA5_NULL_WE", "value": 928}, - {"name": "PH_SC7_PA5_EVENT_WE", "value": 929}, - {"name": "PH_SC7_PA5_FPOV_WE", "value": 930}, - {"name": "PH_SC7_PA5_LPOV_WE", "value": 931}, - {"name": "PH_SC7_PA5_EOP_WE", "value": 932}, - {"name": "PH_SC7_PA5_DATA_FIFO_EOP_RD", "value": 933}, - {"name": "PH_SC7_PA5_EOPG_WE", "value": 934}, - {"name": "PH_SC7_PA5_DEALLOC_4_0_RD", "value": 935}, - {"name": "PH_SC7_PA6_DATA_FIFO_RD", "value": 936}, - {"name": "PH_SC7_PA6_DATA_FIFO_WE", "value": 937}, - {"name": "PH_SC7_PA6_FIFO_EMPTY", "value": 938}, - {"name": "PH_SC7_PA6_FIFO_FULL", "value": 939}, - {"name": "PH_SC7_PA6_NULL_WE", "value": 940}, - {"name": "PH_SC7_PA6_EVENT_WE", "value": 941}, - {"name": "PH_SC7_PA6_FPOV_WE", "value": 942}, - {"name": "PH_SC7_PA6_LPOV_WE", "value": 943}, - {"name": "PH_SC7_PA6_EOP_WE", "value": 944}, - {"name": "PH_SC7_PA6_DATA_FIFO_EOP_RD", "value": 945}, - {"name": "PH_SC7_PA6_EOPG_WE", "value": 946}, - {"name": "PH_SC7_PA6_DEALLOC_4_0_RD", "value": 947}, - {"name": "PH_SC7_PA7_DATA_FIFO_RD", "value": 948}, - {"name": "PH_SC7_PA7_DATA_FIFO_WE", "value": 949}, - {"name": "PH_SC7_PA7_FIFO_EMPTY", "value": 950}, - {"name": "PH_SC7_PA7_FIFO_FULL", "value": 951}, - {"name": "PH_SC7_PA7_NULL_WE", "value": 952}, - {"name": "PH_SC7_PA7_EVENT_WE", "value": 953}, - {"name": "PH_SC7_PA7_FPOV_WE", "value": 954}, - {"name": "PH_SC7_PA7_LPOV_WE", "value": 955}, - {"name": "PH_SC7_PA7_EOP_WE", "value": 956}, - {"name": "PH_SC7_PA7_DATA_FIFO_EOP_RD", "value": 957}, - {"name": "PH_SC7_PA7_EOPG_WE", "value": 958}, - {"name": "PH_SC7_PA7_DEALLOC_4_0_RD", "value": 959} - ] - }, - "PerfCounter_Vals": { + {"name": "X_TRUNCATE", "value": 0}, + {"name": "X_ROUND", "value": 1}, + {"name": "X_ROUND_TO_EVEN", "value": 2}, + {"name": "X_ROUND_TO_ODD", "value": 3} + ] + }, + "PipeConfig": { "entries": [ - {"name": "DB_PERF_SEL_SC_DB_tile_sends", "value": 0}, - {"name": "DB_PERF_SEL_SC_DB_tile_busy", "value": 1}, - {"name": "DB_PERF_SEL_SC_DB_tile_stalls", "value": 2}, - {"name": "DB_PERF_SEL_SC_DB_tile_events", "value": 3}, - {"name": "DB_PERF_SEL_SC_DB_tile_tiles", "value": 4}, - {"name": "DB_PERF_SEL_SC_DB_tile_covered", "value": 5}, - {"name": "DB_PERF_SEL_hiz_tc_read_starved", "value": 6}, - {"name": "DB_PERF_SEL_hiz_tc_write_stall", "value": 7}, - {"name": "DB_PERF_SEL_hiz_tile_culled", "value": 8}, - {"name": "DB_PERF_SEL_his_tile_culled", "value": 9}, - {"name": "DB_PERF_SEL_DB_SC_tile_sends", "value": 10}, - {"name": "DB_PERF_SEL_DB_SC_tile_busy", "value": 11}, - {"name": "DB_PERF_SEL_DB_SC_tile_stalls", "value": 12}, - {"name": "DB_PERF_SEL_DB_SC_tile_df_stalls", "value": 13}, - {"name": "DB_PERF_SEL_DB_SC_tile_tiles", "value": 14}, - {"name": "DB_PERF_SEL_DB_SC_tile_culled", "value": 15}, - {"name": "DB_PERF_SEL_DB_SC_tile_hier_kill", "value": 16}, - {"name": "DB_PERF_SEL_DB_SC_tile_fast_ops", "value": 17}, - {"name": "DB_PERF_SEL_DB_SC_tile_no_ops", "value": 18}, - {"name": "DB_PERF_SEL_DB_SC_tile_tile_rate", "value": 19}, - {"name": "DB_PERF_SEL_DB_SC_tile_ssaa_kill", "value": 20}, - {"name": "DB_PERF_SEL_DB_SC_tile_fast_z_ops", "value": 21}, - {"name": "DB_PERF_SEL_DB_SC_tile_fast_stencil_ops", "value": 22}, - {"name": "DB_PERF_SEL_SC_DB_quad_sends", "value": 23}, - {"name": "DB_PERF_SEL_SC_DB_quad_busy", "value": 24}, - {"name": "DB_PERF_SEL_SC_DB_quad_squads", "value": 25}, - {"name": "DB_PERF_SEL_SC_DB_quad_tiles", "value": 26}, - {"name": "DB_PERF_SEL_SC_DB_quad_pixels", "value": 27}, - {"name": "DB_PERF_SEL_SC_DB_quad_killed_tiles", "value": 28}, - {"name": "DB_PERF_SEL_DB_SC_quad_sends", "value": 29}, - {"name": "DB_PERF_SEL_DB_SC_quad_busy", "value": 30}, - {"name": "DB_PERF_SEL_DB_SC_quad_stalls", "value": 31}, - {"name": "DB_PERF_SEL_DB_SC_quad_tiles", "value": 32}, - {"name": "DB_PERF_SEL_DB_SC_quad_lit_quad", "value": 33}, - {"name": "DB_PERF_SEL_DB_CB_tile_sends", "value": 34}, - {"name": "DB_PERF_SEL_DB_CB_tile_busy", "value": 35}, - {"name": "DB_PERF_SEL_DB_CB_tile_stalls", "value": 36}, - {"name": "DB_PERF_SEL_SX_DB_quad_sends", "value": 37}, - {"name": "DB_PERF_SEL_SX_DB_quad_busy", "value": 38}, - {"name": "DB_PERF_SEL_SX_DB_quad_stalls", "value": 39}, - {"name": "DB_PERF_SEL_SX_DB_quad_quads", "value": 40}, - {"name": "DB_PERF_SEL_SX_DB_quad_pixels", "value": 41}, - {"name": "DB_PERF_SEL_SX_DB_quad_exports", "value": 42}, - {"name": "DB_PERF_SEL_SH_quads_outstanding_sum", "value": 43}, - {"name": "DB_PERF_SEL_DB_CB_lquad_sends", "value": 44}, - {"name": "DB_PERF_SEL_DB_CB_lquad_busy", "value": 45}, - {"name": "DB_PERF_SEL_DB_CB_lquad_stalls", "value": 46}, - {"name": "DB_PERF_SEL_DB_CB_lquad_quads", "value": 47}, - {"name": "DB_PERF_SEL_tile_rd_sends", "value": 48}, - {"name": "DB_PERF_SEL_mi_tile_rd_outstanding_sum", "value": 49}, - {"name": "DB_PERF_SEL_quad_rd_sends", "value": 50}, - {"name": "DB_PERF_SEL_quad_rd_busy", "value": 51}, - {"name": "DB_PERF_SEL_quad_rd_mi_stall", "value": 52}, - {"name": "DB_PERF_SEL_quad_rd_rw_collision", "value": 53}, - {"name": "DB_PERF_SEL_quad_rd_tag_stall", "value": 54}, - {"name": "DB_PERF_SEL_quad_rd_32byte_reqs", "value": 55}, - {"name": "DB_PERF_SEL_quad_rd_panic", "value": 56}, - {"name": "DB_PERF_SEL_mi_quad_rd_outstanding_sum", "value": 57}, - {"name": "DB_PERF_SEL_quad_rdret_sends", "value": 58}, - {"name": "DB_PERF_SEL_quad_rdret_busy", "value": 59}, - {"name": "DB_PERF_SEL_tile_wr_sends", "value": 60}, - {"name": "DB_PERF_SEL_tile_wr_acks", "value": 61}, - {"name": "DB_PERF_SEL_mi_tile_wr_outstanding_sum", "value": 62}, - {"name": "DB_PERF_SEL_quad_wr_sends", "value": 63}, - {"name": "DB_PERF_SEL_quad_wr_busy", "value": 64}, - {"name": "DB_PERF_SEL_quad_wr_mi_stall", "value": 65}, - {"name": "DB_PERF_SEL_quad_wr_coherency_stall", "value": 66}, - {"name": "DB_PERF_SEL_quad_wr_acks", "value": 67}, - {"name": "DB_PERF_SEL_mi_quad_wr_outstanding_sum", "value": 68}, - {"name": "DB_PERF_SEL_Tile_Cache_misses", "value": 69}, - {"name": "DB_PERF_SEL_Tile_Cache_hits", "value": 70}, - {"name": "DB_PERF_SEL_Tile_Cache_flushes", "value": 71}, - {"name": "DB_PERF_SEL_Tile_Cache_surface_stall", "value": 72}, - {"name": "DB_PERF_SEL_Tile_Cache_starves", "value": 73}, - {"name": "DB_PERF_SEL_Tile_Cache_mem_return_starve", "value": 74}, - {"name": "DB_PERF_SEL_tcp_dispatcher_reads", "value": 75}, - {"name": "DB_PERF_SEL_tcp_prefetcher_reads", "value": 76}, - {"name": "DB_PERF_SEL_tcp_preloader_reads", "value": 77}, - {"name": "DB_PERF_SEL_tcp_dispatcher_flushes", "value": 78}, - {"name": "DB_PERF_SEL_tcp_prefetcher_flushes", "value": 79}, - {"name": "DB_PERF_SEL_tcp_preloader_flushes", "value": 80}, - {"name": "DB_PERF_SEL_Depth_Tile_Cache_sends", "value": 81}, - {"name": "DB_PERF_SEL_Depth_Tile_Cache_busy", "value": 82}, - {"name": "DB_PERF_SEL_Depth_Tile_Cache_starves", "value": 83}, - {"name": "DB_PERF_SEL_Depth_Tile_Cache_dtile_locked", "value": 84}, - {"name": "DB_PERF_SEL_Depth_Tile_Cache_alloc_stall", "value": 85}, - {"name": "DB_PERF_SEL_Depth_Tile_Cache_misses", "value": 86}, - {"name": "DB_PERF_SEL_Depth_Tile_Cache_hits", "value": 87}, - {"name": "DB_PERF_SEL_Depth_Tile_Cache_flushes", "value": 88}, - {"name": "DB_PERF_SEL_Depth_Tile_Cache_noop_tile", "value": 89}, - {"name": "DB_PERF_SEL_Depth_Tile_Cache_detailed_noop", "value": 90}, - {"name": "DB_PERF_SEL_Depth_Tile_Cache_event", "value": 91}, - {"name": "DB_PERF_SEL_Depth_Tile_Cache_tile_frees", "value": 92}, - {"name": "DB_PERF_SEL_Depth_Tile_Cache_data_frees", "value": 93}, - {"name": "DB_PERF_SEL_Depth_Tile_Cache_mem_return_starve", "value": 94}, - {"name": "DB_PERF_SEL_Stencil_Cache_misses", "value": 95}, - {"name": "DB_PERF_SEL_Stencil_Cache_hits", "value": 96}, - {"name": "DB_PERF_SEL_Stencil_Cache_flushes", "value": 97}, - {"name": "DB_PERF_SEL_Stencil_Cache_starves", "value": 98}, - {"name": "DB_PERF_SEL_Stencil_Cache_frees", "value": 99}, - {"name": "DB_PERF_SEL_Z_Cache_separate_Z_misses", "value": 100}, - {"name": "DB_PERF_SEL_Z_Cache_separate_Z_hits", "value": 101}, - {"name": "DB_PERF_SEL_Z_Cache_separate_Z_flushes", "value": 102}, - {"name": "DB_PERF_SEL_Z_Cache_separate_Z_starves", "value": 103}, - {"name": "DB_PERF_SEL_Z_Cache_pmask_misses", "value": 104}, - {"name": "DB_PERF_SEL_Z_Cache_pmask_hits", "value": 105}, - {"name": "DB_PERF_SEL_Z_Cache_pmask_flushes", "value": 106}, - {"name": "DB_PERF_SEL_Z_Cache_pmask_starves", "value": 107}, - {"name": "DB_PERF_SEL_Z_Cache_frees", "value": 108}, - {"name": "DB_PERF_SEL_Plane_Cache_misses", "value": 109}, - {"name": "DB_PERF_SEL_Plane_Cache_hits", "value": 110}, - {"name": "DB_PERF_SEL_Plane_Cache_flushes", "value": 111}, - {"name": "DB_PERF_SEL_Plane_Cache_starves", "value": 112}, - {"name": "DB_PERF_SEL_Plane_Cache_frees", "value": 113}, - {"name": "DB_PERF_SEL_flush_expanded_stencil", "value": 114}, - {"name": "DB_PERF_SEL_flush_compressed_stencil", "value": 115}, - {"name": "DB_PERF_SEL_flush_single_stencil", "value": 116}, - {"name": "DB_PERF_SEL_planes_flushed", "value": 117}, - {"name": "DB_PERF_SEL_flush_1plane", "value": 118}, - {"name": "DB_PERF_SEL_flush_2plane", "value": 119}, - {"name": "DB_PERF_SEL_flush_3plane", "value": 120}, - {"name": "DB_PERF_SEL_flush_4plane", "value": 121}, - {"name": "DB_PERF_SEL_flush_5plane", "value": 122}, - {"name": "DB_PERF_SEL_flush_6plane", "value": 123}, - {"name": "DB_PERF_SEL_flush_7plane", "value": 124}, - {"name": "DB_PERF_SEL_flush_8plane", "value": 125}, - {"name": "DB_PERF_SEL_flush_9plane", "value": 126}, - {"name": "DB_PERF_SEL_flush_10plane", "value": 127}, - {"name": "DB_PERF_SEL_flush_11plane", "value": 128}, - {"name": "DB_PERF_SEL_flush_12plane", "value": 129}, - {"name": "DB_PERF_SEL_flush_13plane", "value": 130}, - {"name": "DB_PERF_SEL_flush_14plane", "value": 131}, - {"name": "DB_PERF_SEL_flush_15plane", "value": 132}, - {"name": "DB_PERF_SEL_flush_16plane", "value": 133}, - {"name": "DB_PERF_SEL_flush_expanded_z", "value": 134}, - {"name": "DB_PERF_SEL_earlyZ_waiting_for_postZ_done", "value": 135}, - {"name": "DB_PERF_SEL_reZ_waiting_for_postZ_done", "value": 136}, - {"name": "DB_PERF_SEL_dk_tile_sends", "value": 137}, - {"name": "DB_PERF_SEL_dk_tile_busy", "value": 138}, - {"name": "DB_PERF_SEL_dk_tile_quad_starves", "value": 139}, - {"name": "DB_PERF_SEL_dk_tile_stalls", "value": 140}, - {"name": "DB_PERF_SEL_dk_squad_sends", "value": 141}, - {"name": "DB_PERF_SEL_dk_squad_busy", "value": 142}, - {"name": "DB_PERF_SEL_dk_squad_stalls", "value": 143}, - {"name": "DB_PERF_SEL_Op_Pipe_Busy", "value": 144}, - {"name": "DB_PERF_SEL_Op_Pipe_MC_Read_stall", "value": 145}, - {"name": "DB_PERF_SEL_qc_busy", "value": 146}, - {"name": "DB_PERF_SEL_qc_xfc", "value": 147}, - {"name": "DB_PERF_SEL_qc_conflicts", "value": 148}, - {"name": "DB_PERF_SEL_qc_full_stall", "value": 149}, - {"name": "DB_PERF_SEL_qc_in_preZ_tile_stalls_postZ", "value": 150}, - {"name": "DB_PERF_SEL_qc_in_postZ_tile_stalls_preZ", "value": 151}, - {"name": "DB_PERF_SEL_tsc_insert_summarize_stall", "value": 152}, - {"name": "DB_PERF_SEL_tl_busy", "value": 153}, - {"name": "DB_PERF_SEL_tl_dtc_read_starved", "value": 154}, - {"name": "DB_PERF_SEL_tl_z_fetch_stall", "value": 155}, - {"name": "DB_PERF_SEL_tl_stencil_stall", "value": 156}, - {"name": "DB_PERF_SEL_tl_z_decompress_stall", "value": 157}, - {"name": "DB_PERF_SEL_tl_stencil_locked_stall", "value": 158}, - {"name": "DB_PERF_SEL_tl_events", "value": 159}, - {"name": "DB_PERF_SEL_tl_summarize_squads", "value": 160}, - {"name": "DB_PERF_SEL_tl_flush_expand_squads", "value": 161}, - {"name": "DB_PERF_SEL_tl_expand_squads", "value": 162}, - {"name": "DB_PERF_SEL_tl_preZ_squads", "value": 163}, - {"name": "DB_PERF_SEL_tl_postZ_squads", "value": 164}, - {"name": "DB_PERF_SEL_tl_preZ_noop_squads", "value": 165}, - {"name": "DB_PERF_SEL_tl_postZ_noop_squads", "value": 166}, - {"name": "DB_PERF_SEL_tl_tile_ops", "value": 167}, - {"name": "DB_PERF_SEL_tl_in_xfc", "value": 168}, - {"name": "DB_PERF_SEL_tl_in_single_stencil_expand_stall", "value": 169}, - {"name": "DB_PERF_SEL_tl_in_fast_z_stall", "value": 170}, - {"name": "DB_PERF_SEL_tl_out_xfc", "value": 171}, - {"name": "DB_PERF_SEL_tl_out_squads", "value": 172}, - {"name": "DB_PERF_SEL_zf_plane_multicycle", "value": 173}, - {"name": "DB_PERF_SEL_PostZ_Samples_passing_Z", "value": 174}, - {"name": "DB_PERF_SEL_PostZ_Samples_failing_Z", "value": 175}, - {"name": "DB_PERF_SEL_PostZ_Samples_failing_S", "value": 176}, - {"name": "DB_PERF_SEL_PreZ_Samples_passing_Z", "value": 177}, - {"name": "DB_PERF_SEL_PreZ_Samples_failing_Z", "value": 178}, - {"name": "DB_PERF_SEL_PreZ_Samples_failing_S", "value": 179}, - {"name": "DB_PERF_SEL_ts_tc_update_stall", "value": 180}, - {"name": "DB_PERF_SEL_sc_kick_start", "value": 181}, - {"name": "DB_PERF_SEL_sc_kick_end", "value": 182}, - {"name": "DB_PERF_SEL_clock_reg_active", "value": 183}, - {"name": "DB_PERF_SEL_clock_main_active", "value": 184}, - {"name": "DB_PERF_SEL_clock_mem_export_active", "value": 185}, - {"name": "DB_PERF_SEL_esr_ps_out_busy", "value": 186}, - {"name": "DB_PERF_SEL_esr_ps_lqf_busy", "value": 187}, - {"name": "DB_PERF_SEL_esr_ps_lqf_stall", "value": 188}, - {"name": "DB_PERF_SEL_etr_out_send", "value": 189}, - {"name": "DB_PERF_SEL_etr_out_busy", "value": 190}, - {"name": "DB_PERF_SEL_etr_out_ltile_probe_fifo_full_stall", "value": 191}, - {"name": "DB_PERF_SEL_etr_out_cb_tile_stall", "value": 192}, - {"name": "DB_PERF_SEL_etr_out_esr_stall", "value": 193}, - {"name": "DB_PERF_SEL_esr_ps_sqq_busy", "value": 194}, - {"name": "DB_PERF_SEL_esr_ps_sqq_stall", "value": 195}, - {"name": "DB_PERF_SEL_esr_eot_fwd_busy", "value": 196}, - {"name": "DB_PERF_SEL_esr_eot_fwd_holding_squad", "value": 197}, - {"name": "DB_PERF_SEL_esr_eot_fwd_forward", "value": 198}, - {"name": "DB_PERF_SEL_esr_sqq_zi_busy", "value": 199}, - {"name": "DB_PERF_SEL_esr_sqq_zi_stall", "value": 200}, - {"name": "DB_PERF_SEL_postzl_sq_pt_busy", "value": 201}, - {"name": "DB_PERF_SEL_postzl_sq_pt_stall", "value": 202}, - {"name": "DB_PERF_SEL_postzl_se_busy", "value": 203}, - {"name": "DB_PERF_SEL_postzl_se_stall", "value": 204}, - {"name": "DB_PERF_SEL_postzl_partial_launch", "value": 205}, - {"name": "DB_PERF_SEL_postzl_full_launch", "value": 206}, - {"name": "DB_PERF_SEL_postzl_partial_waiting", "value": 207}, - {"name": "DB_PERF_SEL_postzl_tile_mem_stall", "value": 208}, - {"name": "DB_PERF_SEL_postzl_tile_init_stall", "value": 209}, - {"name": "DB_PERF_SEL_prezl_tile_mem_stall", "value": 210}, - {"name": "DB_PERF_SEL_prezl_tile_init_stall", "value": 211}, - {"name": "DB_PERF_SEL_dtt_sm_clash_stall", "value": 212}, - {"name": "DB_PERF_SEL_dtt_sm_slot_stall", "value": 213}, - {"name": "DB_PERF_SEL_dtt_sm_miss_stall", "value": 214}, - {"name": "DB_PERF_SEL_mi_rdreq_busy", "value": 215}, - {"name": "DB_PERF_SEL_mi_rdreq_stall", "value": 216}, - {"name": "DB_PERF_SEL_mi_wrreq_busy", "value": 217}, - {"name": "DB_PERF_SEL_mi_wrreq_stall", "value": 218}, - {"name": "DB_PERF_SEL_recomp_tile_to_1zplane_no_fastop", "value": 219}, - {"name": "DB_PERF_SEL_dkg_tile_rate_tile", "value": 220}, - {"name": "DB_PERF_SEL_prezl_src_in_sends", "value": 221}, - {"name": "DB_PERF_SEL_prezl_src_in_stall", "value": 222}, - {"name": "DB_PERF_SEL_prezl_src_in_squads", "value": 223}, - {"name": "DB_PERF_SEL_prezl_src_in_squads_unrolled", "value": 224}, - {"name": "DB_PERF_SEL_prezl_src_in_tile_rate", "value": 225}, - {"name": "DB_PERF_SEL_prezl_src_in_tile_rate_unrolled", "value": 226}, - {"name": "DB_PERF_SEL_prezl_src_out_stall", "value": 227}, - {"name": "DB_PERF_SEL_postzl_src_in_sends", "value": 228}, - {"name": "DB_PERF_SEL_postzl_src_in_stall", "value": 229}, - {"name": "DB_PERF_SEL_postzl_src_in_squads", "value": 230}, - {"name": "DB_PERF_SEL_postzl_src_in_squads_unrolled", "value": 231}, - {"name": "DB_PERF_SEL_postzl_src_in_tile_rate", "value": 232}, - {"name": "DB_PERF_SEL_postzl_src_in_tile_rate_unrolled", "value": 233}, - {"name": "DB_PERF_SEL_postzl_src_out_stall", "value": 234}, - {"name": "DB_PERF_SEL_esr_ps_src_in_sends", "value": 235}, - {"name": "DB_PERF_SEL_esr_ps_src_in_stall", "value": 236}, - {"name": "DB_PERF_SEL_esr_ps_src_in_squads", "value": 237}, - {"name": "DB_PERF_SEL_esr_ps_src_in_squads_unrolled", "value": 238}, - {"name": "DB_PERF_SEL_esr_ps_src_in_tile_rate", "value": 239}, - {"name": "DB_PERF_SEL_esr_ps_src_in_tile_rate_unrolled", "value": 240}, - {"name": "DB_PERF_SEL_esr_ps_src_in_tile_rate_unrolled_to_pixel_rate", "value": 241}, - {"name": "DB_PERF_SEL_esr_ps_src_out_stall", "value": 242}, - {"name": "DB_PERF_SEL_depth_bounds_tile_culled", "value": 243}, - {"name": "DB_PERF_SEL_PreZ_Samples_failing_DB", "value": 244}, - {"name": "DB_PERF_SEL_PostZ_Samples_failing_DB", "value": 245}, - {"name": "DB_PERF_SEL_flush_compressed", "value": 246}, - {"name": "DB_PERF_SEL_flush_plane_le4", "value": 247}, - {"name": "DB_PERF_SEL_tiles_z_fully_summarized", "value": 248}, - {"name": "DB_PERF_SEL_tiles_stencil_fully_summarized", "value": 249}, - {"name": "DB_PERF_SEL_tiles_z_clear_on_expclear", "value": 250}, - {"name": "DB_PERF_SEL_tiles_s_clear_on_expclear", "value": 251}, - {"name": "DB_PERF_SEL_tiles_decomp_on_expclear", "value": 252}, - {"name": "DB_PERF_SEL_tiles_compressed_to_decompressed", "value": 253}, - {"name": "DB_PERF_SEL_Op_Pipe_Prez_Busy", "value": 254}, - {"name": "DB_PERF_SEL_Op_Pipe_Postz_Busy", "value": 255}, - {"name": "DB_PERF_SEL_di_dt_stall", "value": 256}, - {"name": "DB_PERF_SEL_DB_SC_quad_lit_quad_pre_invoke", "value": 257}, - {"name": "DB_PERF_SEL_DB_SC_s_tile_rate", "value": 258}, - {"name": "DB_PERF_SEL_DB_SC_c_tile_rate", "value": 259}, - {"name": "DB_PERF_SEL_DB_SC_z_tile_rate", "value": 260}, - {"name": "Spare_261", "value": 261}, - {"name": "DB_PERF_SEL_DB_CB_lquad_export_quads", "value": 262}, - {"name": "DB_PERF_SEL_DB_CB_lquad_double_format", "value": 263}, - {"name": "DB_PERF_SEL_DB_CB_lquad_fast_format", "value": 264}, - {"name": "DB_PERF_SEL_DB_CB_lquad_slow_format", "value": 265}, - {"name": "DB_PERF_SEL_CB_DB_rdreq_sends", "value": 266}, - {"name": "DB_PERF_SEL_CB_DB_rdreq_prt_sends", "value": 267}, - {"name": "DB_PERF_SEL_CB_DB_wrreq_sends", "value": 268}, - {"name": "DB_PERF_SEL_CB_DB_wrreq_prt_sends", "value": 269}, - {"name": "DB_PERF_SEL_DB_CB_rdret_ack", "value": 270}, - {"name": "DB_PERF_SEL_DB_CB_rdret_nack", "value": 271}, - {"name": "DB_PERF_SEL_DB_CB_wrret_ack", "value": 272}, - {"name": "DB_PERF_SEL_DB_CB_wrret_nack", "value": 273}, - {"name": "Spare_274", "value": 274}, - {"name": "DB_PERF_SEL_DFSM_Stall_opmode_change", "value": 275}, - {"name": "DB_PERF_SEL_DFSM_Stall_cam_fifo", "value": 276}, - {"name": "DB_PERF_SEL_DFSM_Stall_bypass_fifo", "value": 277}, - {"name": "DB_PERF_SEL_DFSM_Stall_retained_tile_fifo", "value": 278}, - {"name": "DB_PERF_SEL_DFSM_Stall_control_fifo", "value": 279}, - {"name": "DB_PERF_SEL_DFSM_Stall_overflow_counter", "value": 280}, - {"name": "DB_PERF_SEL_DFSM_Stall_pops_stall_overflow", "value": 281}, - {"name": "DB_PERF_SEL_DFSM_Stall_pops_stall_self_flush", "value": 282}, - {"name": "DB_PERF_SEL_DFSM_Stall_middle_output", "value": 283}, - {"name": "DB_PERF_SEL_DFSM_Stall_stalling_general", "value": 284}, - {"name": "Spare_285", "value": 285}, - {"name": "Spare_286", "value": 286}, - {"name": "DB_PERF_SEL_DFSM_prez_killed_squad", "value": 287}, - {"name": "DB_PERF_SEL_DFSM_squads_in", "value": 288}, - {"name": "DB_PERF_SEL_DFSM_full_cleared_squads_out", "value": 289}, - {"name": "DB_PERF_SEL_DFSM_quads_in", "value": 290}, - {"name": "DB_PERF_SEL_DFSM_fully_cleared_quads_out", "value": 291}, - {"name": "DB_PERF_SEL_DFSM_lit_pixels_in", "value": 292}, - {"name": "DB_PERF_SEL_DFSM_fully_cleared_pixels_out", "value": 293}, - {"name": "DB_PERF_SEL_DFSM_lit_samples_in", "value": 294}, - {"name": "DB_PERF_SEL_DFSM_lit_samples_out", "value": 295}, - {"name": "DB_PERF_SEL_DFSM_evicted_tiles_above_watermark", "value": 296}, - {"name": "DB_PERF_SEL_DFSM_cant_accept_squads_but_not_stalled_by_downstream", "value": 297}, - {"name": "DB_PERF_SEL_DFSM_stalled_by_downstream", "value": 298}, - {"name": "DB_PERF_SEL_DFSM_evicted_squads_above_watermark", "value": 299}, - {"name": "DB_PERF_SEL_DFSM_collisions_due_to_POPS_overflow", "value": 300}, - {"name": "DB_PERF_SEL_DFSM_collisions_detected_within_POPS_FIFO", "value": 301}, - {"name": "DB_PERF_SEL_DFSM_evicted_squads_due_to_prim_watermark", "value": 302}, - {"name": "DB_PERF_SEL_MI_tile_req_wrack_counter_stall", "value": 303}, - {"name": "DB_PERF_SEL_MI_quad_req_wrack_counter_stall", "value": 304}, - {"name": "DB_PERF_SEL_MI_zpc_req_wrack_counter_stall", "value": 305}, - {"name": "DB_PERF_SEL_MI_psd_req_wrack_counter_stall", "value": 306}, - {"name": "DB_PERF_SEL_unmapped_z_tile_culled", "value": 307}, - {"name": "DB_PERF_SEL_DB_CB_tile_is_event_FLUSH_AND_INV_DB_DATA_TS", "value": 308}, - {"name": "DB_PERF_SEL_DB_CB_tile_is_event_FLUSH_AND_INV_CB_PIXEL_DATA", "value": 309}, - {"name": "DB_PERF_SEL_DB_CB_tile_is_event_BOTTOM_OF_PIPE_TS", "value": 310}, - {"name": "DB_PERF_SEL_DB_CB_tile_waiting_for_perfcounter_stop_event", "value": 311}, - {"name": "DB_PERF_SEL_DB_CB_lquad_fmt_32bpp_8pix", "value": 312}, - {"name": "DB_PERF_SEL_DB_CB_lquad_fmt_16_16_unsigned_8pix", "value": 313}, - {"name": "DB_PERF_SEL_DB_CB_lquad_fmt_16_16_signed_8pix", "value": 314}, - {"name": "DB_PERF_SEL_DB_CB_lquad_fmt_16_16_float_8pix", "value": 315}, - {"name": "DB_PERF_SEL_DB_CB_lquad_num_pixels_need_blending", "value": 316}, - {"name": "DB_PERF_SEL_DB_CB_context_dones", "value": 317}, - {"name": "DB_PERF_SEL_DB_CB_eop_dones", "value": 318}, - {"name": "DB_PERF_SEL_SX_DB_quad_all_pixels_killed", "value": 319}, - {"name": "DB_PERF_SEL_SX_DB_quad_all_pixels_enabled", "value": 320}, - {"name": "DB_PERF_SEL_SX_DB_quad_need_blending_and_dst_read", "value": 321}, - {"name": "DB_PERF_SEL_SC_DB_tile_backface", "value": 322}, - {"name": "DB_PERF_SEL_SC_DB_quad_quads", "value": 323}, - {"name": "DB_PERF_SEL_DB_SC_quad_quads_with_1_pixel", "value": 324}, - {"name": "DB_PERF_SEL_DB_SC_quad_quads_with_2_pixels", "value": 325}, - {"name": "DB_PERF_SEL_DB_SC_quad_quads_with_3_pixels", "value": 326}, - {"name": "DB_PERF_SEL_DB_SC_quad_quads_with_4_pixels", "value": 327}, - {"name": "DB_PERF_SEL_DFSM_Flush_flushabit", "value": 328}, - {"name": "DB_PERF_SEL_DFSM_Flush_flushabit_camcoord_fifo", "value": 329}, - {"name": "DB_PERF_SEL_DFSM_Flush_flushabit_passthrough", "value": 330}, - {"name": "DB_PERF_SEL_DFSM_Flush_flushabit_forceflush", "value": 331}, - {"name": "DB_PERF_SEL_DFSM_Flush_flushabit_nearlyfull", "value": 332}, - {"name": "DB_PERF_SEL_DFSM_Flush_flushabit_primitivesinflightwatermark", "value": 333}, - {"name": "DB_PERF_SEL_DFSM_Flush_flushabit_punch_stalling", "value": 334}, - {"name": "DB_PERF_SEL_DFSM_Flush_flushabit_retainedtilefifo_watermark", "value": 335}, - {"name": "DB_PERF_SEL_DFSM_Flush_flushabit_tilesinflightwatermark", "value": 336}, - {"name": "DB_PERF_SEL_DFSM_Flush_flushall", "value": 337}, - {"name": "DB_PERF_SEL_DFSM_Flush_flushall_dfsmflush", "value": 338}, - {"name": "DB_PERF_SEL_DFSM_Flush_flushall_opmodechange", "value": 339}, - {"name": "DB_PERF_SEL_DFSM_Flush_flushall_sampleratechange", "value": 340}, - {"name": "DB_PERF_SEL_DFSM_Flush_flushall_watchdog", "value": 341}, - {"name": "DB_PERF_SEL_DB_SC_quad_double_quad", "value": 342}, - {"name": "DB_PERF_SEL_SX_DB_quad_export_quads", "value": 343}, - {"name": "DB_PERF_SEL_SX_DB_quad_double_format", "value": 344}, - {"name": "DB_PERF_SEL_SX_DB_quad_fast_format", "value": 345}, - {"name": "DB_PERF_SEL_SX_DB_quad_slow_format", "value": 346}, - {"name": "DB_PERF_SEL_quad_rd_sends_unc", "value": 347}, - {"name": "DB_PERF_SEL_quad_rd_mi_stall_unc", "value": 348}, - {"name": "DB_PERF_SEL_DFSM_OutputPunch", "value": 349}, - {"name": "DB_PERF_SEL_DFSM_OutputPops", "value": 350}, - {"name": "DB_PERF_SEL_DFSM_OutputFifo", "value": 351}, - {"name": "DB_PERF_SEL_DFSM_StallOpmodeChange", "value": 352}, - {"name": "DB_PERF_SEL_DFSM_StallCAMFifoFull", "value": 353}, - {"name": "DB_PERF_SEL_DFSM_StallBypassFifoFull", "value": 354}, - {"name": "DB_PERF_SEL_DFSM_StallRetainedTileFifoFull", "value": 355}, - {"name": "DB_PERF_SEL_DFSM_StallControlFifoFull", "value": 356}, - {"name": "DB_PERF_SEL_DFSM_StallControlCountFull", "value": 357}, - {"name": "DB_PERF_SEL_DFSM_StallOverflowMaximum", "value": 358}, - {"name": "DB_PERF_SEL_DFSM_StallPopsStallOverflow", "value": 359}, - {"name": "DB_PERF_SEL_DFSM_StallPopsStallSelfStall", "value": 360}, - {"name": "DB_PERF_SEL_DFSM_StallCamSlotFlush", "value": 361}, - {"name": "DB_PERF_SEL_DFSM_StallOutput", "value": 362}, - {"name": "DB_PERF_SEL_DFSM_WatchdogTrigger", "value": 363}, - {"name": "DB_PERF_SEL_DFSM_StallOnPOPSStall", "value": 364}, - {"name": "DB_PERF_SEL_SC_DB_tile_tiles_pipe0", "value": 365}, - {"name": "DB_PERF_SEL_SC_DB_tile_tiles_pipe1", "value": 366}, - {"name": "DB_PERF_SEL_SC_DB_quad_quads_pipe0", "value": 367}, - {"name": "DB_PERF_SEL_SC_DB_quad_quads_pipe1", "value": 368} + {"name": "ADDR_SURF_P2", "value": 0}, + {"name": "ADDR_SURF_P2_RESERVED0", "value": 1}, + {"name": "ADDR_SURF_P2_RESERVED1", "value": 2}, + {"name": "ADDR_SURF_P2_RESERVED2", "value": 3}, + {"name": "ADDR_SURF_P4_8x16", "value": 4}, + {"name": "ADDR_SURF_P4_16x16", "value": 5}, + {"name": "ADDR_SURF_P4_16x32", "value": 6}, + {"name": "ADDR_SURF_P4_32x32", "value": 7}, + {"name": "ADDR_SURF_P8_16x16_8x16", "value": 8}, + {"name": "ADDR_SURF_P8_16x32_8x16", "value": 9}, + {"name": "ADDR_SURF_P8_32x32_8x16", "value": 10}, + {"name": "ADDR_SURF_P8_16x32_16x16", "value": 11}, + {"name": "ADDR_SURF_P8_32x32_16x16", "value": 12}, + {"name": "ADDR_SURF_P8_32x32_16x32", "value": 13}, + {"name": "ADDR_SURF_P8_32x64_32x32", "value": 14}, + {"name": "ADDR_SURF_P8_RESERVED0", "value": 15}, + {"name": "ADDR_SURF_P16_32x32_8x16", "value": 16}, + {"name": "ADDR_SURF_P16_32x32_16x16", "value": 17}, + {"name": "ADDR_SURF_P16", "value": 18} ] }, "PkrMap": { @@ -3453,266 +409,48 @@ {"name": "RASTER_CONFIG_PKR_YSEL_3", "value": 3} ] }, - "RMIPerfSel": { + "QUANT_MODE": { + "entries": [ + {"name": "X_16_8_FIXED_POINT_1_16TH", "value": 0}, + {"name": "X_16_8_FIXED_POINT_1_8TH", "value": 1}, + {"name": "X_16_8_FIXED_POINT_1_4TH", "value": 2}, + {"name": "X_16_8_FIXED_POINT_1_2", "value": 3}, + {"name": "X_16_8_FIXED_POINT_1", "value": 4}, + {"name": "X_16_8_FIXED_POINT_1_256TH", "value": 5}, + {"name": "X_14_10_FIXED_POINT_1_1024TH", "value": 6}, + {"name": "X_12_12_FIXED_POINT_1_4096TH", "value": 7} + ] + }, + "ROP3": { "entries": [ - {"name": "RMI_PERF_SEL_NONE", "value": 0}, - {"name": "RMI_PERF_SEL_BUSY", "value": 1}, - {"name": "RMI_PERF_SEL_REG_CLK_VLD", "value": 2}, - {"name": "RMI_PERF_SEL_DYN_CLK_CMN_VLD", "value": 3}, - {"name": "RMI_PERF_SEL_DYN_CLK_RB_VLD", "value": 4}, - {"name": "RMI_PERF_SEL_DYN_CLK_PERF_VLD", "value": 5}, - {"name": "RMI_PERF_SEL_PERF_WINDOW", "value": 6}, - {"name": "RMI_PERF_SEL_EVENT_SEND", "value": 7}, - {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID0", "value": 8}, - {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID1", "value": 9}, - {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID2", "value": 10}, - {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID3", "value": 11}, - {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID4", "value": 12}, - {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID5", "value": 13}, - {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID6", "value": 14}, - {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID7", "value": 15}, - {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID8", "value": 16}, - {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID9", "value": 17}, - {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID10", "value": 18}, - {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID11", "value": 19}, - {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID12", "value": 20}, - {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID13", "value": 21}, - {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID14", "value": 22}, - {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID15", "value": 23}, - {"name": "RMI_PERF_SEL_RMI_INVALIDATION_ATC_REQ_VMID_ALL", "value": 24}, - {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID0", "value": 25}, - {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID1", "value": 26}, - {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID2", "value": 27}, - {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID3", "value": 28}, - {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID4", "value": 29}, - {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID5", "value": 30}, - {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID6", "value": 31}, - {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID7", "value": 32}, - {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID8", "value": 33}, - {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID9", "value": 34}, - {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID10", "value": 35}, - {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID11", "value": 36}, - {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID12", "value": 37}, - {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID13", "value": 38}, - {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID14", "value": 39}, - {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID15", "value": 40}, - {"name": "RMI_PERF_SEL_RMI_INVALIDATION_REQ_START_FINISH_VMID_ALL", "value": 41}, - {"name": "RMI_PERF_SEL_UTCL1_TRANSLATION_MISS", "value": 42}, - {"name": "RMI_PERF_SEL_UTCL1_PERMISSION_MISS", "value": 43}, - {"name": "RMI_PERF_SEL_UTCL1_TRANSLATION_HIT", "value": 44}, - {"name": "RMI_PERF_SEL_UTCL1_REQUEST", "value": 45}, - {"name": "RMI_PERF_SEL_UTCL1_STALL_INFLIGHT_MAX", "value": 46}, - {"name": "RMI_PERF_SEL_UTCL1_STALL_LRU_INFLIGHT", "value": 47}, - {"name": "RMI_PERF_SEL_UTCL1_LFIFO_FULL", "value": 48}, - {"name": "RMI_PERF_SEL_UTCL1_STALL_LFIFO_NOT_RES", "value": 49}, - {"name": "RMI_PERF_SEL_UTCL1_STALL_UTCL2_REQ_OUT_OF_CREDITS", "value": 50}, - {"name": "RMI_PERF_SEL_UTCL1_STALL_MISSFIFO_FULL", "value": 51}, - {"name": "RMI_PERF_SEL_UTCL1_HIT_FIFO_FULL", "value": 52}, - {"name": "RMI_PERF_SEL_UTCL1_STALL_MULTI_MISS", "value": 53}, - {"name": "RMI_PERF_SEL_RB_RMI_WRREQ_ALL_CID", "value": 54}, - {"name": "RMI_PERF_SEL_RB_RMI_WRREQ_TO_WRRET_BUSY", "value": 55}, - {"name": "RMI_PERF_SEL_RB_RMI_WRREQ_CID0", "value": 56}, - {"name": "RMI_PERF_SEL_RB_RMI_WRREQ_CID1", "value": 57}, - {"name": "RMI_PERF_SEL_RB_RMI_WRREQ_CID2", "value": 58}, - {"name": "RMI_PERF_SEL_RB_RMI_WRREQ_CID3", "value": 59}, - {"name": "RMI_PERF_SEL_RB_RMI_WRREQ_CID4", "value": 60}, - {"name": "RMI_PERF_SEL_RB_RMI_WRREQ_CID5", "value": 61}, - {"name": "RMI_PERF_SEL_RB_RMI_WRREQ_CID6", "value": 62}, - {"name": "RMI_PERF_SEL_RB_RMI_WRREQ_CID7", "value": 63}, - {"name": "RMI_PERF_SEL_RB_RMI_32BWRREQ_INFLIGHT_ALL_ORONE_CID", "value": 64}, - {"name": "RMI_PERF_SEL_RB_RMI_WRREQ_BURST_LENGTH_ALL_ORONE_CID", "value": 65}, - {"name": "RMI_PERF_SEL_RB_RMI_WRREQ_BURST_ALL_ORONE_CID", "value": 66}, - {"name": "RMI_PERF_SEL_RB_RMI_WRREQ_RESIDENCY", "value": 67}, - {"name": "RMI_PERF_SEL_RMI_RB_WRRET_VALID_ALL_CID", "value": 68}, - {"name": "RMI_PERF_SEL_RMI_RB_WRRET_VALID_CID0", "value": 69}, - {"name": "RMI_PERF_SEL_RMI_RB_WRRET_VALID_CID1", "value": 70}, - {"name": "RMI_PERF_SEL_RMI_RB_WRRET_VALID_CID2", "value": 71}, - {"name": "RMI_PERF_SEL_RMI_RB_WRRET_VALID_CID3", "value": 72}, - {"name": "RMI_PERF_SEL_RMI_RB_WRRET_VALID_CID4", "value": 73}, - {"name": "RMI_PERF_SEL_RMI_RB_WRRET_VALID_CID5", "value": 74}, - {"name": "RMI_PERF_SEL_RMI_RB_WRRET_VALID_CID6", "value": 75}, - {"name": "RMI_PERF_SEL_RMI_RB_WRRET_VALID_CID7", "value": 76}, - {"name": "RMI_PERF_SEL_RMI_RB_WRRET_VALID_NACK0", "value": 77}, - {"name": "RMI_PERF_SEL_RMI_RB_WRRET_VALID_NACK1", "value": 78}, - {"name": "RMI_PERF_SEL_RMI_RB_WRRET_VALID_NACK2", "value": 79}, - {"name": "RMI_PERF_SEL_RMI_RB_WRRET_VALID_NACK3", "value": 80}, - {"name": "RMI_PERF_SEL_RB_RMI_32BRDREQ_ALL_CID", "value": 81}, - {"name": "RMI_PERF_SEL_RB_RMI_RDREQ_ALL_CID", "value": 82}, - {"name": "RMI_PERF_SEL_RB_RMI_RDREQ_TO_RDRET_BUSY", "value": 83}, - {"name": "RMI_PERF_SEL_RB_RMI_32BRDREQ_CID0", "value": 84}, - {"name": "RMI_PERF_SEL_RB_RMI_32BRDREQ_CID1", "value": 85}, - {"name": "RMI_PERF_SEL_RB_RMI_32BRDREQ_CID2", "value": 86}, - {"name": "RMI_PERF_SEL_RB_RMI_32BRDREQ_CID3", "value": 87}, - {"name": "RMI_PERF_SEL_RB_RMI_32BRDREQ_CID4", "value": 88}, - {"name": "RMI_PERF_SEL_RB_RMI_32BRDREQ_CID5", "value": 89}, - {"name": "RMI_PERF_SEL_RB_RMI_32BRDREQ_CID6", "value": 90}, - {"name": "RMI_PERF_SEL_RB_RMI_32BRDREQ_CID7", "value": 91}, - {"name": "RMI_PERF_SEL_RB_RMI_RDREQ_CID0", "value": 92}, - {"name": "RMI_PERF_SEL_RB_RMI_RDREQ_CID1", "value": 93}, - {"name": "RMI_PERF_SEL_RB_RMI_RDREQ_CID2", "value": 94}, - {"name": "RMI_PERF_SEL_RB_RMI_RDREQ_CID3", "value": 95}, - {"name": "RMI_PERF_SEL_RB_RMI_RDREQ_CID4", "value": 96}, - {"name": "RMI_PERF_SEL_RB_RMI_RDREQ_CID5", "value": 97}, - {"name": "RMI_PERF_SEL_RB_RMI_RDREQ_CID6", "value": 98}, - {"name": "RMI_PERF_SEL_RB_RMI_RDREQ_CID7", "value": 99}, - {"name": "RMI_PERF_SEL_RB_RMI_32BRDREQ_INFLIGHT_ALL_ORONE_CID", "value": 100}, - {"name": "RMI_PERF_SEL_RB_RMI_RDREQ_BURST_LENGTH_ALL_ORONE_CID", "value": 101}, - {"name": "RMI_PERF_SEL_RB_RMI_RDREQ_BURST_ALL_ORONE_CID", "value": 102}, - {"name": "RMI_PERF_SEL_RB_RMI_RDREQ_RESIDENCY", "value": 103}, - {"name": "RMI_PERF_SEL_RMI_RB_32BRDRET_VALID_ALL_CID", "value": 104}, - {"name": "RMI_PERF_SEL_RMI_RB_32BRDRET_VALID_CID0", "value": 105}, - {"name": "RMI_PERF_SEL_RMI_RB_32BRDRET_VALID_CID1", "value": 106}, - {"name": "RMI_PERF_SEL_RMI_RB_32BRDRET_VALID_CID2", "value": 107}, - {"name": "RMI_PERF_SEL_RMI_RB_32BRDRET_VALID_CID3", "value": 108}, - {"name": "RMI_PERF_SEL_RMI_RB_32BRDRET_VALID_CID4", "value": 109}, - {"name": "RMI_PERF_SEL_RMI_RB_32BRDRET_VALID_CID5", "value": 110}, - {"name": "RMI_PERF_SEL_RMI_RB_32BRDRET_VALID_CID6", "value": 111}, - {"name": "RMI_PERF_SEL_RMI_RB_32BRDRET_VALID_CID7", "value": 112}, - {"name": "RMI_PERF_SEL_RMI_RB_32BRDRET_VALID_NACK0", "value": 113}, - {"name": "RMI_PERF_SEL_RMI_RB_32BRDRET_VALID_NACK1", "value": 114}, - {"name": "RMI_PERF_SEL_RMI_RB_32BRDRET_VALID_NACK2", "value": 115}, - {"name": "RMI_PERF_SEL_RMI_RB_32BRDRET_VALID_NACK3", "value": 116}, - {"name": "RMI_PERF_SEL_RB_RMI_WR_FIFO_MAX", "value": 117}, - {"name": "RMI_PERF_SEL_RB_RMI_WR_FIFO_EMPTY", "value": 118}, - {"name": "RMI_PERF_SEL_RB_RMI_WR_IDLE", "value": 119}, - {"name": "RMI_PERF_SEL_RB_RMI_WR_STARVE", "value": 120}, - {"name": "RMI_PERF_SEL_RB_RMI_WR_STALL", "value": 121}, - {"name": "RMI_PERF_SEL_RB_RMI_WR_BUSY", "value": 122}, - {"name": "RMI_PERF_SEL_RB_RMI_WR_INTF_BUSY", "value": 123}, - {"name": "RMI_PERF_SEL_RB_RMI_RD_FIFO_MAX", "value": 124}, - {"name": "RMI_PERF_SEL_RB_RMI_RD_FIFO_EMPTY", "value": 125}, - {"name": "RMI_PERF_SEL_RB_RMI_RD_IDLE", "value": 126}, - {"name": "RMI_PERF_SEL_RB_RMI_RD_STARVE", "value": 127}, - {"name": "RMI_PERF_SEL_RB_RMI_RD_STALL", "value": 128}, - {"name": "RMI_PERF_SEL_RB_RMI_RD_BUSY", "value": 129}, - {"name": "RMI_PERF_SEL_RB_RMI_RD_INTF_BUSY", "value": 130}, - {"name": "RMI_PERF_SEL_RMI_TC_64BWRREQ_ALL_ORONE_CID", "value": 131}, - {"name": "RMI_PERF_SEL_RMI_TC_64BRDREQ_ALL_ORONE_CID", "value": 132}, - {"name": "RMI_PERF_SEL_RMI_TC_WRREQ_ALL_CID", "value": 133}, - {"name": "RMI_PERF_SEL_RMI_TC_REQ_BUSY", "value": 134}, - {"name": "RMI_PERF_SEL_RMI_TC_WRREQ_CID0", "value": 135}, - {"name": "RMI_PERF_SEL_RMI_TC_WRREQ_CID1", "value": 136}, - {"name": "RMI_PERF_SEL_RMI_TC_WRREQ_CID2", "value": 137}, - {"name": "RMI_PERF_SEL_RMI_TC_WRREQ_CID3", "value": 138}, - {"name": "RMI_PERF_SEL_RMI_TC_WRREQ_CID4", "value": 139}, - {"name": "RMI_PERF_SEL_RMI_TC_WRREQ_CID5", "value": 140}, - {"name": "RMI_PERF_SEL_RMI_TC_WRREQ_CID6", "value": 141}, - {"name": "RMI_PERF_SEL_RMI_TC_WRREQ_CID7", "value": 142}, - {"name": "RMI_PERF_SEL_RMI_TC_WRREQ_INFLIGHT_ALL_CID", "value": 143}, - {"name": "RMI_PERF_SEL_TC_RMI_WRRET_VALID_ALL_CID", "value": 144}, - {"name": "RMI_PERF_SEL_RMI_TC_RDREQ_ALL_CID", "value": 145}, - {"name": "RMI_PERF_SEL_RMI_TC_RDREQ_CID0", "value": 146}, - {"name": "RMI_PERF_SEL_RMI_TC_RDREQ_CID1", "value": 147}, - {"name": "RMI_PERF_SEL_RMI_TC_RDREQ_CID2", "value": 148}, - {"name": "RMI_PERF_SEL_RMI_TC_RDREQ_CID3", "value": 149}, - {"name": "RMI_PERF_SEL_RMI_TC_RDREQ_CID4", "value": 150}, - {"name": "RMI_PERF_SEL_RMI_TC_RDREQ_CID5", "value": 151}, - {"name": "RMI_PERF_SEL_RMI_TC_RDREQ_CID6", "value": 152}, - {"name": "RMI_PERF_SEL_RMI_TC_RDREQ_CID7", "value": 153}, - {"name": "RMI_PERF_SEL_RMI_TC_STALL_RDREQ", "value": 154}, - {"name": "RMI_PERF_SEL_RMI_TC_STALL_WRREQ", "value": 155}, - {"name": "RMI_PERF_SEL_RMI_TC_STALL_ALLREQ", "value": 156}, - {"name": "RMI_PERF_SEL_RMI_TC_CREDIT_FULL_NO_PENDING_SEND", "value": 157}, - {"name": "RMI_PERF_SEL_RMI_TC_CREDIT_ZERO_PENDING_SEND", "value": 158}, - {"name": "RMI_PERF_SEL_RMI_TC_RDREQ_INFLIGHT_ALL_CID", "value": 159}, - {"name": "RMI_PERF_SEL_TC_RMI_RDRET_VALID_ALL_CID", "value": 160}, - {"name": "RMI_PERF_SEL_UTCL1_BUSY", "value": 161}, - {"name": "RMI_PERF_SEL_RMI_UTC_REQ", "value": 162}, - {"name": "RMI_PERF_SEL_RMI_UTC_BUSY", "value": 163}, - {"name": "RMI_PERF_SEL_UTCL1_UTCL2_REQ", "value": 164}, - {"name": "RMI_PERF_SEL_LEVEL_ADD_UTCL1_TO_UTCL2", "value": 165}, - {"name": "RMI_PERF_SEL_PROBE_UTCL1_XNACK_RETRY", "value": 166}, - {"name": "RMI_PERF_SEL_PROBE_UTCL1_ALL_FAULT", "value": 167}, - {"name": "RMI_PERF_SEL_PROBE_UTCL1_PRT_FAULT", "value": 168}, - {"name": "RMI_PERF_SEL_PROBE_UTCL1_VMID_BYPASS", "value": 169}, - {"name": "RMI_PERF_SEL_PROBE_UTCL1_XNACK_NORETRY_FAULT", "value": 170}, - {"name": "RMI_PERF_SEL_XNACK_FIFO_NUM_USED", "value": 171}, - {"name": "RMI_PERF_SEL_LAT_FIFO_NUM_USED", "value": 172}, - {"name": "RMI_PERF_SEL_LAT_FIFO_BLOCKING_REQ", "value": 173}, - {"name": "RMI_PERF_SEL_LAT_FIFO_NONBLOCKING_REQ", "value": 174}, - {"name": "RMI_PERF_SEL_XNACK_FIFO_FULL", "value": 175}, - {"name": "RMI_PERF_SEL_XNACK_FIFO_BUSY", "value": 176}, - {"name": "RMI_PERF_SEL_LAT_FIFO_FULL", "value": 177}, - {"name": "RMI_PERF_SEL_SKID_FIFO_DEPTH", "value": 178}, - {"name": "RMI_PERF_SEL_TCIW_INFLIGHT_COUNT", "value": 179}, - {"name": "RMI_PERF_SEL_PRT_FIFO_NUM_USED", "value": 180}, - {"name": "RMI_PERF_SEL_PRT_FIFO_REQ", "value": 181}, - {"name": "RMI_PERF_SEL_PRT_FIFO_BUSY", "value": 182}, - {"name": "RMI_PERF_SEL_TCIW_REQ", "value": 183}, - {"name": "RMI_PERF_SEL_TCIW_BUSY", "value": 184}, - {"name": "RMI_PERF_SEL_SKID_FIFO_REQ", "value": 185}, - {"name": "RMI_PERF_SEL_SKID_FIFO_BUSY", "value": 186}, - {"name": "RMI_PERF_SEL_DEMUX_TCIW_RESIDENCY_NACK0", "value": 187}, - {"name": "RMI_PERF_SEL_DEMUX_TCIW_RESIDENCY_NACK1", "value": 188}, - {"name": "RMI_PERF_SEL_DEMUX_TCIW_RESIDENCY_NACK2", "value": 189}, - {"name": "RMI_PERF_SEL_DEMUX_TCIW_RESIDENCY_NACK3", "value": 190}, - {"name": "RMI_PERF_SEL_XBAR_PROBEGEN_RTS_RTR", "value": 191}, - {"name": "RMI_PERF_SEL_XBAR_PROBEGEN_RTSB_RTR", "value": 192}, - {"name": "RMI_PERF_SEL_XBAR_PROBEGEN_RTS_RTRB", "value": 193}, - {"name": "RMI_PERF_SEL_XBAR_PROBEGEN_RTSB_RTRB", "value": 194}, - {"name": "RMI_PERF_SEL_DEMUX_TCIW_FORMATTER_RTS_RTR", "value": 195}, - {"name": "RMI_PERF_SEL_DEMUX_TCIW_FORMATTER_RTSB_RTR", "value": 196}, - {"name": "RMI_PERF_SEL_DEMUX_TCIW_FORMATTER_RTS_RTRB", "value": 197}, - {"name": "RMI_PERF_SEL_DEMUX_TCIW_FORMATTER_RTSB_RTRB", "value": 198}, - {"name": "RMI_PERF_SEL_WRREQCONSUMER_XBAR_WRREQ_RTS_RTR", "value": 199}, - {"name": "RMI_PERF_SEL_WRREQCONSUMER_XBAR_WRREQ_RTSB_RTR", "value": 200}, - {"name": "RMI_PERF_SEL_WRREQCONSUMER_XBAR_WRREQ_RTS_RTRB", "value": 201}, - {"name": "RMI_PERF_SEL_WRREQCONSUMER_XBAR_WRREQ_RTSB_RTRB", "value": 202}, - {"name": "RMI_PERF_SEL_RDREQCONSUMER_XBAR_RDREQ_RTS_RTR", "value": 203}, - {"name": "RMI_PERF_SEL_RDREQCONSUMER_XBAR_RDREQ_RTSB_RTR", "value": 204}, - {"name": "RMI_PERF_SEL_RDREQCONSUMER_XBAR_RDREQ_RTS_RTRB", "value": 205}, - {"name": "RMI_PERF_SEL_RDREQCONSUMER_XBAR_RDREQ_RTSB_RTRB", "value": 206}, - {"name": "RMI_PERF_SEL_POP_DEMUX_RTS_RTR", "value": 207}, - {"name": "RMI_PERF_SEL_POP_DEMUX_RTSB_RTR", "value": 208}, - {"name": "RMI_PERF_SEL_POP_DEMUX_RTS_RTRB", "value": 209}, - {"name": "RMI_PERF_SEL_POP_DEMUX_RTSB_RTRB", "value": 210}, - {"name": "RMI_PERF_SEL_PROBEGEN_UTC_RTS_RTR", "value": 211}, - {"name": "RMI_PERF_SEL_LEVEL_ADD_RMI_TO_UTC", "value": 212}, - {"name": "RMI_PERF_SEL_PROBEGEN_UTC_RTSB_RTR", "value": 213}, - {"name": "RMI_PERF_SEL_PROBEGEN_UTC_RTS_RTRB", "value": 214}, - {"name": "RMI_PERF_SEL_PROBEGEN_UTC_RTSB_RTRB", "value": 215}, - {"name": "RMI_PERF_SEL_UTC_POP_RTS_RTR", "value": 216}, - {"name": "RMI_PERF_SEL_UTC_POP_RTSB_RTR", "value": 217}, - {"name": "RMI_PERF_SEL_UTC_POP_RTS_RTRB", "value": 218}, - {"name": "RMI_PERF_SEL_UTC_POP_RTSB_RTRB", "value": 219}, - {"name": "RMI_PERF_SEL_POP_XNACK_RTS_RTR", "value": 220}, - {"name": "RMI_PERF_SEL_POP_XNACK_RTSB_RTR", "value": 221}, - {"name": "RMI_PERF_SEL_POP_XNACK_RTS_RTRB", "value": 222}, - {"name": "RMI_PERF_SEL_POP_XNACK_RTSB_RTRB", "value": 223}, - {"name": "RMI_PERF_SEL_XNACK_PROBEGEN_RTS_RTR", "value": 224}, - {"name": "RMI_PERF_SEL_XNACK_PROBEGEN_RTSB_RTR", "value": 225}, - {"name": "RMI_PERF_SEL_XNACK_PROBEGEN_RTS_RTRB", "value": 226}, - {"name": "RMI_PERF_SEL_XNACK_PROBEGEN_RTSB_RTRB", "value": 227}, - {"name": "RMI_PERF_SEL_PRTFIFO_RTNFORMATTER_RTS_RTR", "value": 228}, - {"name": "RMI_PERF_SEL_PRTFIFO_RTNFORMATTER_RTSB_RTR", "value": 229}, - {"name": "RMI_PERF_SEL_PRTFIFO_RTNFORMATTER_RTS_RTRB", "value": 230}, - {"name": "RMI_PERF_SEL_PRTFIFO_RTNFORMATTER_RTSB_RTRB", "value": 231}, - {"name": "RMI_PERF_SEL_SKID_FIFO_IN_RTS", "value": 232}, - {"name": "RMI_PERF_SEL_SKID_FIFO_IN_RTSB", "value": 233}, - {"name": "RMI_PERF_SEL_SKID_FIFO_OUT_RTS", "value": 234}, - {"name": "RMI_PERF_SEL_SKID_FIFO_OUT_RTSB", "value": 235}, - {"name": "RMI_PERF_SEL_XBAR_PROBEGEN_READ_RTS_RTR", "value": 236}, - {"name": "RMI_PERF_SEL_XBAR_PROBEGEN_WRITE_RTS_RTR", "value": 237}, - {"name": "RMI_PERF_SEL_XBAR_PROBEGEN_IN0_RTS_RTR", "value": 238}, - {"name": "RMI_PERF_SEL_XBAR_PROBEGEN_IN1_RTS_RTR", "value": 239}, - {"name": "RMI_PERF_SEL_XBAR_PROBEGEN_CB_RTS_RTR", "value": 240}, - {"name": "RMI_PERF_SEL_XBAR_PROBEGEN_DB_RTS_RTR", "value": 241}, - {"name": "RMI_PERF_SEL_REORDER_FIFO_REQ", "value": 242}, - {"name": "RMI_PERF_SEL_REORDER_FIFO_BUSY", "value": 243}, - {"name": "RMI_PERF_SEL_RMI_RB_EARLY_WRACK_ALL_CID", "value": 244}, - {"name": "RMI_PERF_SEL_RMI_RB_EARLY_WRACK_CID0", "value": 245}, - {"name": "RMI_PERF_SEL_RMI_RB_EARLY_WRACK_CID1", "value": 246}, - {"name": "RMI_PERF_SEL_RMI_RB_EARLY_WRACK_CID2", "value": 247}, - {"name": "RMI_PERF_SEL_RMI_RB_EARLY_WRACK_CID3", "value": 248}, - {"name": "RMI_PERF_SEL_RMI_RB_EARLY_WRACK_CID4", "value": 249}, - {"name": "RMI_PERF_SEL_RMI_RB_EARLY_WRACK_CID5", "value": 250}, - {"name": "RMI_PERF_SEL_RMI_RB_EARLY_WRACK_CID6", "value": 251}, - {"name": "RMI_PERF_SEL_RMI_RB_EARLY_WRACK_CID7", "value": 252}, - {"name": "RMI_PERF_SEL_RMI_RB_EARLY_WRACK_NACK0", "value": 253}, - {"name": "RMI_PERF_SEL_RMI_RB_EARLY_WRACK_NACK1", "value": 254}, - {"name": "RMI_PERF_SEL_RMI_RB_EARLY_WRACK_NACK2", "value": 255}, - {"name": "RMI_PERF_SEL_RMI_RB_EARLY_WRACK_NACK3", "value": 256}, - {"name": "RMI_PERF_SEL_UTCL0_UTCL1_PERM_FAULT", "value": 257} + {"name": "ROP3_CLEAR", "value": 0}, + {"name": "X_0X05", "value": 5}, + {"name": "X_0X0A", "value": 10}, + {"name": "X_0X0F", "value": 15}, + {"name": "ROP3_NOR", "value": 17}, + {"name": "ROP3_AND_INVERTED", "value": 34}, + {"name": "ROP3_COPY_INVERTED", "value": 51}, + {"name": "ROP3_AND_REVERSE", "value": 68}, + {"name": "X_0X50", "value": 80}, + {"name": "ROP3_INVERT", "value": 85}, + {"name": "X_0X5A", "value": 90}, + {"name": "X_0X5F", "value": 95}, + {"name": "ROP3_XOR", "value": 102}, + {"name": "ROP3_NAND", "value": 119}, + {"name": "ROP3_AND", "value": 136}, + {"name": "ROP3_EQUIVALENT", "value": 153}, + {"name": "X_0XA0", "value": 160}, + {"name": "X_0XA5", "value": 165}, + {"name": "ROP3_NO_OP", "value": 170}, + {"name": "X_0XAF", "value": 175}, + {"name": "ROP3_OR_INVERTED", "value": 187}, + {"name": "ROP3_COPY", "value": 204}, + {"name": "ROP3_OR_REVERSE", "value": 221}, + {"name": "ROP3_OR", "value": 238}, + {"name": "X_0XF0", "value": 240}, + {"name": "X_0XF5", "value": 245}, + {"name": "X_0XFA", "value": 250}, + {"name": "ROP3_SET", "value": 255} ] }, "RbMap": { @@ -3743,838 +481,12 @@ {"name": "RASTER_CONFIG_RB_YSEL_1", "value": 1} ] }, - "SC_PERFCNT_SEL": { - "entries": [ - {"name": "SC_SRPS_WINDOW_VALID", "value": 0}, - {"name": "SC_PSSW_WINDOW_VALID", "value": 1}, - {"name": "SC_TPQZ_WINDOW_VALID", "value": 2}, - {"name": "SC_QZQP_WINDOW_VALID", "value": 3}, - {"name": "SC_TRPK_WINDOW_VALID", "value": 4}, - {"name": "SC_SRPS_WINDOW_VALID_BUSY", "value": 5}, - {"name": "SC_PSSW_WINDOW_VALID_BUSY", "value": 6}, - {"name": "SC_TPQZ_WINDOW_VALID_BUSY", "value": 7}, - {"name": "SC_QZQP_WINDOW_VALID_BUSY", "value": 8}, - {"name": "SC_TRPK_WINDOW_VALID_BUSY", "value": 9}, - {"name": "SC_STARVED_BY_PA", "value": 10}, - {"name": "SC_STALLED_BY_PRIMFIFO", "value": 11}, - {"name": "SC_STALLED_BY_DB_TILE", "value": 12}, - {"name": "SC_STARVED_BY_DB_TILE", "value": 13}, - {"name": "SC_STALLED_BY_TILEORDERFIFO", "value": 14}, - {"name": "SC_STALLED_BY_TILEFIFO", "value": 15}, - {"name": "SC_STALLED_BY_DB_QUAD", "value": 16}, - {"name": "SC_STARVED_BY_DB_QUAD", "value": 17}, - {"name": "SC_STALLED_BY_QUADFIFO", "value": 18}, - {"name": "SC_STALLED_BY_BCI", "value": 19}, - {"name": "SC_STALLED_BY_SPI", "value": 20}, - {"name": "SC_SCISSOR_DISCARD", "value": 21}, - {"name": "SC_BB_DISCARD", "value": 22}, - {"name": "SC_SUPERTILE_COUNT", "value": 23}, - {"name": "SC_SUPERTILE_PER_PRIM_H0", "value": 24}, - {"name": "SC_SUPERTILE_PER_PRIM_H1", "value": 25}, - {"name": "SC_SUPERTILE_PER_PRIM_H2", "value": 26}, - {"name": "SC_SUPERTILE_PER_PRIM_H3", "value": 27}, - {"name": "SC_SUPERTILE_PER_PRIM_H4", "value": 28}, - {"name": "SC_SUPERTILE_PER_PRIM_H5", "value": 29}, - {"name": "SC_SUPERTILE_PER_PRIM_H6", "value": 30}, - {"name": "SC_SUPERTILE_PER_PRIM_H7", "value": 31}, - {"name": "SC_SUPERTILE_PER_PRIM_H8", "value": 32}, - {"name": "SC_SUPERTILE_PER_PRIM_H9", "value": 33}, - {"name": "SC_SUPERTILE_PER_PRIM_H10", "value": 34}, - {"name": "SC_SUPERTILE_PER_PRIM_H11", "value": 35}, - {"name": "SC_SUPERTILE_PER_PRIM_H12", "value": 36}, - {"name": "SC_SUPERTILE_PER_PRIM_H13", "value": 37}, - {"name": "SC_SUPERTILE_PER_PRIM_H14", "value": 38}, - {"name": "SC_SUPERTILE_PER_PRIM_H15", "value": 39}, - {"name": "SC_SUPERTILE_PER_PRIM_H16", "value": 40}, - {"name": "SC_TILE_PER_PRIM_H0", "value": 41}, - {"name": "SC_TILE_PER_PRIM_H1", "value": 42}, - {"name": "SC_TILE_PER_PRIM_H2", "value": 43}, - {"name": "SC_TILE_PER_PRIM_H3", "value": 44}, - {"name": "SC_TILE_PER_PRIM_H4", "value": 45}, - {"name": "SC_TILE_PER_PRIM_H5", "value": 46}, - {"name": "SC_TILE_PER_PRIM_H6", "value": 47}, - {"name": "SC_TILE_PER_PRIM_H7", "value": 48}, - {"name": "SC_TILE_PER_PRIM_H8", "value": 49}, - {"name": "SC_TILE_PER_PRIM_H9", "value": 50}, - {"name": "SC_TILE_PER_PRIM_H10", "value": 51}, - {"name": "SC_TILE_PER_PRIM_H11", "value": 52}, - {"name": "SC_TILE_PER_PRIM_H12", "value": 53}, - {"name": "SC_TILE_PER_PRIM_H13", "value": 54}, - {"name": "SC_TILE_PER_PRIM_H14", "value": 55}, - {"name": "SC_TILE_PER_PRIM_H15", "value": 56}, - {"name": "SC_TILE_PER_PRIM_H16", "value": 57}, - {"name": "SC_TILE_PER_SUPERTILE_H0", "value": 58}, - {"name": "SC_TILE_PER_SUPERTILE_H1", "value": 59}, - {"name": "SC_TILE_PER_SUPERTILE_H2", "value": 60}, - {"name": "SC_TILE_PER_SUPERTILE_H3", "value": 61}, - {"name": "SC_TILE_PER_SUPERTILE_H4", "value": 62}, - {"name": "SC_TILE_PER_SUPERTILE_H5", "value": 63}, - {"name": "SC_TILE_PER_SUPERTILE_H6", "value": 64}, - {"name": "SC_TILE_PER_SUPERTILE_H7", "value": 65}, - {"name": "SC_TILE_PER_SUPERTILE_H8", "value": 66}, - {"name": "SC_TILE_PER_SUPERTILE_H9", "value": 67}, - {"name": "SC_TILE_PER_SUPERTILE_H10", "value": 68}, - {"name": "SC_TILE_PER_SUPERTILE_H11", "value": 69}, - {"name": "SC_TILE_PER_SUPERTILE_H12", "value": 70}, - {"name": "SC_TILE_PER_SUPERTILE_H13", "value": 71}, - {"name": "SC_TILE_PER_SUPERTILE_H14", "value": 72}, - {"name": "SC_TILE_PER_SUPERTILE_H15", "value": 73}, - {"name": "SC_TILE_PER_SUPERTILE_H16", "value": 74}, - {"name": "SC_TILE_PICKED_H1", "value": 75}, - {"name": "SC_TILE_PICKED_H2", "value": 76}, - {"name": "SC_TILE_PICKED_H3", "value": 77}, - {"name": "SC_TILE_PICKED_H4", "value": 78}, - {"name": "SC_QZ0_TILE_COUNT", "value": 79}, - {"name": "SC_QZ1_TILE_COUNT", "value": 80}, - {"name": "SC_QZ2_TILE_COUNT", "value": 81}, - {"name": "SC_QZ3_TILE_COUNT", "value": 82}, - {"name": "SC_QZ0_TILE_COVERED_COUNT", "value": 83}, - {"name": "SC_QZ1_TILE_COVERED_COUNT", "value": 84}, - {"name": "SC_QZ2_TILE_COVERED_COUNT", "value": 85}, - {"name": "SC_QZ3_TILE_COVERED_COUNT", "value": 86}, - {"name": "SC_QZ0_TILE_NOT_COVERED_COUNT", "value": 87}, - {"name": "SC_QZ1_TILE_NOT_COVERED_COUNT", "value": 88}, - {"name": "SC_QZ2_TILE_NOT_COVERED_COUNT", "value": 89}, - {"name": "SC_QZ3_TILE_NOT_COVERED_COUNT", "value": 90}, - {"name": "SC_QZ0_QUAD_PER_TILE_H0", "value": 91}, - {"name": "SC_QZ0_QUAD_PER_TILE_H1", "value": 92}, - {"name": "SC_QZ0_QUAD_PER_TILE_H2", "value": 93}, - {"name": "SC_QZ0_QUAD_PER_TILE_H3", "value": 94}, - {"name": "SC_QZ0_QUAD_PER_TILE_H4", "value": 95}, - {"name": "SC_QZ0_QUAD_PER_TILE_H5", "value": 96}, - {"name": "SC_QZ0_QUAD_PER_TILE_H6", "value": 97}, - {"name": "SC_QZ0_QUAD_PER_TILE_H7", "value": 98}, - {"name": "SC_QZ0_QUAD_PER_TILE_H8", "value": 99}, - {"name": "SC_QZ0_QUAD_PER_TILE_H9", "value": 100}, - {"name": "SC_QZ0_QUAD_PER_TILE_H10", "value": 101}, - {"name": "SC_QZ0_QUAD_PER_TILE_H11", "value": 102}, - {"name": "SC_QZ0_QUAD_PER_TILE_H12", "value": 103}, - {"name": "SC_QZ0_QUAD_PER_TILE_H13", "value": 104}, - {"name": "SC_QZ0_QUAD_PER_TILE_H14", "value": 105}, - {"name": "SC_QZ0_QUAD_PER_TILE_H15", "value": 106}, - {"name": "SC_QZ0_QUAD_PER_TILE_H16", "value": 107}, - {"name": "SC_QZ1_QUAD_PER_TILE_H0", "value": 108}, - {"name": "SC_QZ1_QUAD_PER_TILE_H1", "value": 109}, - {"name": "SC_QZ1_QUAD_PER_TILE_H2", "value": 110}, - {"name": "SC_QZ1_QUAD_PER_TILE_H3", "value": 111}, - {"name": "SC_QZ1_QUAD_PER_TILE_H4", "value": 112}, - {"name": "SC_QZ1_QUAD_PER_TILE_H5", "value": 113}, - {"name": "SC_QZ1_QUAD_PER_TILE_H6", "value": 114}, - {"name": "SC_QZ1_QUAD_PER_TILE_H7", "value": 115}, - {"name": "SC_QZ1_QUAD_PER_TILE_H8", "value": 116}, - {"name": "SC_QZ1_QUAD_PER_TILE_H9", "value": 117}, - {"name": "SC_QZ1_QUAD_PER_TILE_H10", "value": 118}, - {"name": "SC_QZ1_QUAD_PER_TILE_H11", "value": 119}, - {"name": "SC_QZ1_QUAD_PER_TILE_H12", "value": 120}, - {"name": "SC_QZ1_QUAD_PER_TILE_H13", "value": 121}, - {"name": "SC_QZ1_QUAD_PER_TILE_H14", "value": 122}, - {"name": "SC_QZ1_QUAD_PER_TILE_H15", "value": 123}, - {"name": "SC_QZ1_QUAD_PER_TILE_H16", "value": 124}, - {"name": "SC_QZ2_QUAD_PER_TILE_H0", "value": 125}, - {"name": "SC_QZ2_QUAD_PER_TILE_H1", "value": 126}, - {"name": "SC_QZ2_QUAD_PER_TILE_H2", "value": 127}, - {"name": "SC_QZ2_QUAD_PER_TILE_H3", "value": 128}, - {"name": "SC_QZ2_QUAD_PER_TILE_H4", "value": 129}, - {"name": "SC_QZ2_QUAD_PER_TILE_H5", "value": 130}, - {"name": "SC_QZ2_QUAD_PER_TILE_H6", "value": 131}, - {"name": "SC_QZ2_QUAD_PER_TILE_H7", "value": 132}, - {"name": "SC_QZ2_QUAD_PER_TILE_H8", "value": 133}, - {"name": "SC_QZ2_QUAD_PER_TILE_H9", "value": 134}, - {"name": "SC_QZ2_QUAD_PER_TILE_H10", "value": 135}, - {"name": "SC_QZ2_QUAD_PER_TILE_H11", "value": 136}, - {"name": "SC_QZ2_QUAD_PER_TILE_H12", "value": 137}, - {"name": "SC_QZ2_QUAD_PER_TILE_H13", "value": 138}, - {"name": "SC_QZ2_QUAD_PER_TILE_H14", "value": 139}, - {"name": "SC_QZ2_QUAD_PER_TILE_H15", "value": 140}, - {"name": "SC_QZ2_QUAD_PER_TILE_H16", "value": 141}, - {"name": "SC_QZ3_QUAD_PER_TILE_H0", "value": 142}, - {"name": "SC_QZ3_QUAD_PER_TILE_H1", "value": 143}, - {"name": "SC_QZ3_QUAD_PER_TILE_H2", "value": 144}, - {"name": "SC_QZ3_QUAD_PER_TILE_H3", "value": 145}, - {"name": "SC_QZ3_QUAD_PER_TILE_H4", "value": 146}, - {"name": "SC_QZ3_QUAD_PER_TILE_H5", "value": 147}, - {"name": "SC_QZ3_QUAD_PER_TILE_H6", "value": 148}, - {"name": "SC_QZ3_QUAD_PER_TILE_H7", "value": 149}, - {"name": "SC_QZ3_QUAD_PER_TILE_H8", "value": 150}, - {"name": "SC_QZ3_QUAD_PER_TILE_H9", "value": 151}, - {"name": "SC_QZ3_QUAD_PER_TILE_H10", "value": 152}, - {"name": "SC_QZ3_QUAD_PER_TILE_H11", "value": 153}, - {"name": "SC_QZ3_QUAD_PER_TILE_H12", "value": 154}, - {"name": "SC_QZ3_QUAD_PER_TILE_H13", "value": 155}, - {"name": "SC_QZ3_QUAD_PER_TILE_H14", "value": 156}, - {"name": "SC_QZ3_QUAD_PER_TILE_H15", "value": 157}, - {"name": "SC_QZ3_QUAD_PER_TILE_H16", "value": 158}, - {"name": "SC_QZ0_QUAD_COUNT", "value": 159}, - {"name": "SC_QZ1_QUAD_COUNT", "value": 160}, - {"name": "SC_QZ2_QUAD_COUNT", "value": 161}, - {"name": "SC_QZ3_QUAD_COUNT", "value": 162}, - {"name": "SC_P0_HIZ_TILE_COUNT", "value": 163}, - {"name": "SC_P1_HIZ_TILE_COUNT", "value": 164}, - {"name": "SC_P2_HIZ_TILE_COUNT", "value": 165}, - {"name": "SC_P3_HIZ_TILE_COUNT", "value": 166}, - {"name": "SC_P0_HIZ_QUAD_PER_TILE_H0", "value": 167}, - {"name": "SC_P0_HIZ_QUAD_PER_TILE_H1", "value": 168}, - {"name": "SC_P0_HIZ_QUAD_PER_TILE_H2", "value": 169}, - {"name": "SC_P0_HIZ_QUAD_PER_TILE_H3", "value": 170}, - {"name": "SC_P0_HIZ_QUAD_PER_TILE_H4", "value": 171}, - {"name": "SC_P0_HIZ_QUAD_PER_TILE_H5", "value": 172}, - {"name": "SC_P0_HIZ_QUAD_PER_TILE_H6", "value": 173}, - {"name": "SC_P0_HIZ_QUAD_PER_TILE_H7", "value": 174}, - {"name": "SC_P0_HIZ_QUAD_PER_TILE_H8", "value": 175}, - {"name": "SC_P0_HIZ_QUAD_PER_TILE_H9", "value": 176}, - {"name": "SC_P0_HIZ_QUAD_PER_TILE_H10", "value": 177}, - {"name": "SC_P0_HIZ_QUAD_PER_TILE_H11", "value": 178}, - {"name": "SC_P0_HIZ_QUAD_PER_TILE_H12", "value": 179}, - {"name": "SC_P0_HIZ_QUAD_PER_TILE_H13", "value": 180}, - {"name": "SC_P0_HIZ_QUAD_PER_TILE_H14", "value": 181}, - {"name": "SC_P0_HIZ_QUAD_PER_TILE_H15", "value": 182}, - {"name": "SC_P0_HIZ_QUAD_PER_TILE_H16", "value": 183}, - {"name": "SC_P1_HIZ_QUAD_PER_TILE_H0", "value": 184}, - {"name": "SC_P1_HIZ_QUAD_PER_TILE_H1", "value": 185}, - {"name": "SC_P1_HIZ_QUAD_PER_TILE_H2", "value": 186}, - {"name": "SC_P1_HIZ_QUAD_PER_TILE_H3", "value": 187}, - {"name": "SC_P1_HIZ_QUAD_PER_TILE_H4", "value": 188}, - {"name": "SC_P1_HIZ_QUAD_PER_TILE_H5", "value": 189}, - {"name": "SC_P1_HIZ_QUAD_PER_TILE_H6", "value": 190}, - {"name": "SC_P1_HIZ_QUAD_PER_TILE_H7", "value": 191}, - {"name": "SC_P1_HIZ_QUAD_PER_TILE_H8", "value": 192}, - {"name": "SC_P1_HIZ_QUAD_PER_TILE_H9", "value": 193}, - {"name": "SC_P1_HIZ_QUAD_PER_TILE_H10", "value": 194}, - {"name": "SC_P1_HIZ_QUAD_PER_TILE_H11", "value": 195}, - {"name": "SC_P1_HIZ_QUAD_PER_TILE_H12", "value": 196}, - {"name": "SC_P1_HIZ_QUAD_PER_TILE_H13", "value": 197}, - {"name": "SC_P1_HIZ_QUAD_PER_TILE_H14", "value": 198}, - {"name": "SC_P1_HIZ_QUAD_PER_TILE_H15", "value": 199}, - {"name": "SC_P1_HIZ_QUAD_PER_TILE_H16", "value": 200}, - {"name": "SC_P2_HIZ_QUAD_PER_TILE_H0", "value": 201}, - {"name": "SC_P2_HIZ_QUAD_PER_TILE_H1", "value": 202}, - {"name": "SC_P2_HIZ_QUAD_PER_TILE_H2", "value": 203}, - {"name": "SC_P2_HIZ_QUAD_PER_TILE_H3", "value": 204}, - {"name": "SC_P2_HIZ_QUAD_PER_TILE_H4", "value": 205}, - {"name": "SC_P2_HIZ_QUAD_PER_TILE_H5", "value": 206}, - {"name": "SC_P2_HIZ_QUAD_PER_TILE_H6", "value": 207}, - {"name": "SC_P2_HIZ_QUAD_PER_TILE_H7", "value": 208}, - {"name": "SC_P2_HIZ_QUAD_PER_TILE_H8", "value": 209}, - {"name": "SC_P2_HIZ_QUAD_PER_TILE_H9", "value": 210}, - {"name": "SC_P2_HIZ_QUAD_PER_TILE_H10", "value": 211}, - {"name": "SC_P2_HIZ_QUAD_PER_TILE_H11", "value": 212}, - {"name": "SC_P2_HIZ_QUAD_PER_TILE_H12", "value": 213}, - {"name": "SC_P2_HIZ_QUAD_PER_TILE_H13", "value": 214}, - {"name": "SC_P2_HIZ_QUAD_PER_TILE_H14", "value": 215}, - {"name": "SC_P2_HIZ_QUAD_PER_TILE_H15", "value": 216}, - {"name": "SC_P2_HIZ_QUAD_PER_TILE_H16", "value": 217}, - {"name": "SC_P3_HIZ_QUAD_PER_TILE_H0", "value": 218}, - {"name": "SC_P3_HIZ_QUAD_PER_TILE_H1", "value": 219}, - {"name": "SC_P3_HIZ_QUAD_PER_TILE_H2", "value": 220}, - {"name": "SC_P3_HIZ_QUAD_PER_TILE_H3", "value": 221}, - {"name": "SC_P3_HIZ_QUAD_PER_TILE_H4", "value": 222}, - {"name": "SC_P3_HIZ_QUAD_PER_TILE_H5", "value": 223}, - {"name": "SC_P3_HIZ_QUAD_PER_TILE_H6", "value": 224}, - {"name": "SC_P3_HIZ_QUAD_PER_TILE_H7", "value": 225}, - {"name": "SC_P3_HIZ_QUAD_PER_TILE_H8", "value": 226}, - {"name": "SC_P3_HIZ_QUAD_PER_TILE_H9", "value": 227}, - {"name": "SC_P3_HIZ_QUAD_PER_TILE_H10", "value": 228}, - {"name": "SC_P3_HIZ_QUAD_PER_TILE_H11", "value": 229}, - {"name": "SC_P3_HIZ_QUAD_PER_TILE_H12", "value": 230}, - {"name": "SC_P3_HIZ_QUAD_PER_TILE_H13", "value": 231}, - {"name": "SC_P3_HIZ_QUAD_PER_TILE_H14", "value": 232}, - {"name": "SC_P3_HIZ_QUAD_PER_TILE_H15", "value": 233}, - {"name": "SC_P3_HIZ_QUAD_PER_TILE_H16", "value": 234}, - {"name": "SC_P0_HIZ_QUAD_COUNT", "value": 235}, - {"name": "SC_P1_HIZ_QUAD_COUNT", "value": 236}, - {"name": "SC_P2_HIZ_QUAD_COUNT", "value": 237}, - {"name": "SC_P3_HIZ_QUAD_COUNT", "value": 238}, - {"name": "SC_P0_DETAIL_QUAD_COUNT", "value": 239}, - {"name": "SC_P1_DETAIL_QUAD_COUNT", "value": 240}, - {"name": "SC_P2_DETAIL_QUAD_COUNT", "value": 241}, - {"name": "SC_P3_DETAIL_QUAD_COUNT", "value": 242}, - {"name": "SC_P0_DETAIL_QUAD_WITH_1_PIX", "value": 243}, - {"name": "SC_P0_DETAIL_QUAD_WITH_2_PIX", "value": 244}, - {"name": "SC_P0_DETAIL_QUAD_WITH_3_PIX", "value": 245}, - {"name": "SC_P0_DETAIL_QUAD_WITH_4_PIX", "value": 246}, - {"name": "SC_P1_DETAIL_QUAD_WITH_1_PIX", "value": 247}, - {"name": "SC_P1_DETAIL_QUAD_WITH_2_PIX", "value": 248}, - {"name": "SC_P1_DETAIL_QUAD_WITH_3_PIX", "value": 249}, - {"name": "SC_P1_DETAIL_QUAD_WITH_4_PIX", "value": 250}, - {"name": "SC_P2_DETAIL_QUAD_WITH_1_PIX", "value": 251}, - {"name": "SC_P2_DETAIL_QUAD_WITH_2_PIX", "value": 252}, - {"name": "SC_P2_DETAIL_QUAD_WITH_3_PIX", "value": 253}, - {"name": "SC_P2_DETAIL_QUAD_WITH_4_PIX", "value": 254}, - {"name": "SC_P3_DETAIL_QUAD_WITH_1_PIX", "value": 255}, - {"name": "SC_P3_DETAIL_QUAD_WITH_2_PIX", "value": 256}, - {"name": "SC_P3_DETAIL_QUAD_WITH_3_PIX", "value": 257}, - {"name": "SC_P3_DETAIL_QUAD_WITH_4_PIX", "value": 258}, - {"name": "SC_EARLYZ_QUAD_COUNT", "value": 259}, - {"name": "SC_EARLYZ_QUAD_WITH_1_PIX", "value": 260}, - {"name": "SC_EARLYZ_QUAD_WITH_2_PIX", "value": 261}, - {"name": "SC_EARLYZ_QUAD_WITH_3_PIX", "value": 262}, - {"name": "SC_EARLYZ_QUAD_WITH_4_PIX", "value": 263}, - {"name": "SC_PKR_QUAD_PER_ROW_H1", "value": 264}, - {"name": "SC_PKR_QUAD_PER_ROW_H2", "value": 265}, - {"name": "SC_PKR_4X2_QUAD_SPLIT", "value": 266}, - {"name": "SC_PKR_4X2_FILL_QUAD", "value": 267}, - {"name": "SC_PKR_END_OF_VECTOR", "value": 268}, - {"name": "SC_PKR_CONTROL_XFER", "value": 269}, - {"name": "SC_PKR_DBHANG_FORCE_EOV", "value": 270}, - {"name": "SC_REG_SCLK_BUSY", "value": 271}, - {"name": "SC_GRP0_DYN_SCLK_BUSY", "value": 272}, - {"name": "SC_GRP1_DYN_SCLK_BUSY", "value": 273}, - {"name": "SC_GRP2_DYN_SCLK_BUSY", "value": 274}, - {"name": "SC_GRP3_DYN_SCLK_BUSY", "value": 275}, - {"name": "SC_GRP4_DYN_SCLK_BUSY", "value": 276}, - {"name": "SC_PA0_SC_DATA_FIFO_RD", "value": 277}, - {"name": "SC_PA0_SC_DATA_FIFO_WE", "value": 278}, - {"name": "SC_PA1_SC_DATA_FIFO_RD", "value": 279}, - {"name": "SC_PA1_SC_DATA_FIFO_WE", "value": 280}, - {"name": "SC_PS_ARB_XFC_ALL_EVENT_OR_PRIM_CYCLES", "value": 281}, - {"name": "SC_PS_ARB_XFC_ONLY_PRIM_CYCLES", "value": 282}, - {"name": "SC_PS_ARB_XFC_ONLY_ONE_INC_PER_PRIM", "value": 283}, - {"name": "SC_PS_ARB_STALLED_FROM_BELOW", "value": 284}, - {"name": "SC_PS_ARB_STARVED_FROM_ABOVE", "value": 285}, - {"name": "SC_PS_ARB_SC_BUSY", "value": 286}, - {"name": "SC_PS_ARB_PA_SC_BUSY", "value": 287}, - {"name": "SC_PA2_SC_DATA_FIFO_RD", "value": 288}, - {"name": "SC_PA2_SC_DATA_FIFO_WE", "value": 289}, - {"name": "SC_PA3_SC_DATA_FIFO_RD", "value": 290}, - {"name": "SC_PA3_SC_DATA_FIFO_WE", "value": 291}, - {"name": "SC_PA_SC_DEALLOC_0_0_WE", "value": 292}, - {"name": "SC_PA_SC_DEALLOC_0_1_WE", "value": 293}, - {"name": "SC_PA_SC_DEALLOC_1_0_WE", "value": 294}, - {"name": "SC_PA_SC_DEALLOC_1_1_WE", "value": 295}, - {"name": "SC_PA_SC_DEALLOC_2_0_WE", "value": 296}, - {"name": "SC_PA_SC_DEALLOC_2_1_WE", "value": 297}, - {"name": "SC_PA_SC_DEALLOC_3_0_WE", "value": 298}, - {"name": "SC_PA_SC_DEALLOC_3_1_WE", "value": 299}, - {"name": "SC_PA0_SC_EOP_WE", "value": 300}, - {"name": "SC_PA0_SC_EOPG_WE", "value": 301}, - {"name": "SC_PA0_SC_EVENT_WE", "value": 302}, - {"name": "SC_PA1_SC_EOP_WE", "value": 303}, - {"name": "SC_PA1_SC_EOPG_WE", "value": 304}, - {"name": "SC_PA1_SC_EVENT_WE", "value": 305}, - {"name": "SC_PA2_SC_EOP_WE", "value": 306}, - {"name": "SC_PA2_SC_EOPG_WE", "value": 307}, - {"name": "SC_PA2_SC_EVENT_WE", "value": 308}, - {"name": "SC_PA3_SC_EOP_WE", "value": 309}, - {"name": "SC_PA3_SC_EOPG_WE", "value": 310}, - {"name": "SC_PA3_SC_EVENT_WE", "value": 311}, - {"name": "SC_PS_ARB_OOO_THRESHOLD_SWITCH_TO_DESIRED_FIFO", "value": 312}, - {"name": "SC_PS_ARB_OOO_FIFO_EMPTY_SWITCH", "value": 313}, - {"name": "SC_PS_ARB_NULL_PRIM_BUBBLE_POP", "value": 314}, - {"name": "SC_PS_ARB_EOP_POP_SYNC_POP", "value": 315}, - {"name": "SC_PS_ARB_EVENT_SYNC_POP", "value": 316}, - {"name": "SC_SC_PS_ENG_MULTICYCLE_BUBBLE", "value": 317}, - {"name": "SC_PA0_SC_FPOV_WE", "value": 318}, - {"name": "SC_PA1_SC_FPOV_WE", "value": 319}, - {"name": "SC_PA2_SC_FPOV_WE", "value": 320}, - {"name": "SC_PA3_SC_FPOV_WE", "value": 321}, - {"name": "SC_PA0_SC_LPOV_WE", "value": 322}, - {"name": "SC_PA1_SC_LPOV_WE", "value": 323}, - {"name": "SC_PA2_SC_LPOV_WE", "value": 324}, - {"name": "SC_PA3_SC_LPOV_WE", "value": 325}, - {"name": "SC_SC_SPI_DEALLOC_0_0", "value": 326}, - {"name": "SC_SC_SPI_DEALLOC_0_1", "value": 327}, - {"name": "SC_SC_SPI_DEALLOC_0_2", "value": 328}, - {"name": "SC_SC_SPI_DEALLOC_1_0", "value": 329}, - {"name": "SC_SC_SPI_DEALLOC_1_1", "value": 330}, - {"name": "SC_SC_SPI_DEALLOC_1_2", "value": 331}, - {"name": "SC_SC_SPI_DEALLOC_2_0", "value": 332}, - {"name": "SC_SC_SPI_DEALLOC_2_1", "value": 333}, - {"name": "SC_SC_SPI_DEALLOC_2_2", "value": 334}, - {"name": "SC_SC_SPI_DEALLOC_3_0", "value": 335}, - {"name": "SC_SC_SPI_DEALLOC_3_1", "value": 336}, - {"name": "SC_SC_SPI_DEALLOC_3_2", "value": 337}, - {"name": "SC_SC_SPI_FPOV_0", "value": 338}, - {"name": "SC_SC_SPI_FPOV_1", "value": 339}, - {"name": "SC_SC_SPI_FPOV_2", "value": 340}, - {"name": "SC_SC_SPI_FPOV_3", "value": 341}, - {"name": "SC_SC_SPI_EVENT", "value": 342}, - {"name": "SC_PS_TS_EVENT_FIFO_PUSH", "value": 343}, - {"name": "SC_PS_TS_EVENT_FIFO_POP", "value": 344}, - {"name": "SC_PS_CTX_DONE_FIFO_PUSH", "value": 345}, - {"name": "SC_PS_CTX_DONE_FIFO_POP", "value": 346}, - {"name": "SC_MULTICYCLE_BUBBLE_FREEZE", "value": 347}, - {"name": "SC_EOP_SYNC_WINDOW", "value": 348}, - {"name": "SC_PA0_SC_NULL_WE", "value": 349}, - {"name": "SC_PA0_SC_NULL_DEALLOC_WE", "value": 350}, - {"name": "SC_PA0_SC_DATA_FIFO_EOPG_RD", "value": 351}, - {"name": "SC_PA0_SC_DATA_FIFO_EOP_RD", "value": 352}, - {"name": "SC_PA0_SC_DEALLOC_0_RD", "value": 353}, - {"name": "SC_PA0_SC_DEALLOC_1_RD", "value": 354}, - {"name": "SC_PA1_SC_DATA_FIFO_EOPG_RD", "value": 355}, - {"name": "SC_PA1_SC_DATA_FIFO_EOP_RD", "value": 356}, - {"name": "SC_PA1_SC_DEALLOC_0_RD", "value": 357}, - {"name": "SC_PA1_SC_DEALLOC_1_RD", "value": 358}, - {"name": "SC_PA1_SC_NULL_WE", "value": 359}, - {"name": "SC_PA1_SC_NULL_DEALLOC_WE", "value": 360}, - {"name": "SC_PA2_SC_DATA_FIFO_EOPG_RD", "value": 361}, - {"name": "SC_PA2_SC_DATA_FIFO_EOP_RD", "value": 362}, - {"name": "SC_PA2_SC_DEALLOC_0_RD", "value": 363}, - {"name": "SC_PA2_SC_DEALLOC_1_RD", "value": 364}, - {"name": "SC_PA2_SC_NULL_WE", "value": 365}, - {"name": "SC_PA2_SC_NULL_DEALLOC_WE", "value": 366}, - {"name": "SC_PA3_SC_DATA_FIFO_EOPG_RD", "value": 367}, - {"name": "SC_PA3_SC_DATA_FIFO_EOP_RD", "value": 368}, - {"name": "SC_PA3_SC_DEALLOC_0_RD", "value": 369}, - {"name": "SC_PA3_SC_DEALLOC_1_RD", "value": 370}, - {"name": "SC_PA3_SC_NULL_WE", "value": 371}, - {"name": "SC_PA3_SC_NULL_DEALLOC_WE", "value": 372}, - {"name": "SC_PS_PA0_SC_FIFO_EMPTY", "value": 373}, - {"name": "SC_PS_PA0_SC_FIFO_FULL", "value": 374}, - {"name": "SC_RESERVED_0", "value": 375}, - {"name": "SC_PS_PA1_SC_FIFO_EMPTY", "value": 376}, - {"name": "SC_PS_PA1_SC_FIFO_FULL", "value": 377}, - {"name": "SC_RESERVED_1", "value": 378}, - {"name": "SC_PS_PA2_SC_FIFO_EMPTY", "value": 379}, - {"name": "SC_PS_PA2_SC_FIFO_FULL", "value": 380}, - {"name": "SC_RESERVED_2", "value": 381}, - {"name": "SC_PS_PA3_SC_FIFO_EMPTY", "value": 382}, - {"name": "SC_PS_PA3_SC_FIFO_FULL", "value": 383}, - {"name": "SC_RESERVED_3", "value": 384}, - {"name": "SC_BUSY_PROCESSING_MULTICYCLE_PRIM", "value": 385}, - {"name": "SC_BUSY_CNT_NOT_ZERO", "value": 386}, - {"name": "SC_BM_BUSY", "value": 387}, - {"name": "SC_BACKEND_BUSY", "value": 388}, - {"name": "SC_SCF_SCB_INTERFACE_BUSY", "value": 389}, - {"name": "SC_SCB_BUSY", "value": 390}, - {"name": "SC_STARVED_BY_PA_WITH_UNSELECTED_PA_NOT_EMPTY", "value": 391}, - {"name": "SC_STARVED_BY_PA_WITH_UNSELECTED_PA_FULL", "value": 392}, - {"name": "SC_PBB_BIN_HIST_NUM_PRIMS", "value": 393}, - {"name": "SC_PBB_BATCH_HIST_NUM_PRIMS", "value": 394}, - {"name": "SC_PBB_BIN_HIST_NUM_CONTEXTS", "value": 395}, - {"name": "SC_PBB_BATCH_HIST_NUM_CONTEXTS", "value": 396}, - {"name": "SC_PBB_BIN_HIST_NUM_PERSISTENT_STATES", "value": 397}, - {"name": "SC_PBB_BATCH_HIST_NUM_PERSISTENT_STATES", "value": 398}, - {"name": "SC_PBB_BATCH_HIST_NUM_PS_WAVE_BREAKS", "value": 399}, - {"name": "SC_PBB_BATCH_HIST_NUM_TRIV_REJECTED_PRIMS", "value": 400}, - {"name": "SC_PBB_BATCH_HIST_NUM_ROWS_PER_PRIM", "value": 401}, - {"name": "SC_PBB_BATCH_HIST_NUM_COLUMNS_PER_ROW", "value": 402}, - {"name": "SC_PBB_BUSY", "value": 403}, - {"name": "SC_PBB_BUSY_AND_NO_SENDS", "value": 404}, - {"name": "SC_PBB_STALLS_PA_DUE_TO_NO_TILES", "value": 405}, - {"name": "SC_PBB_NUM_BINS", "value": 406}, - {"name": "SC_PBB_END_OF_BIN", "value": 407}, - {"name": "SC_PBB_END_OF_BATCH", "value": 408}, - {"name": "SC_PBB_PRIMBIN_PROCESSED", "value": 409}, - {"name": "SC_PBB_PRIM_ADDED_TO_BATCH", "value": 410}, - {"name": "SC_PBB_NONBINNED_PRIM", "value": 411}, - {"name": "SC_PBB_TOTAL_REAL_PRIMS_OUT_OF_PBB", "value": 412}, - {"name": "SC_PBB_TOTAL_NULL_PRIMS_OUT_OF_PBB", "value": 413}, - {"name": "SC_PBB_IDLE_CLK_DUE_TO_ROW_TO_COLUMN_TRANSITION", "value": 414}, - {"name": "SC_PBB_IDLE_CLK_DUE_TO_FALSE_POSITIVE_ON_ROW", "value": 415}, - {"name": "SC_PBB_IDLE_CLK_DUE_TO_FALSE_POSITIVE_ON_COLUMN", "value": 416}, - {"name": "SC_PBB_BATCH_BREAK_DUE_TO_PERSISTENT_STATE", "value": 417}, - {"name": "SC_PBB_BATCH_BREAK_DUE_TO_CONTEXT_STATE", "value": 418}, - {"name": "SC_PBB_BATCH_BREAK_DUE_TO_PRIM", "value": 419}, - {"name": "SC_PBB_BATCH_BREAK_DUE_TO_PC_STORAGE", "value": 420}, - {"name": "SC_PBB_BATCH_BREAK_DUE_TO_EVENT", "value": 421}, - {"name": "SC_PBB_BATCH_BREAK_DUE_TO_FPOV_LIMIT", "value": 422}, - {"name": "SC_POPS_INTRA_WAVE_OVERLAPS", "value": 423}, - {"name": "SC_POPS_FORCE_EOV", "value": 424}, - {"name": "SC_PKR_QUAD_OVLP_NOT_FOUND_IN_WAVE_TABLE_AND_WAVES_SINCE_OVLP_SET_TO_MAX", "value": 425}, - {"name": "SC_PKR_QUAD_OVLP_NOT_FOUND_IN_WAVE_TABLE_AND_NO_CHANGE_TO_WAVES_SINCE_OVLP", "value": 426}, - {"name": "SC_PKR_QUAD_OVLP_FOUND_IN_WAVE_TABLE", "value": 427}, - {"name": "SC_FULL_FULL_QUAD", "value": 428}, - {"name": "SC_FULL_HALF_QUAD", "value": 429}, - {"name": "SC_FULL_QTR_QUAD", "value": 430}, - {"name": "SC_HALF_FULL_QUAD", "value": 431}, - {"name": "SC_HALF_HALF_QUAD", "value": 432}, - {"name": "SC_HALF_QTR_QUAD", "value": 433}, - {"name": "SC_QTR_FULL_QUAD", "value": 434}, - {"name": "SC_QTR_HALF_QUAD", "value": 435}, - {"name": "SC_QTR_QTR_QUAD", "value": 436}, - {"name": "SC_GRP5_DYN_SCLK_BUSY", "value": 437}, - {"name": "SC_GRP6_DYN_SCLK_BUSY", "value": 438}, - {"name": "SC_GRP7_DYN_SCLK_BUSY", "value": 439}, - {"name": "SC_GRP8_DYN_SCLK_BUSY", "value": 440}, - {"name": "SC_GRP9_DYN_SCLK_BUSY", "value": 441}, - {"name": "SC_PS_TO_BE_SCLK_GATE_STALL", "value": 442}, - {"name": "SC_PA_TO_PBB_SCLK_GATE_STALL_STALL", "value": 443}, - {"name": "SC_PK_BUSY", "value": 444}, - {"name": "SC_PK_MAX_DEALLOC_FORCE_EOV", "value": 445}, - {"name": "SC_PK_DEALLOC_WAVE_BREAK", "value": 446}, - {"name": "SC_SPI_SEND", "value": 447}, - {"name": "SC_SPI_CREDIT_AT_ZERO_WITH_PENDING_SEND", "value": 448}, - {"name": "SC_SPI_CREDIT_AT_MAX", "value": 449}, - {"name": "SC_SPI_CREDIT_AT_MAX_NO_PENDING_SEND", "value": 450}, - {"name": "SC_BCI_SEND", "value": 451}, - {"name": "SC_BCI_CREDIT_AT_ZERO_WITH_PENDING_SEND", "value": 452}, - {"name": "SC_BCI_CREDIT_AT_MAX", "value": 453}, - {"name": "SC_BCI_CREDIT_AT_MAX_NO_PENDING_SEND", "value": 454}, - {"name": "SC_SPIBC_FULL_FREEZE", "value": 455}, - {"name": "SC_PW_BM_PASS_EMPTY_PRIM", "value": 456}, - {"name": "SC_SUPERTILE_COUNT_EXCLUDE_PASS_EMPTY_PRIM", "value": 457}, - {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H0", "value": 458}, - {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H1", "value": 459}, - {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H2", "value": 460}, - {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H3", "value": 461}, - {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H4", "value": 462}, - {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H5", "value": 463}, - {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H6", "value": 464}, - {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H7", "value": 465}, - {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H8", "value": 466}, - {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H9", "value": 467}, - {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H10", "value": 468}, - {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H11", "value": 469}, - {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H12", "value": 470}, - {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H13", "value": 471}, - {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H14", "value": 472}, - {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H15", "value": 473}, - {"name": "SC_SUPERTILE_PER_PRIM_EXCLUDE_PASS_EMPTY_PRIM_H16", "value": 474}, - {"name": "SC_DB0_TILE_INTERFACE_BUSY", "value": 475}, - {"name": "SC_DB0_TILE_INTERFACE_SEND", "value": 476}, - {"name": "SC_DB0_TILE_INTERFACE_SEND_EVENT", "value": 477}, - {"name": "SC_DB0_TILE_INTERFACE_SEND_SOP_ONLY_EVENT", "value": 478}, - {"name": "SC_DB0_TILE_INTERFACE_SEND_SOP", "value": 479}, - {"name": "SC_DB0_TILE_INTERFACE_CREDIT_AT_ZERO_WITH_PENDING_SEND", "value": 480}, - {"name": "SC_DB0_TILE_INTERFACE_CREDIT_AT_MAX", "value": 481}, - {"name": "SC_DB0_TILE_INTERFACE_CREDIT_AT_MAX_WITH_NO_PENDING_SEND", "value": 482}, - {"name": "SC_DB1_TILE_INTERFACE_BUSY", "value": 483}, - {"name": "SC_DB1_TILE_INTERFACE_SEND", "value": 484}, - {"name": "SC_DB1_TILE_INTERFACE_SEND_EVENT", "value": 485}, - {"name": "SC_DB1_TILE_INTERFACE_SEND_SOP_ONLY_EVENT", "value": 486}, - {"name": "SC_DB1_TILE_INTERFACE_SEND_SOP", "value": 487}, - {"name": "SC_DB1_TILE_INTERFACE_CREDIT_AT_ZERO_WITH_PENDING_SEND", "value": 488}, - {"name": "SC_DB1_TILE_INTERFACE_CREDIT_AT_MAX", "value": 489}, - {"name": "SC_DB1_TILE_INTERFACE_CREDIT_AT_MAX_WITH_NO_PENDING_SEND", "value": 490}, - {"name": "SC_BACKEND_PRIM_FIFO_FULL", "value": 491}, - {"name": "SC_PBB_BATCH_BREAK_DUE_TO_TIMEOUT_COUNTER", "value": 492}, - {"name": "SC_PBB_BATCH_BREAK_DUE_TO_NONBINNED_BATCH", "value": 493}, - {"name": "SC_PBB_BATCH_BREAK_DUE_TO_DEBUG_DATA_PER_DRAW_DISPATCH", "value": 494}, - {"name": "SC_PBB_BATCH_BREAK_DUE_TO_OVERRIDE_REGISTER_PERSISTENT", "value": 495}, - {"name": "SC_PBB_BATCH_BREAK_DUE_TO_OVERRIDE_REGISTER_CONTEXT", "value": 496}, - {"name": "SC_PBB_BATCH_BREAK_DUE_TO_OVERRIDE_REGISTER_FPOV", "value": 497}, - {"name": "SC_PBB_BATCH_BREAK_DUE_TO_NEW_SC_MODE", "value": 498}, - {"name": "SC_PBB_BATCH_BREAK_DUE_TO_BINNING_MODE_CHANGE", "value": 499}, - {"name": "SC_PBB_BATCH_BREAK_DUE_TO_PIPELINE_EVENT_COUNT", "value": 500}, - {"name": "SC_PBB_BATCH_BREAK_DUE_TO_PIPE_RESET", "value": 501}, - {"name": "SC_PBB_BATCH_BREAK_DUE_TO_GFX_PIPE_CHANGE", "value": 502}, - {"name": "SC_STALLED_BY_DB0_TILEFIFO", "value": 503}, - {"name": "SC_DB0_QUAD_INTF_SEND", "value": 504}, - {"name": "SC_DB0_QUAD_INTF_BUSY", "value": 505}, - {"name": "SC_DB0_QUAD_INTF_STALLED_BY_DB", "value": 506}, - {"name": "SC_DB0_QUAD_INTF_CREDIT_AT_MAX", "value": 507}, - {"name": "SC_DB0_QUAD_INTF_IDLE", "value": 508}, - {"name": "SC_DB1_QUAD_INTF_SEND", "value": 509}, - {"name": "SC_STALLED_BY_DB1_TILEFIFO", "value": 510}, - {"name": "SC_DB1_QUAD_INTF_BUSY", "value": 511}, - {"name": "SC_DB1_QUAD_INTF_STALLED_BY_DB", "value": 512}, - {"name": "SC_DB1_QUAD_INTF_CREDIT_AT_MAX", "value": 513}, - {"name": "SC_DB1_QUAD_INTF_IDLE", "value": 514}, - {"name": "SC_PKR_WAVE_BREAK_OUTSIDE_REGION", "value": 515}, - {"name": "SC_PKR_WAVE_BREAK_FULL_TILE", "value": 516} - ] - }, - "SPI_LB_WAVES_SELECT": { - "entries": [ - {"name": "HS_GS", "value": 0}, - {"name": "VS_PS", "value": 1}, - {"name": "CS_NA", "value": 2}, - {"name": "SPI_LB_WAVES_RSVD", "value": 3} - ] - }, - "SPI_PERFCNT_SEL": { + "ReadPolicy": { "entries": [ - {"name": "SPI_PERF_VS_WINDOW_VALID", "value": 0}, - {"name": "SPI_PERF_VS_BUSY", "value": 1}, - {"name": "SPI_PERF_VS_FIRST_WAVE", "value": 2}, - {"name": "SPI_PERF_VS_LAST_WAVE", "value": 3}, - {"name": "SPI_PERF_VS_LSHS_DEALLOC", "value": 4}, - {"name": "SPI_PERF_VS_POS0_STALL", "value": 5}, - {"name": "SPI_PERF_VS_POS1_STALL", "value": 6}, - {"name": "SPI_PERF_VS_CRAWLER_STALL", "value": 7}, - {"name": "SPI_PERF_VS_EVENT_WAVE", "value": 8}, - {"name": "SPI_PERF_VS_WAVE", "value": 9}, - {"name": "SPI_PERF_VS_PERS_UPD_FULL0", "value": 10}, - {"name": "SPI_PERF_VS_PERS_UPD_FULL1", "value": 11}, - {"name": "SPI_PERF_VS_LATE_ALLOC_FULL", "value": 12}, - {"name": "SPI_PERF_VS_FIRST_SUBGRP", "value": 13}, - {"name": "SPI_PERF_VS_LAST_SUBGRP", "value": 14}, - {"name": "SPI_PERF_VS_ALLOC_CNT", "value": 15}, - {"name": "SPI_PERF_VS_LATE_ALLOC_ACCUM", "value": 16}, - {"name": "SPI_PERF_GS_WINDOW_VALID", "value": 17}, - {"name": "SPI_PERF_GS_BUSY", "value": 18}, - {"name": "SPI_PERF_GS_CRAWLER_STALL", "value": 19}, - {"name": "SPI_PERF_GS_EVENT_WAVE", "value": 20}, - {"name": "SPI_PERF_GS_WAVE", "value": 21}, - {"name": "SPI_PERF_GS_PERS_UPD_FULL0", "value": 22}, - {"name": "SPI_PERF_GS_PERS_UPD_FULL1", "value": 23}, - {"name": "SPI_PERF_GS_FIRST_SUBGRP", "value": 24}, - {"name": "SPI_PERF_GS_LAST_SUBGRP", "value": 25}, - {"name": "SPI_PERF_GS_HS_DEALLOC", "value": 26}, - {"name": "SPI_PERF_GS_NGG_SE_LATE_ALLOC_LIMIT", "value": 27}, - {"name": "SPI_PERF_GS_GRP_FIFO_FULL", "value": 28}, - {"name": "SPI_PERF_GS_POS0_STALL", "value": 29}, - {"name": "SPI_PERF_GS_POS1_STALL", "value": 30}, - {"name": "SPI_PERF_GS_INDX0_STALL", "value": 31}, - {"name": "SPI_PERF_GS_INDX1_STALL", "value": 32}, - {"name": "SPI_PERF_HS_WINDOW_VALID", "value": 33}, - {"name": "SPI_PERF_HS_BUSY", "value": 34}, - {"name": "SPI_PERF_HS_CRAWLER_STALL", "value": 35}, - {"name": "SPI_PERF_HS_FIRST_WAVE", "value": 36}, - {"name": "SPI_PERF_HS_LAST_WAVE", "value": 37}, - {"name": "SPI_PERF_HS_LSHS_DEALLOC", "value": 38}, - {"name": "SPI_PERF_HS_OFFCHIP_LDS_STALL", "value": 39}, - {"name": "SPI_PERF_HS_EVENT_WAVE", "value": 40}, - {"name": "SPI_PERF_HS_WAVE", "value": 41}, - {"name": "SPI_PERF_HS_PERS_UPD_FULL0", "value": 42}, - {"name": "SPI_PERF_HS_PERS_UPD_FULL1", "value": 43}, - {"name": "SPI_PERF_CSG_WINDOW_VALID", "value": 44}, - {"name": "SPI_PERF_CSG_BUSY", "value": 45}, - {"name": "SPI_PERF_CSG_NUM_THREADGROUPS", "value": 46}, - {"name": "SPI_PERF_CSG_CRAWLER_STALL", "value": 47}, - {"name": "SPI_PERF_CSG_EVENT_WAVE", "value": 48}, - {"name": "SPI_PERF_CSG_WAVE", "value": 49}, - {"name": "SPI_PERF_CSN_WINDOW_VALID", "value": 50}, - {"name": "SPI_PERF_CSN_BUSY", "value": 51}, - {"name": "SPI_PERF_CSN_NUM_THREADGROUPS", "value": 52}, - {"name": "SPI_PERF_CSN_CRAWLER_STALL", "value": 53}, - {"name": "SPI_PERF_CSN_EVENT_WAVE", "value": 54}, - {"name": "SPI_PERF_CSN_WAVE", "value": 55}, - {"name": "SPI_PERF_PS0_WINDOW_VALID", "value": 56}, - {"name": "SPI_PERF_PS1_WINDOW_VALID", "value": 57}, - {"name": "SPI_PERF_PS2_WINDOW_VALID", "value": 58}, - {"name": "SPI_PERF_PS3_WINDOW_VALID", "value": 59}, - {"name": "SPI_PERF_PS0_BUSY", "value": 60}, - {"name": "SPI_PERF_PS1_BUSY", "value": 61}, - {"name": "SPI_PERF_PS2_BUSY", "value": 62}, - {"name": "SPI_PERF_PS3_BUSY", "value": 63}, - {"name": "SPI_PERF_PS0_ACTIVE", "value": 64}, - {"name": "SPI_PERF_PS1_ACTIVE", "value": 65}, - {"name": "SPI_PERF_PS2_ACTIVE", "value": 66}, - {"name": "SPI_PERF_PS3_ACTIVE", "value": 67}, - {"name": "SPI_PERF_PS0_DEALLOC", "value": 68}, - {"name": "SPI_PERF_PS1_DEALLOC", "value": 69}, - {"name": "SPI_PERF_PS2_DEALLOC", "value": 70}, - {"name": "SPI_PERF_PS3_DEALLOC", "value": 71}, - {"name": "SPI_PERF_PS0_FPOS_STALL", "value": 72}, - {"name": "SPI_PERF_PS1_FPOS_STALL", "value": 73}, - {"name": "SPI_PERF_PS2_FPOS_STALL", "value": 74}, - {"name": "SPI_PERF_PS3_FPOS_STALL", "value": 75}, - {"name": "SPI_PERF_PS0_EVENT_WAVE", "value": 76}, - {"name": "SPI_PERF_PS1_EVENT_WAVE", "value": 77}, - {"name": "SPI_PERF_PS2_EVENT_WAVE", "value": 78}, - {"name": "SPI_PERF_PS3_EVENT_WAVE", "value": 79}, - {"name": "SPI_PERF_PS0_WAVE", "value": 80}, - {"name": "SPI_PERF_PS1_WAVE", "value": 81}, - {"name": "SPI_PERF_PS2_WAVE", "value": 82}, - {"name": "SPI_PERF_PS3_WAVE", "value": 83}, - {"name": "SPI_PERF_PS0_OPT_WAVE", "value": 84}, - {"name": "SPI_PERF_PS1_OPT_WAVE", "value": 85}, - {"name": "SPI_PERF_PS2_OPT_WAVE", "value": 86}, - {"name": "SPI_PERF_PS3_OPT_WAVE", "value": 87}, - {"name": "SPI_PERF_PS0_PASS_BIN0", "value": 88}, - {"name": "SPI_PERF_PS1_PASS_BIN0", "value": 89}, - {"name": "SPI_PERF_PS2_PASS_BIN0", "value": 90}, - {"name": "SPI_PERF_PS3_PASS_BIN0", "value": 91}, - {"name": "SPI_PERF_PS0_PASS_BIN1", "value": 92}, - {"name": "SPI_PERF_PS1_PASS_BIN1", "value": 93}, - {"name": "SPI_PERF_PS2_PASS_BIN1", "value": 94}, - {"name": "SPI_PERF_PS3_PASS_BIN1", "value": 95}, - {"name": "SPI_PERF_PS0_FPOS", "value": 96}, - {"name": "SPI_PERF_PS1_FPOS", "value": 97}, - {"name": "SPI_PERF_PS2_FPOS", "value": 98}, - {"name": "SPI_PERF_PS3_FPOS", "value": 99}, - {"name": "SPI_PERF_PS0_PRIM_BIN0", "value": 100}, - {"name": "SPI_PERF_PS1_PRIM_BIN0", "value": 101}, - {"name": "SPI_PERF_PS2_PRIM_BIN0", "value": 102}, - {"name": "SPI_PERF_PS3_PRIM_BIN0", "value": 103}, - {"name": "SPI_PERF_PS0_PRIM_BIN1", "value": 104}, - {"name": "SPI_PERF_PS1_PRIM_BIN1", "value": 105}, - {"name": "SPI_PERF_PS2_PRIM_BIN1", "value": 106}, - {"name": "SPI_PERF_PS3_PRIM_BIN1", "value": 107}, - {"name": "SPI_PERF_PS0_CNF_BIN2", "value": 108}, - {"name": "SPI_PERF_PS1_CNF_BIN2", "value": 109}, - {"name": "SPI_PERF_PS2_CNF_BIN2", "value": 110}, - {"name": "SPI_PERF_PS3_CNF_BIN2", "value": 111}, - {"name": "SPI_PERF_PS0_CNF_BIN3", "value": 112}, - {"name": "SPI_PERF_PS1_CNF_BIN3", "value": 113}, - {"name": "SPI_PERF_PS2_CNF_BIN3", "value": 114}, - {"name": "SPI_PERF_PS3_CNF_BIN3", "value": 115}, - {"name": "SPI_PERF_PS0_CRAWLER_STALL", "value": 116}, - {"name": "SPI_PERF_PS1_CRAWLER_STALL", "value": 117}, - {"name": "SPI_PERF_PS2_CRAWLER_STALL", "value": 118}, - {"name": "SPI_PERF_PS3_CRAWLER_STALL", "value": 119}, - {"name": "SPI_PERF_PS0_LDS_RES_FULL", "value": 120}, - {"name": "SPI_PERF_PS1_LDS_RES_FULL", "value": 121}, - {"name": "SPI_PERF_PS2_LDS_RES_FULL", "value": 122}, - {"name": "SPI_PERF_PS3_LDS_RES_FULL", "value": 123}, - {"name": "SPI_PERF_PS0_POPS_WAVE_SENT", "value": 124}, - {"name": "SPI_PERF_PS1_POPS_WAVE_SENT", "value": 125}, - {"name": "SPI_PERF_PS2_POPS_WAVE_SENT", "value": 126}, - {"name": "SPI_PERF_PS3_POPS_WAVE_SENT", "value": 127}, - {"name": "SPI_PERF_PS0_POPS_WAVE_EXIT", "value": 128}, - {"name": "SPI_PERF_PS1_POPS_WAVE_EXIT", "value": 129}, - {"name": "SPI_PERF_PS2_POPS_WAVE_EXIT", "value": 130}, - {"name": "SPI_PERF_PS3_POPS_WAVE_EXIT", "value": 131}, - {"name": "SPI_PERF_PS_PERS_UPD_FULL0", "value": 132}, - {"name": "SPI_PERF_PS_PERS_UPD_FULL1", "value": 133}, - {"name": "SPI_PERF_LDS0_PC_VALID", "value": 134}, - {"name": "SPI_PERF_LDS1_PC_VALID", "value": 135}, - {"name": "SPI_PERF_RA_PIPE_REQ_BIN2", "value": 136}, - {"name": "SPI_PERF_RA_TASK_REQ_BIN3", "value": 137}, - {"name": "SPI_PERF_RA_WR_CTL_FULL", "value": 138}, - {"name": "SPI_PERF_RA_REQ_NO_ALLOC", "value": 139}, - {"name": "SPI_PERF_RA_REQ_NO_ALLOC_PS", "value": 140}, - {"name": "SPI_PERF_RA_REQ_NO_ALLOC_VS", "value": 141}, - {"name": "SPI_PERF_RA_REQ_NO_ALLOC_ES", "value": 142}, - {"name": "SPI_PERF_RA_REQ_NO_ALLOC_GS", "value": 143}, - {"name": "SPI_PERF_RA_REQ_NO_ALLOC_LS", "value": 144}, - {"name": "SPI_PERF_RA_REQ_NO_ALLOC_HS", "value": 145}, - {"name": "SPI_PERF_RA_REQ_NO_ALLOC_CSG", "value": 146}, - {"name": "SPI_PERF_RA_REQ_NO_ALLOC_CSN", "value": 147}, - {"name": "SPI_PERF_RA_RES_STALL_PS", "value": 148}, - {"name": "SPI_PERF_RA_RES_STALL_VS", "value": 149}, - {"name": "SPI_PERF_RA_RES_STALL_ES", "value": 150}, - {"name": "SPI_PERF_RA_RES_STALL_GS", "value": 151}, - {"name": "SPI_PERF_RA_RES_STALL_LS", "value": 152}, - {"name": "SPI_PERF_RA_RES_STALL_HS", "value": 153}, - {"name": "SPI_PERF_RA_RES_STALL_CSG", "value": 154}, - {"name": "SPI_PERF_RA_RES_STALL_CSN", "value": 155}, - {"name": "SPI_PERF_RA_TMP_STALL_PS", "value": 156}, - {"name": "SPI_PERF_RA_TMP_STALL_VS", "value": 157}, - {"name": "SPI_PERF_RA_TMP_STALL_ES", "value": 158}, - {"name": "SPI_PERF_RA_TMP_STALL_GS", "value": 159}, - {"name": "SPI_PERF_RA_TMP_STALL_LS", "value": 160}, - {"name": "SPI_PERF_RA_TMP_STALL_HS", "value": 161}, - {"name": "SPI_PERF_RA_TMP_STALL_CSG", "value": 162}, - {"name": "SPI_PERF_RA_TMP_STALL_CSN", "value": 163}, - {"name": "SPI_PERF_RA_WAVE_SIMD_FULL_PS", "value": 164}, - {"name": "SPI_PERF_RA_WAVE_SIMD_FULL_VS", "value": 165}, - {"name": "SPI_PERF_RA_WAVE_SIMD_FULL_ES", "value": 166}, - {"name": "SPI_PERF_RA_WAVE_SIMD_FULL_GS", "value": 167}, - {"name": "SPI_PERF_RA_WAVE_SIMD_FULL_LS", "value": 168}, - {"name": "SPI_PERF_RA_WAVE_SIMD_FULL_HS", "value": 169}, - {"name": "SPI_PERF_RA_WAVE_SIMD_FULL_CSG", "value": 170}, - {"name": "SPI_PERF_RA_WAVE_SIMD_FULL_CSN", "value": 171}, - {"name": "SPI_PERF_RA_VGPR_SIMD_FULL_PS", "value": 172}, - {"name": "SPI_PERF_RA_VGPR_SIMD_FULL_VS", "value": 173}, - {"name": "SPI_PERF_RA_VGPR_SIMD_FULL_ES", "value": 174}, - {"name": "SPI_PERF_RA_VGPR_SIMD_FULL_GS", "value": 175}, - {"name": "SPI_PERF_RA_VGPR_SIMD_FULL_HS", "value": 176}, - {"name": "SPI_PERF_RA_VGPR_SIMD_FULL_LS", "value": 177}, - {"name": "SPI_PERF_RA_VGPR_SIMD_FULL_CSG", "value": 178}, - {"name": "SPI_PERF_RA_VGPR_SIMD_FULL_CSN", "value": 179}, - {"name": "SPI_PERF_RA_SGPR_SIMD_FULL_PS", "value": 180}, - {"name": "SPI_PERF_RA_SGPR_SIMD_FULL_VS", "value": 181}, - {"name": "SPI_PERF_RA_SGPR_SIMD_FULL_ES", "value": 182}, - {"name": "SPI_PERF_RA_SGPR_SIMD_FULL_GS", "value": 183}, - {"name": "SPI_PERF_RA_SGPR_SIMD_FULL_LS", "value": 184}, - {"name": "SPI_PERF_RA_SGPR_SIMD_FULL_HS", "value": 185}, - {"name": "SPI_PERF_RA_SGPR_SIMD_FULL_CSG", "value": 186}, - {"name": "SPI_PERF_RA_SGPR_SIMD_FULL_CSN", "value": 187}, - {"name": "SPI_PERF_RA_LDS_CU_FULL_PS", "value": 188}, - {"name": "SPI_PERF_RA_LDS_CU_FULL_LS", "value": 189}, - {"name": "SPI_PERF_RA_LDS_CU_FULL_HS", "value": 190}, - {"name": "SPI_PERF_RA_LDS_CU_FULL_ES", "value": 191}, - {"name": "SPI_PERF_RA_LDS_CU_FULL_GS", "value": 192}, - {"name": "SPI_PERF_RA_LDS_CU_FULL_CSG", "value": 193}, - {"name": "SPI_PERF_RA_LDS_CU_FULL_CSN", "value": 194}, - {"name": "SPI_PERF_RA_BAR_CU_FULL_HS", "value": 195}, - {"name": "SPI_PERF_RA_BAR_CU_FULL_CSG", "value": 196}, - {"name": "SPI_PERF_RA_BAR_CU_FULL_CSN", "value": 197}, - {"name": "SPI_PERF_RA_BULKY_CU_FULL_CSG", "value": 198}, - {"name": "SPI_PERF_RA_BULKY_CU_FULL_CSN", "value": 199}, - {"name": "SPI_PERF_RA_TGLIM_CU_FULL_CSG", "value": 200}, - {"name": "SPI_PERF_RA_TGLIM_CU_FULL_CSN", "value": 201}, - {"name": "SPI_PERF_RA_WVLIM_STALL_PS", "value": 202}, - {"name": "SPI_PERF_RA_WVLIM_STALL_VS", "value": 203}, - {"name": "SPI_PERF_RA_WVLIM_STALL_ES", "value": 204}, - {"name": "SPI_PERF_RA_WVLIM_STALL_GS", "value": 205}, - {"name": "SPI_PERF_RA_WVLIM_STALL_LS", "value": 206}, - {"name": "SPI_PERF_RA_WVLIM_STALL_HS", "value": 207}, - {"name": "SPI_PERF_RA_WVLIM_STALL_CSG", "value": 208}, - {"name": "SPI_PERF_RA_WVLIM_STALL_CSN", "value": 209}, - {"name": "SPI_PERF_RA_VS_LOCK", "value": 210}, - {"name": "SPI_PERF_RA_PS_LOCK", "value": 211}, - {"name": "SPI_PERF_RA_ES_LOCK", "value": 212}, - {"name": "SPI_PERF_RA_GS_LOCK", "value": 213}, - {"name": "SPI_PERF_RA_LS_LOCK", "value": 214}, - {"name": "SPI_PERF_RA_HS_LOCK", "value": 215}, - {"name": "SPI_PERF_RA_CSG_LOCK", "value": 216}, - {"name": "SPI_PERF_RA_CSN_LOCK", "value": 217}, - {"name": "SPI_PERF_RA_RSV_UPD", "value": 218}, - {"name": "SPI_PERF_RA_PRE_ALLOC_STALL", "value": 219}, - {"name": "SPI_PERF_RA_GFX_UNDER_TUNNEL", "value": 220}, - {"name": "SPI_PERF_RA_CSC_UNDER_TUNNEL", "value": 221}, - {"name": "SPI_PERF_RA_WVALLOC_STALL", "value": 222}, - {"name": "SPI_PERF_EXP_ARB_COL_CNT", "value": 223}, - {"name": "SPI_PERF_EXP_ARB_PAR_CNT", "value": 224}, - {"name": "SPI_PERF_EXP_ARB_POS_CNT", "value": 225}, - {"name": "SPI_PERF_EXP_ARB_GDS_CNT", "value": 226}, - {"name": "SPI_PERF_NUM_PS_COL_SA0SQ0_EXPORTS", "value": 227}, - {"name": "SPI_PERF_NUM_PS_COL_SA0SQ1_EXPORTS", "value": 228}, - {"name": "SPI_PERF_NUM_PS_COL_SA1SQ0_EXPORTS", "value": 229}, - {"name": "SPI_PERF_NUM_PS_COL_SA1SQ1_EXPORTS", "value": 230}, - {"name": "SPI_PERF_NUM_VS_POS_SA0SQ0_EXPORTS", "value": 231}, - {"name": "SPI_PERF_NUM_VS_POS_SA0SQ1_EXPORTS", "value": 232}, - {"name": "SPI_PERF_NUM_VS_POS_SA1SQ0_EXPORTS", "value": 233}, - {"name": "SPI_PERF_NUM_VS_POS_SA1SQ1_EXPORTS", "value": 234}, - {"name": "SPI_PERF_NUM_VS_PARAM_SA0SQ0_EXPORTS", "value": 235}, - {"name": "SPI_PERF_NUM_VS_PARAM_SA0SQ1_EXPORTS", "value": 236}, - {"name": "SPI_PERF_NUM_VS_PARAM_SA1SQ0_EXPORTS", "value": 237}, - {"name": "SPI_PERF_NUM_VS_PARAM_SA1SQ1_EXPORTS", "value": 238}, - {"name": "SPI_PERF_NUM_VS_GDS_SA0SQ0_EXPORTS", "value": 239}, - {"name": "SPI_PERF_NUM_VS_GDS_SA0SQ1_EXPORTS", "value": 240}, - {"name": "SPI_PERF_NUM_VS_GDS_SA1SQ0_EXPORTS", "value": 241}, - {"name": "SPI_PERF_NUM_VS_GDS_SA1SQ1_EXPORTS", "value": 242}, - {"name": "SPI_PERF_NUM_EXPGRANT_EXPORTS", "value": 243}, - {"name": "SPI_PERF_CLKGATE_BUSY_STALL", "value": 244}, - {"name": "SPI_PERF_CLKGATE_ACTIVE_STALL", "value": 245}, - {"name": "SPI_PERF_CLKGATE_ALL_CLOCKS_ON", "value": 246}, - {"name": "SPI_PERF_CLKGATE_CGTT_DYN_ON", "value": 247}, - {"name": "SPI_PERF_CLKGATE_CGTT_REG_ON", "value": 248}, - {"name": "SPI_PERF_PIX_ALLOC_PEND_CNT", "value": 249}, - {"name": "SPI_PERF_PIX_ALLOC_SCB0_STALL", "value": 250}, - {"name": "SPI_PERF_PIX_ALLOC_SCB1_STALL", "value": 251}, - {"name": "SPI_PERF_PIX_ALLOC_SCB2_STALL", "value": 252}, - {"name": "SPI_PERF_PIX_ALLOC_SCB3_STALL", "value": 253}, - {"name": "SPI_PERF_PIX_ALLOC_DB0_STALL", "value": 254}, - {"name": "SPI_PERF_PIX_ALLOC_DB1_STALL", "value": 255}, - {"name": "SPI_PERF_PIX_ALLOC_DB2_STALL", "value": 256}, - {"name": "SPI_PERF_PIX_ALLOC_DB3_STALL", "value": 257}, - {"name": "SPI_PERF_PIX_ALLOC_DB4_STALL", "value": 258}, - {"name": "SPI_PERF_PIX_ALLOC_DB5_STALL", "value": 259}, - {"name": "SPI_PERF_PIX_ALLOC_DB6_STALL", "value": 260}, - {"name": "SPI_PERF_PIX_ALLOC_DB7_STALL", "value": 261}, - {"name": "SPI_PERF_GS_NGG_SE_SEND_GS_ALLOC", "value": 262}, - {"name": "SPI_PERF_SWC_PS_WR", "value": 263}, - {"name": "SPI_PERF_SWC_VS_WR", "value": 264}, - {"name": "SPI_PERF_SWC_ES_WR", "value": 265}, - {"name": "SPI_PERF_SWC_GS_WR", "value": 266}, - {"name": "SPI_PERF_SWC_LS_WR", "value": 267}, - {"name": "SPI_PERF_SWC_HS_WR", "value": 268}, - {"name": "SPI_PERF_SWC_CSG_WR", "value": 269}, - {"name": "SPI_PERF_SWC_CSN_WR", "value": 270}, - {"name": "SPI_PERF_VWC_PS_WR", "value": 271}, - {"name": "SPI_PERF_VWC_VS_WR", "value": 272}, - {"name": "SPI_PERF_VWC_ES_WR", "value": 273}, - {"name": "SPI_PERF_VWC_GS_WR", "value": 274}, - {"name": "SPI_PERF_VWC_LS_WR", "value": 275}, - {"name": "SPI_PERF_VWC_HS_WR", "value": 276}, - {"name": "SPI_PERF_VWC_CSG_WR", "value": 277}, - {"name": "SPI_PERF_VWC_CSN_WR", "value": 278}, - {"name": "SPI_PERF_ES_WINDOW_VALID", "value": 279}, - {"name": "SPI_PERF_ES_BUSY", "value": 280}, - {"name": "SPI_PERF_ES_CRAWLER_STALL", "value": 281}, - {"name": "SPI_PERF_ES_FIRST_WAVE", "value": 282}, - {"name": "SPI_PERF_ES_LAST_WAVE", "value": 283}, - {"name": "SPI_PERF_ES_LSHS_DEALLOC", "value": 284}, - {"name": "SPI_PERF_ES_EVENT_WAVE", "value": 285}, - {"name": "SPI_PERF_ES_WAVE", "value": 286}, - {"name": "SPI_PERF_ES_PERS_UPD_FULL0", "value": 287}, - {"name": "SPI_PERF_ES_PERS_UPD_FULL1", "value": 288}, - {"name": "SPI_PERF_ES_FIRST_SUBGRP", "value": 289}, - {"name": "SPI_PERF_ES_LAST_SUBGRP", "value": 290}, - {"name": "SPI_PERF_LS_WINDOW_VALID", "value": 291}, - {"name": "SPI_PERF_LS_BUSY", "value": 292}, - {"name": "SPI_PERF_LS_CRAWLER_STALL", "value": 293}, - {"name": "SPI_PERF_LS_FIRST_WAVE", "value": 294}, - {"name": "SPI_PERF_LS_LAST_WAVE", "value": 295}, - {"name": "SPI_PERF_LS_OFFCHIP_LDS_STALL", "value": 296}, - {"name": "SPI_PERF_LS_EVENT_WAVE", "value": 297}, - {"name": "SPI_PERF_LS_WAVE", "value": 298}, - {"name": "SPI_PERF_LS_PERS_UPD_FULL0", "value": 299}, - {"name": "SPI_PERF_LS_PERS_UPD_FULL1", "value": 300} + {"name": "CACHE_LRU_RD", "value": 0}, + {"name": "CACHE_NOA", "value": 1}, + {"name": "UNCACHED_RD", "value": 2}, + {"name": "RESERVED_RDPOLICY", "value": 3} ] }, "SPI_PNT_SPRITE_OVERRIDE": { @@ -4619,702 +531,6 @@ {"name": "STRM_PERFMON_STATE_COUNT_AND_DUMP_PHANTOM", "value": 5} ] }, - "SQ_PERF_SEL": { - "entries": [ - {"name": "SQ_PERF_SEL_NONE", "value": 0}, - {"name": "SQ_PERF_SEL_ACCUM_PREV", "value": 1}, - {"name": "SQ_PERF_SEL_CYCLES", "value": 2}, - {"name": "SQ_PERF_SEL_BUSY_CYCLES", "value": 3}, - {"name": "SQ_PERF_SEL_WAVES", "value": 4}, - {"name": "SQ_PERF_SEL_WAVES_32", "value": 5}, - {"name": "SQ_PERF_SEL_WAVES_64", "value": 6}, - {"name": "SQ_PERF_SEL_LEVEL_WAVES", "value": 7}, - {"name": "SQ_PERF_SEL_ITEMS", "value": 8}, - {"name": "SQ_PERF_SEL_WAVE32_ITEMS", "value": 9}, - {"name": "SQ_PERF_SEL_WAVE64_ITEMS", "value": 10}, - {"name": "SQ_PERF_SEL_QUADS", "value": 11}, - {"name": "SQ_PERF_SEL_EVENTS", "value": 12}, - {"name": "SQ_PERF_SEL_WAVES_EQ_64", "value": 13}, - {"name": "SQ_PERF_SEL_WAVES_LT_64", "value": 14}, - {"name": "SQ_PERF_SEL_WAVES_LT_48", "value": 15}, - {"name": "SQ_PERF_SEL_WAVES_LT_32", "value": 16}, - {"name": "SQ_PERF_SEL_WAVES_LT_16", "value": 17}, - {"name": "SQ_PERF_SEL_WAVES_RESTORED", "value": 18}, - {"name": "SQ_PERF_SEL_WAVES_SAVED", "value": 19}, - {"name": "SQ_PERF_SEL_MSG", "value": 20}, - {"name": "SQ_PERF_SEL_MSG_GSCNT", "value": 21}, - {"name": "SQ_PERF_SEL_MSG_INTERRUPT", "value": 22}, - {"name": "SQ_PERF_SEL_Reserved_1", "value": 23}, - {"name": "SQ_PERF_SEL_Reserved_2", "value": 24}, - {"name": "SQ_PERF_SEL_Reserved_3", "value": 25}, - {"name": "SQ_PERF_SEL_WAVE_CYCLES", "value": 26}, - {"name": "SQ_PERF_SEL_WAVE_READY", "value": 27}, - {"name": "SQ_PERF_SEL_WAIT_INST_ANY", "value": 28}, - {"name": "SQ_PERF_SEL_WAIT_INST_VALU", "value": 29}, - {"name": "SQ_PERF_SEL_WAIT_INST_SCA", "value": 30}, - {"name": "SQ_PERF_SEL_WAIT_INST_LDS", "value": 31}, - {"name": "SQ_PERF_SEL_WAIT_INST_TEX", "value": 32}, - {"name": "SQ_PERF_SEL_WAIT_INST_FLAT", "value": 33}, - {"name": "SQ_PERF_SEL_WAIT_INST_VMEM", "value": 34}, - {"name": "SQ_PERF_SEL_WAIT_INST_EXP_GDS", "value": 35}, - {"name": "SQ_PERF_SEL_WAIT_INST_BR_MSG", "value": 36}, - {"name": "SQ_PERF_SEL_WAIT_ANY", "value": 37}, - {"name": "SQ_PERF_SEL_WAIT_CNT_ANY", "value": 38}, - {"name": "SQ_PERF_SEL_WAIT_CNT_VMVS", "value": 39}, - {"name": "SQ_PERF_SEL_WAIT_CNT_LGKM", "value": 40}, - {"name": "SQ_PERF_SEL_WAIT_CNT_EXP", "value": 41}, - {"name": "SQ_PERF_SEL_WAIT_TTRACE", "value": 42}, - {"name": "SQ_PERF_SEL_WAIT_IFETCH", "value": 43}, - {"name": "SQ_PERF_SEL_WAIT_BARRIER", "value": 44}, - {"name": "SQ_PERF_SEL_WAIT_EXP_ALLOC", "value": 45}, - {"name": "SQ_PERF_SEL_WAIT_SLEEP", "value": 46}, - {"name": "SQ_PERF_SEL_WAIT_SLEEP_XNACK", "value": 47}, - {"name": "SQ_PERF_SEL_WAIT_OTHER", "value": 48}, - {"name": "SQ_PERF_SEL_INSTS_ALL", "value": 49}, - {"name": "SQ_PERF_SEL_INSTS_BRANCH", "value": 50}, - {"name": "SQ_PERF_SEL_INSTS_CBRANCH_NOT_TAKEN", "value": 51}, - {"name": "SQ_PERF_SEL_INSTS_CBRANCH_TAKEN", "value": 52}, - {"name": "SQ_PERF_SEL_INSTS_CBRANCH_TAKEN_HIT_IS", "value": 53}, - {"name": "SQ_PERF_SEL_INSTS_EXP_GDS", "value": 54}, - {"name": "SQ_PERF_SEL_INSTS_GDS", "value": 55}, - {"name": "SQ_PERF_SEL_INSTS_EXP", "value": 56}, - {"name": "SQ_PERF_SEL_INSTS_FLAT", "value": 57}, - {"name": "SQ_PERF_SEL_Reserved_4", "value": 58}, - {"name": "SQ_PERF_SEL_INSTS_LDS", "value": 59}, - {"name": "SQ_PERF_SEL_INSTS_SALU", "value": 60}, - {"name": "SQ_PERF_SEL_INSTS_SMEM", "value": 61}, - {"name": "SQ_PERF_SEL_INSTS_SMEM_NORM", "value": 62}, - {"name": "SQ_PERF_SEL_INSTS_SENDMSG", "value": 63}, - {"name": "SQ_PERF_SEL_INSTS_VALU", "value": 64}, - {"name": "SQ_PERF_SEL_Reserved_17", "value": 65}, - {"name": "SQ_PERF_SEL_INSTS_VALU_TRANS32", "value": 66}, - {"name": "SQ_PERF_SEL_INSTS_VALU_NO_COEXEC", "value": 67}, - {"name": "SQ_PERF_SEL_INSTS_TEX", "value": 68}, - {"name": "SQ_PERF_SEL_INSTS_TEX_LOAD", "value": 69}, - {"name": "SQ_PERF_SEL_INSTS_TEX_STORE", "value": 70}, - {"name": "SQ_PERF_SEL_INSTS_WAVE32", "value": 71}, - {"name": "SQ_PERF_SEL_INSTS_WAVE32_FLAT", "value": 72}, - {"name": "SQ_PERF_SEL_Reserved_5", "value": 73}, - {"name": "SQ_PERF_SEL_INSTS_WAVE32_LDS", "value": 74}, - {"name": "SQ_PERF_SEL_INSTS_WAVE32_VALU", "value": 75}, - {"name": "SQ_PERF_SEL_INSTS_WAVE32_EXP_GDS", "value": 76}, - {"name": "SQ_PERF_SEL_INSTS_WAVE32_VALU_TRANS32", "value": 77}, - {"name": "SQ_PERF_SEL_INSTS_WAVE32_VALU_NO_COEXEC", "value": 78}, - {"name": "SQ_PERF_SEL_INSTS_WAVE32_TEX", "value": 79}, - {"name": "SQ_PERF_SEL_INSTS_WAVE32_TEX_LOAD", "value": 80}, - {"name": "SQ_PERF_SEL_INSTS_WAVE32_TEX_STORE", "value": 81}, - {"name": "SQ_PERF_SEL_ITEM_CYCLES_VALU", "value": 82}, - {"name": "SQ_PERF_SEL_VALU_READWRITELANE_CYCLES", "value": 83}, - {"name": "SQ_PERF_SEL_WAVE32_INSTS", "value": 84}, - {"name": "SQ_PERF_SEL_WAVE64_INSTS", "value": 85}, - {"name": "SQ_PERF_SEL_Reserved_18", "value": 86}, - {"name": "SQ_PERF_SEL_INSTS_VALU_EXEC_SKIPPED", "value": 87}, - {"name": "SQ_PERF_SEL_WAVE64_HALF_SKIP", "value": 88}, - {"name": "SQ_PERF_SEL_INSTS_TEX_REPLAY", "value": 89}, - {"name": "SQ_PERF_SEL_INSTS_SMEM_REPLAY", "value": 90}, - {"name": "SQ_PERF_SEL_Reserved_20", "value": 91}, - {"name": "SQ_PERF_SEL_INSTS_FLAT_REPLAY", "value": 92}, - {"name": "SQ_PERF_SEL_TA_XNACK_ALL", "value": 93}, - {"name": "SQ_PERF_SEL_TA_XNACK_FIRST", "value": 94}, - {"name": "SQ_PERF_SEL_INSTS_VALU_LDS_DIRECT_RD", "value": 95}, - {"name": "SQ_PERF_SEL_INSTS_VALU_VINTRP_OP", "value": 96}, - {"name": "SQ_PERF_SEL_INST_LEVEL_EXP", "value": 97}, - {"name": "SQ_PERF_SEL_INST_LEVEL_GDS", "value": 98}, - {"name": "SQ_PERF_SEL_INST_LEVEL_LDS", "value": 99}, - {"name": "SQ_PERF_SEL_INST_LEVEL_SMEM", "value": 100}, - {"name": "SQ_PERF_SEL_INST_LEVEL_TEX_LOAD", "value": 101}, - {"name": "SQ_PERF_SEL_INST_LEVEL_TEX_STORE", "value": 102}, - {"name": "SQ_PERF_SEL_IFETCH_REQS", "value": 103}, - {"name": "SQ_PERF_SEL_IFETCH_LEVEL", "value": 104}, - {"name": "SQ_PERF_SEL_IFETCH_XNACK", "value": 105}, - {"name": "SQ_PERF_SEL_Reserved_6", "value": 106}, - {"name": "SQ_PERF_SEL_Reserved_7", "value": 107}, - {"name": "SQ_PERF_SEL_LDS_DIRECT_CMD_FIFO_FULL_STALL", "value": 108}, - {"name": "SQ_PERF_SEL_VALU_SGATHER_STALL", "value": 109}, - {"name": "SQ_PERF_SEL_VALU_FWD_BUFFER_FULL_STALL", "value": 110}, - {"name": "SQ_PERF_SEL_VALU_SGPR_RD_FIFO_FULL_STALL", "value": 111}, - {"name": "SQ_PERF_SEL_VALU_SGATHER_FULL_STALL", "value": 112}, - {"name": "SQ_PERF_SEL_SALU_SGATHER_STALL", "value": 113}, - {"name": "SQ_PERF_SEL_SALU_SGPR_RD_FIFO_FULL_STALL", "value": 114}, - {"name": "SQ_PERF_SEL_SALU_GATHER_FULL_STALL", "value": 115}, - {"name": "SQ_PERF_SEL_SMEM_DCACHE_FIFO_FULL_STALL", "value": 116}, - {"name": "SQ_PERF_SEL_INST_CYCLES_VALU", "value": 117}, - {"name": "SQ_PERF_SEL_INST_CYCLES_VALU_TRANS32", "value": 118}, - {"name": "SQ_PERF_SEL_INST_CYCLES_VALU_NO_COEXEC", "value": 119}, - {"name": "SQ_PERF_SEL_INST_CYCLES_VMEM", "value": 120}, - {"name": "SQ_PERF_SEL_INST_CYCLES_VMEM_LOAD", "value": 121}, - {"name": "SQ_PERF_SEL_INST_CYCLES_VMEM_STORE", "value": 122}, - {"name": "SQ_PERF_SEL_INST_CYCLES_LDS", "value": 123}, - {"name": "SQ_PERF_SEL_INST_CYCLES_TEX", "value": 124}, - {"name": "SQ_PERF_SEL_INST_CYCLES_FLAT", "value": 125}, - {"name": "SQ_PERF_SEL_INST_CYCLES_EXP_GDS", "value": 126}, - {"name": "SQ_PERF_SEL_INST_CYCLES_EXP", "value": 127}, - {"name": "SQ_PERF_SEL_INST_CYCLES_GDS", "value": 128}, - {"name": "SQ_PERF_SEL_VALU_STARVE", "value": 129}, - {"name": "SQ_PERF_SEL_VMEM_ARB_FIFO_FULL", "value": 130}, - {"name": "SQ_PERF_SEL_MSG_FIFO_FULL_STALL", "value": 131}, - {"name": "SQ_PERF_SEL_EXP_REQ_FIFO_FULL", "value": 132}, - {"name": "SQ_PERF_SEL_Reserved_11", "value": 133}, - {"name": "SQ_PERF_SEL_Reserved_12", "value": 134}, - {"name": "SQ_PERF_SEL_Reserved_13", "value": 135}, - {"name": "SQ_PERF_SEL_Reserved_14", "value": 136}, - {"name": "SQ_PERF_SEL_VMEM_BUS_ACTIVE", "value": 137}, - {"name": "SQ_PERF_SEL_VMEM_BUS_STALL", "value": 138}, - {"name": "SQ_PERF_SEL_VMEM_BUS_STALL_TA_ADDR_FIFO_FULL", "value": 139}, - {"name": "SQ_PERF_SEL_VMEM_BUS_STALL_TA_CMD_FIFO_FULL", "value": 140}, - {"name": "SQ_PERF_SEL_VMEM_BUS_STALL_LDS_ADDR_FIFO_FULL", "value": 141}, - {"name": "SQ_PERF_SEL_VMEM_BUS_STALL_LDS_CMD_FIFO_FULL", "value": 142}, - {"name": "SQ_PERF_SEL_VMEM_STARVE_TA_ADDR_EMPTY", "value": 143}, - {"name": "SQ_PERF_SEL_VMEM_STARVE_LDS_ADDR_EMPTY", "value": 144}, - {"name": "SQ_PERF_SEL_Reserved_15", "value": 145}, - {"name": "SQ_PERF_SEL_SALU_PIPE_STALL", "value": 146}, - {"name": "SQ_PERF_SEL_SMEM_DCACHE_RETURN_CYCLES", "value": 147}, - {"name": "SQ_PERF_SEL_Reserved_21", "value": 148}, - {"name": "SQ_PERF_SEL_MSG_BUS_BUSY", "value": 149}, - {"name": "SQ_PERF_SEL_EXP_REQ_BUS_STALL", "value": 150}, - {"name": "SQ_PERF_SEL_EXP_REQ0_BUS_BUSY", "value": 151}, - {"name": "SQ_PERF_SEL_EXP_REQ1_BUS_BUSY", "value": 152}, - {"name": "SQ_PERF_SEL_EXP_BUS0_BUSY", "value": 153}, - {"name": "SQ_PERF_SEL_EXP_BUS1_BUSY", "value": 154}, - {"name": "SQ_PERF_SEL_Reserved_19", "value": 155}, - {"name": "SQ_PERF_SEL_INST_CACHE_REQ_STALL", "value": 156}, - {"name": "SQ_PERF_SEL_MIXED_SUBSEQUENT_ISSUES_VALU", "value": 157}, - {"name": "SQ_PERF_SEL_MIXED_SUBSEQUENT_ISSUES_SALU", "value": 158}, - {"name": "SQ_PERF_SEL_MIXED_SUBSEQUENT_ISSUES_VMEM", "value": 159}, - {"name": "SQ_PERF_SEL_USER0", "value": 160}, - {"name": "SQ_PERF_SEL_USER1", "value": 161}, - {"name": "SQ_PERF_SEL_USER2", "value": 162}, - {"name": "SQ_PERF_SEL_USER3", "value": 163}, - {"name": "SQ_PERF_SEL_USER4", "value": 164}, - {"name": "SQ_PERF_SEL_USER5", "value": 165}, - {"name": "SQ_PERF_SEL_USER6", "value": 166}, - {"name": "SQ_PERF_SEL_USER7", "value": 167}, - {"name": "SQ_PERF_SEL_USER8", "value": 168}, - {"name": "SQ_PERF_SEL_USER9", "value": 169}, - {"name": "SQ_PERF_SEL_USER10", "value": 170}, - {"name": "SQ_PERF_SEL_USER11", "value": 171}, - {"name": "SQ_PERF_SEL_USER12", "value": 172}, - {"name": "SQ_PERF_SEL_USER13", "value": 173}, - {"name": "SQ_PERF_SEL_USER14", "value": 174}, - {"name": "SQ_PERF_SEL_USER15", "value": 175}, - {"name": "SQ_PERF_SEL_USER_LEVEL0", "value": 176}, - {"name": "SQ_PERF_SEL_USER_LEVEL1", "value": 177}, - {"name": "SQ_PERF_SEL_USER_LEVEL2", "value": 178}, - {"name": "SQ_PERF_SEL_USER_LEVEL3", "value": 179}, - {"name": "SQ_PERF_SEL_USER_LEVEL4", "value": 180}, - {"name": "SQ_PERF_SEL_USER_LEVEL5", "value": 181}, - {"name": "SQ_PERF_SEL_USER_LEVEL6", "value": 182}, - {"name": "SQ_PERF_SEL_USER_LEVEL7", "value": 183}, - {"name": "SQ_PERF_SEL_USER_LEVEL8", "value": 184}, - {"name": "SQ_PERF_SEL_USER_LEVEL9", "value": 185}, - {"name": "SQ_PERF_SEL_USER_LEVEL10", "value": 186}, - {"name": "SQ_PERF_SEL_USER_LEVEL11", "value": 187}, - {"name": "SQ_PERF_SEL_USER_LEVEL12", "value": 188}, - {"name": "SQ_PERF_SEL_USER_LEVEL13", "value": 189}, - {"name": "SQ_PERF_SEL_USER_LEVEL14", "value": 190}, - {"name": "SQ_PERF_SEL_USER_LEVEL15", "value": 191}, - {"name": "SQ_PERF_SEL_VALU_RETURN_SDST", "value": 192}, - {"name": "SQ_PERF_SEL_VMEM_SECOND_TRY_USED", "value": 193}, - {"name": "SQ_PERF_SEL_VMEM_SECOND_TRY_STALL", "value": 194}, - {"name": "SQ_PERF_SEL_DUMMY_END", "value": 195}, - {"name": "SQ_PERF_SEL_DUMMY_LAST", "value": 196}, - {"name": "SQG_PERF_SEL_UTCL0_TRANSLATION_MISS", "value": 197}, - {"name": "SQG_PERF_SEL_UTCL0_PERMISSION_MISS", "value": 198}, - {"name": "SQG_PERF_SEL_UTCL0_TRANSLATION_HIT", "value": 199}, - {"name": "SQG_PERF_SEL_UTCL0_REQUEST", "value": 200}, - {"name": "SQG_PERF_SEL_UTCL0_STALL_MISSFIFO_FULL", "value": 201}, - {"name": "SQG_PERF_SEL_UTCL0_STALL_INFLIGHT_MAX", "value": 202}, - {"name": "SQG_PERF_SEL_UTCL0_STALL_LRU_INFLIGHT", "value": 203}, - {"name": "SQG_PERF_SEL_UTCL0_LFIFO_FULL", "value": 204}, - {"name": "SQG_PERF_SEL_UTCL0_STALL_LFIFO_NOT_RES", "value": 205}, - {"name": "SQG_PERF_SEL_UTCL0_STALL_UTCL1_REQ_OUT_OF_CREDITS", "value": 206}, - {"name": "SQG_PERF_SEL_UTCL0_HIT_FIFO_FULL", "value": 207}, - {"name": "SQG_PERF_SEL_UTCL0_UTCL1_REQ", "value": 208}, - {"name": "SQG_PERF_SEL_TLB_SHOOTDOWN", "value": 209}, - {"name": "SQG_PERF_SEL_TLB_SHOOTDOWN_CYCLES", "value": 210}, - {"name": "SQG_PERF_SEL_TTRACE_REQS", "value": 211}, - {"name": "SQG_PERF_SEL_TTRACE_INFLIGHT_REQS", "value": 212}, - {"name": "SQG_PERF_SEL_TTRACE_STALL", "value": 213}, - {"name": "SQG_PERF_SEL_TTRACE_LOST_PACKETS", "value": 214}, - {"name": "SQG_PERF_SEL_DUMMY_LAST", "value": 215}, - {"name": "SQC_PERF_SEL_POWER_VALU", "value": 216}, - {"name": "SQC_PERF_SEL_POWER_VALU0", "value": 217}, - {"name": "SQC_PERF_SEL_POWER_VALU1", "value": 218}, - {"name": "SQC_PERF_SEL_POWER_VALU2", "value": 219}, - {"name": "SQC_PERF_SEL_POWER_GPR_RD", "value": 220}, - {"name": "SQC_PERF_SEL_POWER_GPR_WR", "value": 221}, - {"name": "SQC_PERF_SEL_POWER_LDS_BUSY", "value": 222}, - {"name": "SQC_PERF_SEL_POWER_ALU_BUSY", "value": 223}, - {"name": "SQC_PERF_SEL_POWER_TEX_BUSY", "value": 224}, - {"name": "SQC_PERF_SEL_PT_POWER_STALL", "value": 225}, - {"name": "SQC_PERF_SEL_LDS_BANK_CONFLICT", "value": 226}, - {"name": "SQC_PERF_SEL_LDS_ADDR_CONFLICT", "value": 227}, - {"name": "SQC_PERF_SEL_LDS_UNALIGNED_STALL", "value": 228}, - {"name": "SQC_PERF_SEL_LDS_MEM_VIOLATIONS", "value": 229}, - {"name": "SQC_PERF_SEL_LDS_ATOMIC_RETURN", "value": 230}, - {"name": "SQC_PERF_SEL_LDS_IDX_ACTIVE", "value": 231}, - {"name": "SQC_PERF_SEL_LDS_ADDR_STALL", "value": 232}, - {"name": "SQC_PERF_SEL_LDS_ADDR_ACTIVE", "value": 233}, - {"name": "SQC_PERF_SEL_LDS_DIRECT_FIFO_FULL_STALL", "value": 234}, - {"name": "SQC_PERF_SEL_LDS_PC_LDS_WRITE_STALL_TD", "value": 235}, - {"name": "SQC_PERF_SEL_LDS_SPI_VGPR_WRITE_STALL_TD", "value": 236}, - {"name": "SQC_PERF_SEL_LDS_LDS_VGPR_WRITE_STALL", "value": 237}, - {"name": "SQC_PERF_SEL_LDS_FP_ADD_CYCLES", "value": 238}, - {"name": "SQC_PERF_SEL_ICACHE_BUSY_CYCLES", "value": 239}, - {"name": "SQC_PERF_SEL_ICACHE_REQ", "value": 240}, - {"name": "SQC_PERF_SEL_ICACHE_HITS", "value": 241}, - {"name": "SQC_PERF_SEL_ICACHE_MISSES", "value": 242}, - {"name": "SQC_PERF_SEL_ICACHE_MISSES_DUPLICATE", "value": 243}, - {"name": "SQC_PERF_SEL_ICACHE_INVAL_INST", "value": 244}, - {"name": "SQC_PERF_SEL_ICACHE_INVAL_ASYNC", "value": 245}, - {"name": "SQC_PERF_SEL_ICACHE_INFLIGHT_LEVEL", "value": 246}, - {"name": "SQC_PERF_SEL_DCACHE_INFLIGHT_LEVEL", "value": 247}, - {"name": "SQC_PERF_SEL_TC_INFLIGHT_LEVEL", "value": 248}, - {"name": "SQC_PERF_SEL_ICACHE_TC_INFLIGHT_LEVEL", "value": 249}, - {"name": "SQC_PERF_SEL_DCACHE_TC_INFLIGHT_LEVEL", "value": 250}, - {"name": "SQC_PERF_SEL_ICACHE_INPUT_VALID_READY", "value": 251}, - {"name": "SQC_PERF_SEL_ICACHE_INPUT_VALID_READYB", "value": 252}, - {"name": "SQC_PERF_SEL_ICACHE_INPUT_VALIDB", "value": 253}, - {"name": "SQC_PERF_SEL_DCACHE_INPUT_VALID_READY", "value": 254}, - {"name": "SQC_PERF_SEL_DCACHE_INPUT_VALID_READYB", "value": 255}, - {"name": "SQC_PERF_SEL_DCACHE_INPUT_VALIDB", "value": 256}, - {"name": "SQC_PERF_SEL_TC_REQ", "value": 257}, - {"name": "SQC_PERF_SEL_TC_INST_REQ", "value": 258}, - {"name": "SQC_PERF_SEL_TC_DATA_READ_REQ", "value": 259}, - {"name": "SQC_PERF_SEL_TC_DATA_WRITE_REQ", "value": 260}, - {"name": "SQC_PERF_SEL_TC_DATA_ATOMIC_REQ", "value": 261}, - {"name": "SQC_PERF_SEL_TC_STALL", "value": 262}, - {"name": "SQC_PERF_SEL_TC_STARVE", "value": 263}, - {"name": "SQC_PERF_SEL_ICACHE_INPUT_STALL_ARB_NO_GRANT", "value": 264}, - {"name": "SQC_PERF_SEL_ICACHE_INPUT_STALL_BANK_READYB", "value": 265}, - {"name": "SQC_PERF_SEL_ICACHE_CACHE_STALLED", "value": 266}, - {"name": "SQC_PERF_SEL_ICACHE_CACHE_STALL_INFLIGHT_NONZERO", "value": 267}, - {"name": "SQC_PERF_SEL_ICACHE_CACHE_STALL_INFLIGHT_MAX", "value": 268}, - {"name": "SQC_PERF_SEL_ICACHE_CACHE_STALL_OUTPUT", "value": 269}, - {"name": "SQC_PERF_SEL_ICACHE_CACHE_STALL_OUTPUT_MISS_FIFO", "value": 270}, - {"name": "SQC_PERF_SEL_ICACHE_CACHE_STALL_OUTPUT_HIT_FIFO", "value": 271}, - {"name": "SQC_PERF_SEL_ICACHE_CACHE_STALL_OUTPUT_TC_IF", "value": 272}, - {"name": "SQC_PERF_SEL_ICACHE_STALL_OUTXBAR_ARB_NO_GRANT", "value": 273}, - {"name": "SQC_PERF_SEL_DCACHE_BUSY_CYCLES", "value": 274}, - {"name": "SQC_PERF_SEL_DCACHE_REQ", "value": 275}, - {"name": "SQC_PERF_SEL_DCACHE_HITS", "value": 276}, - {"name": "SQC_PERF_SEL_DCACHE_MISSES", "value": 277}, - {"name": "SQC_PERF_SEL_DCACHE_MISSES_DUPLICATE", "value": 278}, - {"name": "SQC_PERF_SEL_DCACHE_INVAL_INST", "value": 279}, - {"name": "SQC_PERF_SEL_DCACHE_INVAL_ASYNC", "value": 280}, - {"name": "SQC_PERF_SEL_DCACHE_HIT_LRU_READ", "value": 281}, - {"name": "SQC_PERF_SEL_DCACHE_WC_LRU_WRITE", "value": 282}, - {"name": "SQC_PERF_SEL_DCACHE_WT_EVICT_WRITE", "value": 283}, - {"name": "SQC_PERF_SEL_DCACHE_ATOMIC", "value": 284}, - {"name": "SQC_PERF_SEL_DCACHE_WB_INST", "value": 285}, - {"name": "SQC_PERF_SEL_DCACHE_WB_ASYNC", "value": 286}, - {"name": "SQC_PERF_SEL_DCACHE_INPUT_STALL_ARB_NO_GRANT", "value": 287}, - {"name": "SQC_PERF_SEL_DCACHE_INPUT_STALL_BANK_READYB", "value": 288}, - {"name": "SQC_PERF_SEL_DCACHE_CACHE_STALLED", "value": 289}, - {"name": "SQC_PERF_SEL_DCACHE_CACHE_STALL_INFLIGHT_MAX", "value": 290}, - {"name": "SQC_PERF_SEL_DCACHE_CACHE_STALL_OUTPUT", "value": 291}, - {"name": "SQC_PERF_SEL_DCACHE_CACHE_STALL_EVICT", "value": 292}, - {"name": "SQC_PERF_SEL_DCACHE_CACHE_STALL_UNORDERED", "value": 293}, - {"name": "SQC_PERF_SEL_DCACHE_CACHE_STALL_ALLOC_UNAVAILABLE", "value": 294}, - {"name": "SQC_PERF_SEL_DCACHE_CACHE_STALL_FORCE_EVICT", "value": 295}, - {"name": "SQC_PERF_SEL_DCACHE_CACHE_STALL_MULTI_FLUSH", "value": 296}, - {"name": "SQC_PERF_SEL_DCACHE_CACHE_STALL_FLUSH_DONE", "value": 297}, - {"name": "SQC_PERF_SEL_DCACHE_CACHE_STALL_OUTPUT_MISS_FIFO", "value": 298}, - {"name": "SQC_PERF_SEL_DCACHE_CACHE_STALL_OUTPUT_HIT_FIFO", "value": 299}, - {"name": "SQC_PERF_SEL_DCACHE_CACHE_STALL_OUTPUT_TC_IF", "value": 300}, - {"name": "SQC_PERF_SEL_DCACHE_STALL_OUTXBAR_ARB_NO_GRANT", "value": 301}, - {"name": "SQC_PERF_SEL_DCACHE_REQ_READ_1", "value": 302}, - {"name": "SQC_PERF_SEL_DCACHE_REQ_READ_2", "value": 303}, - {"name": "SQC_PERF_SEL_DCACHE_REQ_READ_4", "value": 304}, - {"name": "SQC_PERF_SEL_DCACHE_REQ_READ_8", "value": 305}, - {"name": "SQC_PERF_SEL_DCACHE_REQ_READ_16", "value": 306}, - {"name": "SQC_PERF_SEL_DCACHE_REQ_TIME", "value": 307}, - {"name": "SQC_PERF_SEL_DCACHE_REQ_WRITE_1", "value": 308}, - {"name": "SQC_PERF_SEL_DCACHE_REQ_WRITE_2", "value": 309}, - {"name": "SQC_PERF_SEL_DCACHE_REQ_WRITE_4", "value": 310}, - {"name": "SQC_PERF_SEL_DCACHE_REQ_ATC_PROBE", "value": 311}, - {"name": "SQC_PERF_SEL_SQ_DCACHE_REQS", "value": 312}, - {"name": "SQC_PERF_SEL_DCACHE_FLAT_REQ", "value": 313}, - {"name": "SQC_PERF_SEL_DCACHE_NONFLAT_REQ", "value": 314}, - {"name": "SQC_PERF_SEL_ICACHE_UTCL0_TRANSLATION_MISS", "value": 315}, - {"name": "SQC_PERF_SEL_ICACHE_UTCL0_PERMISSION_MISS", "value": 316}, - {"name": "SQC_PERF_SEL_ICACHE_UTCL0_TRANSLATION_HIT", "value": 317}, - {"name": "SQC_PERF_SEL_ICACHE_UTCL0_REQUEST", "value": 318}, - {"name": "SQC_PERF_SEL_ICACHE_UTCL0_XNACK", "value": 319}, - {"name": "SQC_PERF_SEL_ICACHE_UTCL0_STALL_INFLIGHT_MAX", "value": 320}, - {"name": "SQC_PERF_SEL_ICACHE_UTCL0_STALL_LRU_INFLIGHT", "value": 321}, - {"name": "SQC_PERF_SEL_ICACHE_UTCL0_LFIFO_FULL", "value": 322}, - {"name": "SQC_PERF_SEL_ICACHE_UTCL0_STALL_LFIFO_NOT_RES", "value": 323}, - {"name": "SQC_PERF_SEL_ICACHE_UTCL0_STALL_UTCL1_REQ_OUT_OF_CREDITS", "value": 324}, - {"name": "SQC_PERF_SEL_ICACHE_UTCL0_UTCL1_INFLIGHT", "value": 325}, - {"name": "SQC_PERF_SEL_ICACHE_UTCL0_STALL_MISSFIFO_FULL", "value": 326}, - {"name": "SQC_PERF_SEL_DCACHE_UTCL0_TRANSLATION_MISS", "value": 327}, - {"name": "SQC_PERF_SEL_DCACHE_UTCL0_PERMISSION_MISS", "value": 328}, - {"name": "SQC_PERF_SEL_DCACHE_UTCL0_TRANSLATION_HIT", "value": 329}, - {"name": "SQC_PERF_SEL_DCACHE_UTCL0_REQUEST", "value": 330}, - {"name": "SQC_PERF_SEL_DCACHE_UTCL0_XNACK", "value": 331}, - {"name": "SQC_PERF_SEL_DCACHE_UTCL0_STALL_INFLIGHT_MAX", "value": 332}, - {"name": "SQC_PERF_SEL_DCACHE_UTCL0_STALL_LRU_INFLIGHT", "value": 333}, - {"name": "SQC_PERF_SEL_DCACHE_UTCL0_LFIFO_FULL", "value": 334}, - {"name": "SQC_PERF_SEL_DCACHE_UTCL0_STALL_LFIFO_NOT_RES", "value": 335}, - {"name": "SQC_PERF_SEL_DCACHE_UTCL0_STALL_UTCL1_REQ_OUT_OF_CREDITS", "value": 336}, - {"name": "SQC_PERF_SEL_DCACHE_UTCL0_UTCL1_INFLIGHT", "value": 337}, - {"name": "SQC_PERF_SEL_DCACHE_UTCL0_STALL_MISSFIFO_FULL", "value": 338}, - {"name": "SQC_PERF_SEL_DCACHE_UTCL0_STALL_MULTI_MISS", "value": 339}, - {"name": "SQC_PERF_SEL_DCACHE_UTCL0_HIT_FIFO_FULL", "value": 340}, - {"name": "SQC_PERF_SEL_ICACHE_UTCL0_INFLIGHT_LEVEL", "value": 341}, - {"name": "SQC_PERF_SEL_ICACHE_UTCL0_ALL_REQ", "value": 342}, - {"name": "SQC_PERF_SEL_ICACHE_UTCL1_INFLIGHT_LEVEL", "value": 343}, - {"name": "SQC_PERF_SEL_ICACHE_UTCL1_ALL_REQ", "value": 344}, - {"name": "SQC_PERF_SEL_ICACHE_UTCL0_UTCL1_PERM_FAULT", "value": 345}, - {"name": "SQC_PERF_SEL_DCACHE_UTCL0_INFLIGHT_LEVEL", "value": 346}, - {"name": "SQC_PERF_SEL_DCACHE_UTCL0_ALL_REQ", "value": 347}, - {"name": "SQC_PERF_SEL_DCACHE_UTCL1_INFLIGHT_LEVEL", "value": 348}, - {"name": "SQC_PERF_SEL_DCACHE_UTCL1_ALL_REQ", "value": 349}, - {"name": "SQC_PERF_SEL_DCACHE_UTCL0_UTCL1_PERM_FAULT", "value": 350}, - {"name": "SQC_PERF_SEL_ICACHE_GCR", "value": 351}, - {"name": "SQC_PERF_SEL_ICACHE_GCR_HITS", "value": 352}, - {"name": "SQC_PERF_SEL_DCACHE_GCR", "value": 353}, - {"name": "SQC_PERF_SEL_DCACHE_GCR_HITS", "value": 354}, - {"name": "SQC_PERF_SEL_ICACHE_GCR_INVALIDATE", "value": 355}, - {"name": "SQC_PERF_SEL_DCACHE_GCR_INVALIDATE", "value": 356}, - {"name": "SQC_PERF_SEL_DCACHE_GCR_WRITEBACK", "value": 357}, - {"name": "SQC_PERF_SEL_DCACHE_SPI_RETURN_STALL", "value": 358}, - {"name": "SQC_PERF_SEL_DUMMY_LAST", "value": 359}, - {"name": "SP_PERF_SEL_DCACHE_WB_CNT", "value": 360}, - {"name": "SP_PERF_SEL_DCACHE_STALL", "value": 361}, - {"name": "SP_PERF_SEL_DCACHE_HIT", "value": 362}, - {"name": "SP_PERF_SEL_DCACHE_HIT_DIRTY", "value": 363}, - {"name": "SP_PERF_SEL_DCACHE_WB_CONF_W_LDS", "value": 364}, - {"name": "SP_PERF_SEL_DCACHE_WB_CONF_W_TD", "value": 365}, - {"name": "SP_PERF_SEL_DCACHE_WB_CONF_W_SPI", "value": 366}, - {"name": "SP_PERF_SEL_DCACHE_WB_CONF_W_EXP_VMEM", "value": 367}, - {"name": "SP_PERF_SEL_DCACHE_EVEN_DIRTY_CNT", "value": 368}, - {"name": "SP_PERF_SEL_DCACHE_ODD_DIRTY_CNT", "value": 369}, - {"name": "SP_PERF_SEL_DCACHE_INVALIDATE_BY_VEC", "value": 370}, - {"name": "SP_PERF_SEL_GATHER_SRCA_FWD", "value": 371}, - {"name": "SP_PERF_SEL_GATHER_SRCB_FWD", "value": 372}, - {"name": "SP_PERF_SEL_GATHER_SRCC_FWD", "value": 373}, - {"name": "SP_PERF_SEL_GATHER_SRCA_REDUNDANT", "value": 374}, - {"name": "SP_PERF_SEL_GATHER_SRCB_REDUNDANT", "value": 375}, - {"name": "SP_PERF_SEL_GATHER_SRCC_REDUNDANT", "value": 376}, - {"name": "SP_PERF_SEL_GATHER_SRCA_DIRECT", "value": 377}, - {"name": "SP_PERF_SEL_GATHER_SRCB_DIRECT", "value": 378}, - {"name": "SP_PERF_SEL_GATHER_SRCC_DIRECT", "value": 379}, - {"name": "SP_PERF_SEL_VGPR_VALU_BANK0_RD", "value": 380}, - {"name": "SP_PERF_SEL_VGPR_VALU_BANK1_RD", "value": 381}, - {"name": "SP_PERF_SEL_VGPR_VALU_BANK2_RD", "value": 382}, - {"name": "SP_PERF_SEL_VGPR_VALU_BANK3_RD", "value": 383}, - {"name": "SP_PERF_SEL_VGPR_VMEM_BANK_RD", "value": 384}, - {"name": "SP_PERF_SEL_VGPR_EXP_BANK_RD", "value": 385}, - {"name": "SP_PERF_SEL_VGPR_TDDATA_WR", "value": 386}, - {"name": "SP_PERF_SEL_VGPR_LDSDATA_WR", "value": 387}, - {"name": "SP_PERF_SEL_PB_STALL", "value": 388}, - {"name": "SP_PERF_SEL_PB_SEND", "value": 389}, - {"name": "SP_PERF_SEL_LDS_DIRECT_FIFO_FULL", "value": 390}, - {"name": "SP_PERF_SEL_LDS_DIRECT_FIFO_SEND", "value": 391}, - {"name": "SP_PERF_SEL_SQ_SP_CONST_FIFO_FULL", "value": 392}, - {"name": "SP_PERF_SEL_SQ_SP_CONST_FIFO_SEND", "value": 393}, - {"name": "SP_PERF_SEL_SGPR_FULL", "value": 394}, - {"name": "SP_PERF_SEL_VALU_CONFLICT_WITH_EXP", "value": 395}, - {"name": "SP_PERF_SEL_VALU_CONFLICT_WITH_VMEM_LDS", "value": 396}, - {"name": "SP_PERF_SEL_VALU_CONFLICT_WITH_VMEM_NON_LDS", "value": 397}, - {"name": "SP_PERF_SEL_VALU_STALL_DUE_CONST_NOT_READY", "value": 398}, - {"name": "SP_PERF_SEL_VALU_STALL_DUE_VDST_FWD", "value": 399}, - {"name": "SP_PERF_SEL_VALU_STALL_DUE_SDST_FWD", "value": 400}, - {"name": "SP_PERF_SEL_VALU_STALL_DUE_LDS_DIR_NOT_READY", "value": 401}, - {"name": "SP_PERF_SEL_VALU_STALL_DUE_VGPR_NOT_READY", "value": 402}, - {"name": "SP_PERF_SEL_VALU_STALL_DUE_DST_STALL", "value": 403}, - {"name": "SP_PERF_SEL_VALU_STALL_DUE_DST_CACHE_WRITE_CONF", "value": 404}, - {"name": "SP_PERF_SEL_VALU_STALL", "value": 405}, - {"name": "SP_PERF_SEL_PERF_MEM_RD_CNT", "value": 406}, - {"name": "SP_PERF_SEL_PERF_MEM_WR_CNT", "value": 407}, - {"name": "SP_PERF_SEL_PERF_THREAD_REDUNDANT", "value": 408}, - {"name": "SP_PERF_SEL_PERF_OP_W_1_PASS", "value": 409}, - {"name": "SP_PERF_SEL_PERF_OP_W_2_PASS", "value": 410}, - {"name": "SP_PERF_SEL_PERF_OP_W_4_PASS", "value": 411}, - {"name": "SP_PERF_SEL_PERF_OP_W_16_PASS", "value": 412}, - {"name": "SP_PERF_SEL_PERF_COEXEC", "value": 413}, - {"name": "SP_PERF_SEL_PERF_ACTIVE_THREAD", "value": 414}, - {"name": "SP_PERF_SEL_PERF_ALL_ACTIVE", "value": 415}, - {"name": "SP_PERF_SEL_PERF_ZERO_P_ZERO", "value": 416}, - {"name": "SP_PERF_SEL_PERF_TRANS_OP", "value": 417}, - {"name": "SP_PERF_SEL_PERF_OP_W_MAD", "value": 418}, - {"name": "SP_PERF_SEL_PERF_OP_W_MUL", "value": 419}, - {"name": "SP_PERF_SEL_PERF_OP_W_ADD", "value": 420}, - {"name": "SP_PERF_SEL_DUMMY_LAST", "value": 421} - ] - }, - "SU_PERFCNT_SEL": { - "entries": [ - {"name": "PERF_PAPC_PASX_REQ", "value": 0}, - {"name": "PERF_PAPC_PASX_DISABLE_PIPE", "value": 1}, - {"name": "PERF_PAPC_PASX_FIRST_VECTOR", "value": 2}, - {"name": "PERF_PAPC_PASX_SECOND_VECTOR", "value": 3}, - {"name": "PERF_PAPC_PASX_FIRST_DEAD", "value": 4}, - {"name": "PERF_PAPC_PASX_SECOND_DEAD", "value": 5}, - {"name": "PERF_PAPC_PASX_VTX_KILL_DISCARD", "value": 6}, - {"name": "PERF_PAPC_PASX_VTX_NAN_DISCARD", "value": 7}, - {"name": "PERF_PAPC_PA_INPUT_PRIM", "value": 8}, - {"name": "PERF_PAPC_PA_INPUT_NULL_PRIM", "value": 9}, - {"name": "PERF_PAPC_PA_INPUT_EVENT_FLAG", "value": 10}, - {"name": "PERF_PAPC_PA_INPUT_FIRST_PRIM_SLOT", "value": 11}, - {"name": "PERF_PAPC_PA_INPUT_END_OF_PACKET", "value": 12}, - {"name": "PERF_PAPC_PA_INPUT_EXTENDED_EVENT", "value": 13}, - {"name": "PERF_PAPC_CLPR_CULL_PRIM", "value": 14}, - {"name": "PERF_PAPC_CLPR_VVUCP_CULL_PRIM", "value": 15}, - {"name": "PERF_PAPC_CLPR_VV_CULL_PRIM", "value": 16}, - {"name": "PERF_PAPC_CLPR_UCP_CULL_PRIM", "value": 17}, - {"name": "PERF_PAPC_CLPR_VTX_KILL_CULL_PRIM", "value": 18}, - {"name": "PERF_PAPC_CLPR_VTX_NAN_CULL_PRIM", "value": 19}, - {"name": "PERF_PAPC_CLPR_CULL_TO_NULL_PRIM", "value": 20}, - {"name": "PERF_PAPC_CLPR_VVUCP_CLIP_PRIM", "value": 21}, - {"name": "PERF_PAPC_CLPR_VV_CLIP_PRIM", "value": 22}, - {"name": "PERF_PAPC_CLPR_UCP_CLIP_PRIM", "value": 23}, - {"name": "PERF_PAPC_CLPR_POINT_CLIP_CANDIDATE", "value": 24}, - {"name": "PERF_PAPC_CLPR_CLIP_PLANE_CNT_1", "value": 25}, - {"name": "PERF_PAPC_CLPR_CLIP_PLANE_CNT_2", "value": 26}, - {"name": "PERF_PAPC_CLPR_CLIP_PLANE_CNT_3", "value": 27}, - {"name": "PERF_PAPC_CLPR_CLIP_PLANE_CNT_4", "value": 28}, - {"name": "PERF_PAPC_CLPR_CLIP_PLANE_CNT_5_8", "value": 29}, - {"name": "PERF_PAPC_CLPR_CLIP_PLANE_CNT_9_12", "value": 30}, - {"name": "PERF_PAPC_CLPR_CLIP_PLANE_NEAR", "value": 31}, - {"name": "PERF_PAPC_CLPR_CLIP_PLANE_FAR", "value": 32}, - {"name": "PERF_PAPC_CLPR_CLIP_PLANE_LEFT", "value": 33}, - {"name": "PERF_PAPC_CLPR_CLIP_PLANE_RIGHT", "value": 34}, - {"name": "PERF_PAPC_CLPR_CLIP_PLANE_TOP", "value": 35}, - {"name": "PERF_PAPC_CLPR_CLIP_PLANE_BOTTOM", "value": 36}, - {"name": "PERF_PAPC_CLPR_GSC_KILL_CULL_PRIM", "value": 37}, - {"name": "PERF_PAPC_CLPR_RASTER_KILL_CULL_PRIM", "value": 38}, - {"name": "PERF_PAPC_CLSM_NULL_PRIM", "value": 39}, - {"name": "PERF_PAPC_CLSM_TOTALLY_VISIBLE_PRIM", "value": 40}, - {"name": "PERF_PAPC_CLSM_CULL_TO_NULL_PRIM", "value": 41}, - {"name": "PERF_PAPC_CLSM_OUT_PRIM_CNT_1", "value": 42}, - {"name": "PERF_PAPC_CLSM_OUT_PRIM_CNT_2", "value": 43}, - {"name": "PERF_PAPC_CLSM_OUT_PRIM_CNT_3", "value": 44}, - {"name": "PERF_PAPC_CLSM_OUT_PRIM_CNT_4", "value": 45}, - {"name": "PERF_PAPC_CLSM_OUT_PRIM_CNT_5_8", "value": 46}, - {"name": "PERF_PAPC_CLSM_OUT_PRIM_CNT_9_13", "value": 47}, - {"name": "PERF_PAPC_CLIPGA_VTE_KILL_PRIM", "value": 48}, - {"name": "PERF_PAPC_SU_INPUT_PRIM", "value": 49}, - {"name": "PERF_PAPC_SU_INPUT_CLIP_PRIM", "value": 50}, - {"name": "PERF_PAPC_SU_INPUT_NULL_PRIM", "value": 51}, - {"name": "PERF_PAPC_SU_INPUT_PRIM_DUAL", "value": 52}, - {"name": "PERF_PAPC_SU_INPUT_CLIP_PRIM_DUAL", "value": 53}, - {"name": "PERF_PAPC_SU_ZERO_AREA_CULL_PRIM", "value": 54}, - {"name": "PERF_PAPC_SU_BACK_FACE_CULL_PRIM", "value": 55}, - {"name": "PERF_PAPC_SU_FRONT_FACE_CULL_PRIM", "value": 56}, - {"name": "PERF_PAPC_SU_POLYMODE_FACE_CULL", "value": 57}, - {"name": "PERF_PAPC_SU_POLYMODE_BACK_CULL", "value": 58}, - {"name": "PERF_PAPC_SU_POLYMODE_FRONT_CULL", "value": 59}, - {"name": "PERF_PAPC_SU_POLYMODE_INVALID_FILL", "value": 60}, - {"name": "PERF_PAPC_SU_OUTPUT_PRIM", "value": 61}, - {"name": "PERF_PAPC_SU_OUTPUT_CLIP_PRIM", "value": 62}, - {"name": "PERF_PAPC_SU_OUTPUT_NULL_PRIM", "value": 63}, - {"name": "PERF_PAPC_SU_OUTPUT_EVENT_FLAG", "value": 64}, - {"name": "PERF_PAPC_SU_OUTPUT_FIRST_PRIM_SLOT", "value": 65}, - {"name": "PERF_PAPC_SU_OUTPUT_END_OF_PACKET", "value": 66}, - {"name": "PERF_PAPC_SU_OUTPUT_POLYMODE_FACE", "value": 67}, - {"name": "PERF_PAPC_SU_OUTPUT_POLYMODE_BACK", "value": 68}, - {"name": "PERF_PAPC_SU_OUTPUT_POLYMODE_FRONT", "value": 69}, - {"name": "PERF_PAPC_SU_OUT_CLIP_POLYMODE_FACE", "value": 70}, - {"name": "PERF_PAPC_SU_OUT_CLIP_POLYMODE_BACK", "value": 71}, - {"name": "PERF_PAPC_SU_OUT_CLIP_POLYMODE_FRONT", "value": 72}, - {"name": "PERF_PAPC_SU_OUTPUT_PRIM_DUAL", "value": 73}, - {"name": "PERF_PAPC_SU_OUTPUT_CLIP_PRIM_DUAL", "value": 74}, - {"name": "PERF_PAPC_SU_OUTPUT_POLYMODE_DUAL", "value": 75}, - {"name": "PERF_PAPC_SU_OUTPUT_CLIP_POLYMODE_DUAL", "value": 76}, - {"name": "PERF_PAPC_PASX_REQ_IDLE", "value": 77}, - {"name": "PERF_PAPC_PASX_REQ_BUSY", "value": 78}, - {"name": "PERF_PAPC_PASX_REQ_STALLED", "value": 79}, - {"name": "PERF_PAPC_PASX_REC_IDLE", "value": 80}, - {"name": "PERF_PAPC_PASX_REC_BUSY", "value": 81}, - {"name": "PERF_PAPC_PASX_REC_STARVED_SX", "value": 82}, - {"name": "PERF_PAPC_PASX_REC_STALLED", "value": 83}, - {"name": "PERF_PAPC_PASX_REC_STALLED_POS_MEM", "value": 84}, - {"name": "PERF_PAPC_PASX_REC_STALLED_CCGSM_IN", "value": 85}, - {"name": "PERF_PAPC_CCGSM_IDLE", "value": 86}, - {"name": "PERF_PAPC_CCGSM_BUSY", "value": 87}, - {"name": "PERF_PAPC_CCGSM_STALLED", "value": 88}, - {"name": "PERF_PAPC_CLPRIM_IDLE", "value": 89}, - {"name": "PERF_PAPC_CLPRIM_BUSY", "value": 90}, - {"name": "PERF_PAPC_CLPRIM_STALLED", "value": 91}, - {"name": "PERF_PAPC_CLPRIM_STARVED_CCGSM", "value": 92}, - {"name": "PERF_PAPC_CLIPSM_IDLE", "value": 93}, - {"name": "PERF_PAPC_CLIPSM_BUSY", "value": 94}, - {"name": "PERF_PAPC_CLIPSM_WAIT_CLIP_VERT_ENGH", "value": 95}, - {"name": "PERF_PAPC_CLIPSM_WAIT_HIGH_PRI_SEQ", "value": 96}, - {"name": "PERF_PAPC_CLIPSM_WAIT_CLIPGA", "value": 97}, - {"name": "PERF_PAPC_CLIPSM_WAIT_AVAIL_VTE_CLIP", "value": 98}, - {"name": "PERF_PAPC_CLIPSM_WAIT_CLIP_OUTSM", "value": 99}, - {"name": "PERF_PAPC_CLIPGA_IDLE", "value": 100}, - {"name": "PERF_PAPC_CLIPGA_BUSY", "value": 101}, - {"name": "PERF_PAPC_CLIPGA_STARVED_VTE_CLIP", "value": 102}, - {"name": "PERF_PAPC_CLIPGA_STALLED", "value": 103}, - {"name": "PERF_PAPC_CLIP_IDLE", "value": 104}, - {"name": "PERF_PAPC_CLIP_BUSY", "value": 105}, - {"name": "PERF_PAPC_SU_IDLE", "value": 106}, - {"name": "PERF_PAPC_SU_BUSY", "value": 107}, - {"name": "PERF_PAPC_SU_STARVED_CLIP", "value": 108}, - {"name": "PERF_PAPC_SU_STALLED_SC", "value": 109}, - {"name": "PERF_PAPC_CL_DYN_SCLK_VLD", "value": 110}, - {"name": "PERF_PAPC_SU_DYN_SCLK_VLD", "value": 111}, - {"name": "PERF_PAPC_PA_REG_SCLK_VLD", "value": 112}, - {"name": "PERF_PAPC_SU_MULTI_GPU_PRIM_FILTER_CULL", "value": 113}, - {"name": "PERF_PAPC_PASX_SE0_REQ", "value": 114}, - {"name": "PERF_PAPC_PASX_SE1_REQ", "value": 115}, - {"name": "PERF_PAPC_PASX_SE0_FIRST_VECTOR", "value": 116}, - {"name": "PERF_PAPC_PASX_SE0_SECOND_VECTOR", "value": 117}, - {"name": "PERF_PAPC_PASX_SE1_FIRST_VECTOR", "value": 118}, - {"name": "PERF_PAPC_PASX_SE1_SECOND_VECTOR", "value": 119}, - {"name": "PERF_PAPC_SU_SE0_PRIM_FILTER_CULL", "value": 120}, - {"name": "PERF_PAPC_SU_SE1_PRIM_FILTER_CULL", "value": 121}, - {"name": "PERF_PAPC_SU_SE01_PRIM_FILTER_CULL", "value": 122}, - {"name": "PERF_PAPC_SU_SE0_OUTPUT_PRIM", "value": 123}, - {"name": "PERF_PAPC_SU_SE1_OUTPUT_PRIM", "value": 124}, - {"name": "PERF_PAPC_SU_SE01_OUTPUT_PRIM", "value": 125}, - {"name": "PERF_PAPC_SU_SE0_OUTPUT_NULL_PRIM", "value": 126}, - {"name": "PERF_PAPC_SU_SE1_OUTPUT_NULL_PRIM", "value": 127}, - {"name": "PERF_PAPC_SU_SE01_OUTPUT_NULL_PRIM", "value": 128}, - {"name": "PERF_PAPC_SU_SE0_OUTPUT_FIRST_PRIM_SLOT", "value": 129}, - {"name": "PERF_PAPC_SU_SE1_OUTPUT_FIRST_PRIM_SLOT", "value": 130}, - {"name": "PERF_PAPC_SU_SE0_STALLED_SC", "value": 131}, - {"name": "PERF_PAPC_SU_SE1_STALLED_SC", "value": 132}, - {"name": "PERF_PAPC_SU_SE01_STALLED_SC", "value": 133}, - {"name": "PERF_PAPC_CLSM_CLIPPING_PRIM", "value": 134}, - {"name": "PERF_PAPC_SU_CULLED_PRIM", "value": 135}, - {"name": "PERF_PAPC_SU_OUTPUT_EOPG", "value": 136}, - {"name": "PERF_PAPC_SU_SE2_PRIM_FILTER_CULL", "value": 137}, - {"name": "PERF_PAPC_SU_SE3_PRIM_FILTER_CULL", "value": 138}, - {"name": "PERF_PAPC_SU_SE2_OUTPUT_PRIM", "value": 139}, - {"name": "PERF_PAPC_SU_SE3_OUTPUT_PRIM", "value": 140}, - {"name": "PERF_PAPC_SU_SE2_OUTPUT_NULL_PRIM", "value": 141}, - {"name": "PERF_PAPC_SU_SE3_OUTPUT_NULL_PRIM", "value": 142}, - {"name": "PERF_PAPC_SU_SE0_OUTPUT_END_OF_PACKET", "value": 143}, - {"name": "PERF_PAPC_SU_SE1_OUTPUT_END_OF_PACKET", "value": 144}, - {"name": "PERF_PAPC_SU_SE2_OUTPUT_END_OF_PACKET", "value": 145}, - {"name": "PERF_PAPC_SU_SE3_OUTPUT_END_OF_PACKET", "value": 146}, - {"name": "PERF_PAPC_SU_SE0_OUTPUT_EOPG", "value": 147}, - {"name": "PERF_PAPC_SU_SE1_OUTPUT_EOPG", "value": 148}, - {"name": "PERF_PAPC_SU_SE2_OUTPUT_EOPG", "value": 149}, - {"name": "PERF_PAPC_SU_SE3_OUTPUT_EOPG", "value": 150}, - {"name": "PERF_PAPC_SU_SE2_STALLED_SC", "value": 151}, - {"name": "PERF_PAPC_SU_SE3_STALLED_SC", "value": 152}, - {"name": "PERF_SU_SMALL_PRIM_FILTER_CULL_CNT", "value": 153}, - {"name": "PERF_SMALL_PRIM_CULL_PRIM_1X1", "value": 154}, - {"name": "PERF_SMALL_PRIM_CULL_PRIM_2X1", "value": 155}, - {"name": "PERF_SMALL_PRIM_CULL_PRIM_1X2", "value": 156}, - {"name": "PERF_SMALL_PRIM_CULL_PRIM_2X2", "value": 157}, - {"name": "PERF_SMALL_PRIM_CULL_PRIM_3X1", "value": 158}, - {"name": "PERF_SMALL_PRIM_CULL_PRIM_1X3", "value": 159}, - {"name": "PERF_SMALL_PRIM_CULL_PRIM_3X2", "value": 160}, - {"name": "PERF_SMALL_PRIM_CULL_PRIM_2X3", "value": 161}, - {"name": "PERF_SMALL_PRIM_CULL_PRIM_NX1", "value": 162}, - {"name": "PERF_SMALL_PRIM_CULL_PRIM_1XN", "value": 163}, - {"name": "PERF_SMALL_PRIM_CULL_PRIM_NX2", "value": 164}, - {"name": "PERF_SMALL_PRIM_CULL_PRIM_2XN", "value": 165}, - {"name": "PERF_SMALL_PRIM_CULL_PRIM_FULL_RES_EVENT", "value": 166}, - {"name": "PERF_SMALL_PRIM_CULL_PRIM_HALF_RES_EVENT", "value": 167}, - {"name": "PERF_SMALL_PRIM_CULL_PRIM_QUARTER_RES_EVENT", "value": 168}, - {"name": "PERF_SC0_QUALIFIED_SEND_BUSY_EVENT", "value": 169}, - {"name": "PERF_SC0_QUALIFIED_SEND_NOT_BUSY_EVENT", "value": 170}, - {"name": "PERF_SC1_QUALIFIED_SEND_BUSY_EVENT", "value": 171}, - {"name": "PERF_SC1_QUALIFIED_SEND_NOT_BUSY_EVENT", "value": 172}, - {"name": "PERF_SC2_QUALIFIED_SEND_BUSY_EVENT", "value": 173}, - {"name": "PERF_SC2_QUALIFIED_SEND_NOT_BUSY_EVENT", "value": 174}, - {"name": "PERF_SC3_QUALIFIED_SEND_BUSY_EVENT", "value": 175}, - {"name": "PERF_SC3_QUALIFIED_SEND_NOT_BUSY_EVENT", "value": 176}, - {"name": "PERF_PA_VERTEX_FIFO_FULL", "value": 177}, - {"name": "PERF_PA_PRIMIC_TO_CLPRIM_FIFO_FULL", "value": 178}, - {"name": "PERF_PA_FETCH_TO_PRIMIC_P_FIFO_FULL", "value": 179}, - {"name": "PERF_PA_FETCH_TO_SXIF_FIFO_FULL", "value": 180}, - {"name": "PERF_PA_PIPE0_SWITCHED_GEN", "value": 181}, - {"name": "PERF_PA_PIPE1_SWITCHED_GEN", "value": 182}, - {"name": "PERF_ENGG_CSB_MACHINE_IS_STARVED", "value": 183}, - {"name": "PERF_ENGG_CSB_MACHINE_STALLED_BY_CSB_MEMORY", "value": 184}, - {"name": "PERF_ENGG_CSB_MACHINE_STALLED_BY_SPI", "value": 185}, - {"name": "PERF_ENGG_CSB_GE_INPUT_FIFO_FULL", "value": 186}, - {"name": "PERF_ENGG_CSB_SPI_INPUT_FIFO_FULL", "value": 187}, - {"name": "PERF_ENGG_CSB_OBJECTID_INPUT_FIFO_FULL", "value": 188}, - {"name": "PERF_ENGG_CSB_GE_INPUT_FIFO_POP_BIT", "value": 189}, - {"name": "PERF_ENGG_CSB_PRIM_COUNT_EQ0", "value": 190}, - {"name": "PERF_ENGG_CSB_NULL_SUBGROUP", "value": 191}, - {"name": "PERF_ENGG_CSB_GE_SENDING_SUBGROUP", "value": 192}, - {"name": "PERF_ENGG_CSB_GE_MEMORY_FULL", "value": 193}, - {"name": "PERF_ENGG_CSB_GE_MEMORY_EMPTY", "value": 194}, - {"name": "PERF_ENGG_CSB_SPI_MEMORY_FULL", "value": 195}, - {"name": "PERF_ENGG_CSB_SPI_MEMORY_EMPTY", "value": 196}, - {"name": "PERF_ENGG_CSB_DELAY_BIN00", "value": 197}, - {"name": "PERF_ENGG_CSB_DELAY_BIN01", "value": 198}, - {"name": "PERF_ENGG_CSB_DELAY_BIN02", "value": 199}, - {"name": "PERF_ENGG_CSB_DELAY_BIN03", "value": 200}, - {"name": "PERF_ENGG_CSB_DELAY_BIN04", "value": 201}, - {"name": "PERF_ENGG_CSB_DELAY_BIN05", "value": 202}, - {"name": "PERF_ENGG_CSB_DELAY_BIN06", "value": 203}, - {"name": "PERF_ENGG_CSB_DELAY_BIN07", "value": 204}, - {"name": "PERF_ENGG_CSB_DELAY_BIN08", "value": 205}, - {"name": "PERF_ENGG_CSB_DELAY_BIN09", "value": 206}, - {"name": "PERF_ENGG_CSB_DELAY_BIN10", "value": 207}, - {"name": "PERF_ENGG_CSB_DELAY_BIN11", "value": 208}, - {"name": "PERF_ENGG_CSB_DELAY_BIN12", "value": 209}, - {"name": "PERF_ENGG_CSB_DELAY_BIN13", "value": 210}, - {"name": "PERF_ENGG_CSB_DELAY_BIN14", "value": 211}, - {"name": "PERF_ENGG_CSB_DELAY_BIN15", "value": 212}, - {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN00", "value": 213}, - {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN01", "value": 214}, - {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN02", "value": 215}, - {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN03", "value": 216}, - {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN04", "value": 217}, - {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN05", "value": 218}, - {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN06", "value": 219}, - {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN07", "value": 220}, - {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN08", "value": 221}, - {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN09", "value": 222}, - {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN10", "value": 223}, - {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN11", "value": 224}, - {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN12", "value": 225}, - {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN13", "value": 226}, - {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN14", "value": 227}, - {"name": "PERF_ENGG_CSB_SPI_DELAY_BIN15", "value": 228}, - {"name": "PERF_ENGG_INDEX_REQ_STARVED", "value": 229}, - {"name": "PERF_ENGG_INDEX_REQ_IDLE_AND_STALLED_BY_REQ2RTN_FIFO_FULL", "value": 230}, - {"name": "PERF_ENGG_INDEX_REQ_BUSY_AND_STALLED_BY_REQ2RTN_FIFO_FULL", "value": 231}, - {"name": "PERF_ENGG_INDEX_REQ_STALLED_BY_SX_CREDITS", "value": 232}, - {"name": "PERF_ENGG_INDEX_RET_REQ2RTN_FIFO_FULL", "value": 233}, - {"name": "PERF_ENGG_INDEX_RET_REQ2RTN_FIFO_EMPTY", "value": 234}, - {"name": "PERF_ENGG_INDEX_RET_SX_RECEIVE_FIFO_FULL", "value": 235}, - {"name": "PERF_ENGG_INDEX_RET_SXRX_STARVED_BY_CSB", "value": 236}, - {"name": "PERF_ENGG_INDEX_RET_SXRX_STARVED_BY_PRIMS", "value": 237}, - {"name": "PERF_ENGG_INDEX_RET_SXRX_STALLED_BY_PRIM_INDICES_CSB_FIFO", "value": 238}, - {"name": "PERF_ENGG_INDEX_RET_SXRX_STALLED_BY_PRIM_INDICES_FIFO", "value": 239}, - {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_EVENT", "value": 240}, - {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_NULL_SUBGROUP", "value": 241}, - {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_SUBGROUP_PRIMCOUNT_EQ0", "value": 242}, - {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_0_VALID_PRIMS_NOPL", "value": 243}, - {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_1_VALID_PRIMS_NOPL", "value": 244}, - {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_2_VALID_PRIMS_NOPL", "value": 245}, - {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_3_VALID_PRIMS_NOPL", "value": 246}, - {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_4_VALID_PRIMS_NOPL", "value": 247}, - {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_0_VALID_PRIMS_PL", "value": 248}, - {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_1_VALID_PRIMS_PL", "value": 249}, - {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_2_VALID_PRIMS_PL", "value": 250}, - {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_3_VALID_PRIMS_PL", "value": 251}, - {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_4_VALID_PRIMS_PL", "value": 252}, - {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_0_NULL_PRIMS", "value": 253}, - {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_1_NULL_PRIMS", "value": 254}, - {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_2_NULL_PRIMS", "value": 255}, - {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_3_NULL_PRIMS", "value": 256}, - {"name": "PERF_ENGG_INDEX_RET_SXRX_READING_QDWORD_4_NULL_PRIMS", "value": 257}, - {"name": "PERF_ENGG_INDEX_PRIM_IF_STALLED_BY_FULL_FETCH_TO_PRIMIC_P_FIFO", "value": 258}, - {"name": "PERF_ENGG_INDEX_PRIM_IF_STALLED_BY_FULL_FETCH_TO_PRIMIC_S_FIFO", "value": 259}, - {"name": "PERF_ENGG_INDEX_PRIM_IF_STARVED_BY_NO_CSB", "value": 260}, - {"name": "PERF_ENGG_INDEX_PRIM_IF_STARVED_BY_NO_PRIM", "value": 261}, - {"name": "PERF_ENGG_INDEX_PRIM_IF_FETCH_TO_PRIMIC_P_FIFO_WRITE", "value": 262}, - {"name": "PERF_ENGG_INDEX_PRIM_IF_FETCH_TO_PRIMIC_P_FIFO_NO_WRITE", "value": 263}, - {"name": "PERF_ENGG_POS_REQ_STARVED", "value": 264}, - {"name": "PERF_ENGG_POS_REQ_STALLED_BY_FULL_CLIPV_FIFO", "value": 265} - ] - }, "SX_BLEND_OPT": { "entries": [ {"name": "BLEND_OPT_PRESERVE_NONE_IGNORE_ALL", "value": 0}, @@ -5327,6 +543,17 @@ {"name": "BLEND_OPT_PRESERVE_NONE_IGNORE_NONE", "value": 7} ] }, + "SX_BLEND_OPT_EPSILON__MRT0_EPSILON": { + "entries": [ + {"name": "EXACT", "value": 0}, + {"name": "11BIT_FORMAT", "value": 1}, + {"name": "10BIT_FORMAT", "value": 3}, + {"name": "8BIT_FORMAT", "value": 6}, + {"name": "6BIT_FORMAT", "value": 11}, + {"name": "5BIT_FORMAT", "value": 13}, + {"name": "4BIT_FORMAT", "value": 15} + ] + }, "SX_DOWNCONVERT_FORMAT": { "entries": [ {"name": "SX_RT_EXPORT_NO_CONVERSION", "value": 0}, @@ -5339,8 +566,7 @@ {"name": "SX_RT_EXPORT_1_5_5_5", "value": 7}, {"name": "SX_RT_EXPORT_4_4_4_4", "value": 8}, {"name": "SX_RT_EXPORT_16_16_GR", "value": 9}, - {"name": "SX_RT_EXPORT_16_16_AR", "value": 10}, - {"name": "SX_RT_EXPORT_9_9_9_E5", "value": 11} + {"name": "SX_RT_EXPORT_16_16_AR", "value": 10} ] }, "SX_OPT_COMB_FCN": { @@ -5355,231 +581,6 @@ {"name": "OPT_COMB_SAFE_ADD", "value": 7} ] }, - "SX_PERFCOUNTER_VALS": { - "entries": [ - {"name": "SX_PERF_SEL_PA_IDLE_CYCLES", "value": 0}, - {"name": "SX_PERF_SEL_PA_REQ", "value": 1}, - {"name": "SX_PERF_SEL_PA_POS", "value": 2}, - {"name": "SX_PERF_SEL_CLOCK", "value": 3}, - {"name": "SX_PERF_SEL_GATE_EN1", "value": 4}, - {"name": "SX_PERF_SEL_GATE_EN2", "value": 5}, - {"name": "SX_PERF_SEL_GATE_EN3", "value": 6}, - {"name": "SX_PERF_SEL_GATE_EN4", "value": 7}, - {"name": "SX_PERF_SEL_SH_POS_STARVE", "value": 8}, - {"name": "SX_PERF_SEL_SH_COLOR_STARVE", "value": 9}, - {"name": "SX_PERF_SEL_SH_POS_STALL", "value": 10}, - {"name": "SX_PERF_SEL_SH_COLOR_STALL", "value": 11}, - {"name": "SX_PERF_SEL_DB0_PIXELS", "value": 12}, - {"name": "SX_PERF_SEL_DB0_HALF_QUADS", "value": 13}, - {"name": "SX_PERF_SEL_DB0_PIXEL_STALL", "value": 14}, - {"name": "SX_PERF_SEL_DB0_PIXEL_IDLE", "value": 15}, - {"name": "SX_PERF_SEL_DB0_PRED_PIXELS", "value": 16}, - {"name": "SX_PERF_SEL_DB1_PIXELS", "value": 17}, - {"name": "SX_PERF_SEL_DB1_HALF_QUADS", "value": 18}, - {"name": "SX_PERF_SEL_DB1_PIXEL_STALL", "value": 19}, - {"name": "SX_PERF_SEL_DB1_PIXEL_IDLE", "value": 20}, - {"name": "SX_PERF_SEL_DB1_PRED_PIXELS", "value": 21}, - {"name": "SX_PERF_SEL_DB2_PIXELS", "value": 22}, - {"name": "SX_PERF_SEL_DB2_HALF_QUADS", "value": 23}, - {"name": "SX_PERF_SEL_DB2_PIXEL_STALL", "value": 24}, - {"name": "SX_PERF_SEL_DB2_PIXEL_IDLE", "value": 25}, - {"name": "SX_PERF_SEL_DB2_PRED_PIXELS", "value": 26}, - {"name": "SX_PERF_SEL_DB3_PIXELS", "value": 27}, - {"name": "SX_PERF_SEL_DB3_HALF_QUADS", "value": 28}, - {"name": "SX_PERF_SEL_DB3_PIXEL_STALL", "value": 29}, - {"name": "SX_PERF_SEL_DB3_PIXEL_IDLE", "value": 30}, - {"name": "SX_PERF_SEL_DB3_PRED_PIXELS", "value": 31}, - {"name": "SX_PERF_SEL_COL_BUSY", "value": 32}, - {"name": "SX_PERF_SEL_POS_BUSY", "value": 33}, - {"name": "SX_PERF_SEL_DB0_A2M_DISCARD_QUADS", "value": 34}, - {"name": "SX_PERF_SEL_DB0_MRT0_BLEND_BYPASS", "value": 35}, - {"name": "SX_PERF_SEL_DB0_MRT0_DONT_RD_DEST", "value": 36}, - {"name": "SX_PERF_SEL_DB0_MRT0_DISCARD_SRC", "value": 37}, - {"name": "SX_PERF_SEL_DB0_MRT0_SINGLE_QUADS", "value": 38}, - {"name": "SX_PERF_SEL_DB0_MRT0_DOUBLE_QUADS", "value": 39}, - {"name": "SX_PERF_SEL_DB0_MRT1_BLEND_BYPASS", "value": 40}, - {"name": "SX_PERF_SEL_DB0_MRT1_DONT_RD_DEST", "value": 41}, - {"name": "SX_PERF_SEL_DB0_MRT1_DISCARD_SRC", "value": 42}, - {"name": "SX_PERF_SEL_DB0_MRT1_SINGLE_QUADS", "value": 43}, - {"name": "SX_PERF_SEL_DB0_MRT1_DOUBLE_QUADS", "value": 44}, - {"name": "SX_PERF_SEL_DB0_MRT2_BLEND_BYPASS", "value": 45}, - {"name": "SX_PERF_SEL_DB0_MRT2_DONT_RD_DEST", "value": 46}, - {"name": "SX_PERF_SEL_DB0_MRT2_DISCARD_SRC", "value": 47}, - {"name": "SX_PERF_SEL_DB0_MRT2_SINGLE_QUADS", "value": 48}, - {"name": "SX_PERF_SEL_DB0_MRT2_DOUBLE_QUADS", "value": 49}, - {"name": "SX_PERF_SEL_DB0_MRT3_BLEND_BYPASS", "value": 50}, - {"name": "SX_PERF_SEL_DB0_MRT3_DONT_RD_DEST", "value": 51}, - {"name": "SX_PERF_SEL_DB0_MRT3_DISCARD_SRC", "value": 52}, - {"name": "SX_PERF_SEL_DB0_MRT3_SINGLE_QUADS", "value": 53}, - {"name": "SX_PERF_SEL_DB0_MRT3_DOUBLE_QUADS", "value": 54}, - {"name": "SX_PERF_SEL_DB0_MRT4_BLEND_BYPASS", "value": 55}, - {"name": "SX_PERF_SEL_DB0_MRT4_DONT_RD_DEST", "value": 56}, - {"name": "SX_PERF_SEL_DB0_MRT4_DISCARD_SRC", "value": 57}, - {"name": "SX_PERF_SEL_DB0_MRT4_SINGLE_QUADS", "value": 58}, - {"name": "SX_PERF_SEL_DB0_MRT4_DOUBLE_QUADS", "value": 59}, - {"name": "SX_PERF_SEL_DB0_MRT5_BLEND_BYPASS", "value": 60}, - {"name": "SX_PERF_SEL_DB0_MRT5_DONT_RD_DEST", "value": 61}, - {"name": "SX_PERF_SEL_DB0_MRT5_DISCARD_SRC", "value": 62}, - {"name": "SX_PERF_SEL_DB0_MRT5_SINGLE_QUADS", "value": 63}, - {"name": "SX_PERF_SEL_DB0_MRT5_DOUBLE_QUADS", "value": 64}, - {"name": "SX_PERF_SEL_DB0_MRT6_BLEND_BYPASS", "value": 65}, - {"name": "SX_PERF_SEL_DB0_MRT6_DONT_RD_DEST", "value": 66}, - {"name": "SX_PERF_SEL_DB0_MRT6_DISCARD_SRC", "value": 67}, - {"name": "SX_PERF_SEL_DB0_MRT6_SINGLE_QUADS", "value": 68}, - {"name": "SX_PERF_SEL_DB0_MRT6_DOUBLE_QUADS", "value": 69}, - {"name": "SX_PERF_SEL_DB0_MRT7_BLEND_BYPASS", "value": 70}, - {"name": "SX_PERF_SEL_DB0_MRT7_DONT_RD_DEST", "value": 71}, - {"name": "SX_PERF_SEL_DB0_MRT7_DISCARD_SRC", "value": 72}, - {"name": "SX_PERF_SEL_DB0_MRT7_SINGLE_QUADS", "value": 73}, - {"name": "SX_PERF_SEL_DB0_MRT7_DOUBLE_QUADS", "value": 74}, - {"name": "SX_PERF_SEL_DB1_A2M_DISCARD_QUADS", "value": 75}, - {"name": "SX_PERF_SEL_DB1_MRT0_BLEND_BYPASS", "value": 76}, - {"name": "SX_PERF_SEL_DB1_MRT0_DONT_RD_DEST", "value": 77}, - {"name": "SX_PERF_SEL_DB1_MRT0_DISCARD_SRC", "value": 78}, - {"name": "SX_PERF_SEL_DB1_MRT0_SINGLE_QUADS", "value": 79}, - {"name": "SX_PERF_SEL_DB1_MRT0_DOUBLE_QUADS", "value": 80}, - {"name": "SX_PERF_SEL_DB1_MRT1_BLEND_BYPASS", "value": 81}, - {"name": "SX_PERF_SEL_DB1_MRT1_DONT_RD_DEST", "value": 82}, - {"name": "SX_PERF_SEL_DB1_MRT1_DISCARD_SRC", "value": 83}, - {"name": "SX_PERF_SEL_DB1_MRT1_SINGLE_QUADS", "value": 84}, - {"name": "SX_PERF_SEL_DB1_MRT1_DOUBLE_QUADS", "value": 85}, - {"name": "SX_PERF_SEL_DB1_MRT2_BLEND_BYPASS", "value": 86}, - {"name": "SX_PERF_SEL_DB1_MRT2_DONT_RD_DEST", "value": 87}, - {"name": "SX_PERF_SEL_DB1_MRT2_DISCARD_SRC", "value": 88}, - {"name": "SX_PERF_SEL_DB1_MRT2_SINGLE_QUADS", "value": 89}, - {"name": "SX_PERF_SEL_DB1_MRT2_DOUBLE_QUADS", "value": 90}, - {"name": "SX_PERF_SEL_DB1_MRT3_BLEND_BYPASS", "value": 91}, - {"name": "SX_PERF_SEL_DB1_MRT3_DONT_RD_DEST", "value": 92}, - {"name": "SX_PERF_SEL_DB1_MRT3_DISCARD_SRC", "value": 93}, - {"name": "SX_PERF_SEL_DB1_MRT3_SINGLE_QUADS", "value": 94}, - {"name": "SX_PERF_SEL_DB1_MRT3_DOUBLE_QUADS", "value": 95}, - {"name": "SX_PERF_SEL_DB1_MRT4_BLEND_BYPASS", "value": 96}, - {"name": "SX_PERF_SEL_DB1_MRT4_DONT_RD_DEST", "value": 97}, - {"name": "SX_PERF_SEL_DB1_MRT4_DISCARD_SRC", "value": 98}, - {"name": "SX_PERF_SEL_DB1_MRT4_SINGLE_QUADS", "value": 99}, - {"name": "SX_PERF_SEL_DB1_MRT4_DOUBLE_QUADS", "value": 100}, - {"name": "SX_PERF_SEL_DB1_MRT5_BLEND_BYPASS", "value": 101}, - {"name": "SX_PERF_SEL_DB1_MRT5_DONT_RD_DEST", "value": 102}, - {"name": "SX_PERF_SEL_DB1_MRT5_DISCARD_SRC", "value": 103}, - {"name": "SX_PERF_SEL_DB1_MRT5_SINGLE_QUADS", "value": 104}, - {"name": "SX_PERF_SEL_DB1_MRT5_DOUBLE_QUADS", "value": 105}, - {"name": "SX_PERF_SEL_DB1_MRT6_BLEND_BYPASS", "value": 106}, - {"name": "SX_PERF_SEL_DB1_MRT6_DONT_RD_DEST", "value": 107}, - {"name": "SX_PERF_SEL_DB1_MRT6_DISCARD_SRC", "value": 108}, - {"name": "SX_PERF_SEL_DB1_MRT6_SINGLE_QUADS", "value": 109}, - {"name": "SX_PERF_SEL_DB1_MRT6_DOUBLE_QUADS", "value": 110}, - {"name": "SX_PERF_SEL_DB1_MRT7_BLEND_BYPASS", "value": 111}, - {"name": "SX_PERF_SEL_DB1_MRT7_DONT_RD_DEST", "value": 112}, - {"name": "SX_PERF_SEL_DB1_MRT7_DISCARD_SRC", "value": 113}, - {"name": "SX_PERF_SEL_DB1_MRT7_SINGLE_QUADS", "value": 114}, - {"name": "SX_PERF_SEL_DB1_MRT7_DOUBLE_QUADS", "value": 115}, - {"name": "SX_PERF_SEL_DB2_A2M_DISCARD_QUADS", "value": 116}, - {"name": "SX_PERF_SEL_DB2_MRT0_BLEND_BYPASS", "value": 117}, - {"name": "SX_PERF_SEL_DB2_MRT0_DONT_RD_DEST", "value": 118}, - {"name": "SX_PERF_SEL_DB2_MRT0_DISCARD_SRC", "value": 119}, - {"name": "SX_PERF_SEL_DB2_MRT0_SINGLE_QUADS", "value": 120}, - {"name": "SX_PERF_SEL_DB2_MRT0_DOUBLE_QUADS", "value": 121}, - {"name": "SX_PERF_SEL_DB2_MRT1_BLEND_BYPASS", "value": 122}, - {"name": "SX_PERF_SEL_DB2_MRT1_DONT_RD_DEST", "value": 123}, - {"name": "SX_PERF_SEL_DB2_MRT1_DISCARD_SRC", "value": 124}, - {"name": "SX_PERF_SEL_DB2_MRT1_SINGLE_QUADS", "value": 125}, - {"name": "SX_PERF_SEL_DB2_MRT1_DOUBLE_QUADS", "value": 126}, - {"name": "SX_PERF_SEL_DB2_MRT2_BLEND_BYPASS", "value": 127}, - {"name": "SX_PERF_SEL_DB2_MRT2_DONT_RD_DEST", "value": 128}, - {"name": "SX_PERF_SEL_DB2_MRT2_DISCARD_SRC", "value": 129}, - {"name": "SX_PERF_SEL_DB2_MRT2_SINGLE_QUADS", "value": 130}, - {"name": "SX_PERF_SEL_DB2_MRT2_DOUBLE_QUADS", "value": 131}, - {"name": "SX_PERF_SEL_DB2_MRT3_BLEND_BYPASS", "value": 132}, - {"name": "SX_PERF_SEL_DB2_MRT3_DONT_RD_DEST", "value": 133}, - {"name": "SX_PERF_SEL_DB2_MRT3_DISCARD_SRC", "value": 134}, - {"name": "SX_PERF_SEL_DB2_MRT3_SINGLE_QUADS", "value": 135}, - {"name": "SX_PERF_SEL_DB2_MRT3_DOUBLE_QUADS", "value": 136}, - {"name": "SX_PERF_SEL_DB2_MRT4_BLEND_BYPASS", "value": 137}, - {"name": "SX_PERF_SEL_DB2_MRT4_DONT_RD_DEST", "value": 138}, - {"name": "SX_PERF_SEL_DB2_MRT4_DISCARD_SRC", "value": 139}, - {"name": "SX_PERF_SEL_DB2_MRT4_SINGLE_QUADS", "value": 140}, - {"name": "SX_PERF_SEL_DB2_MRT4_DOUBLE_QUADS", "value": 141}, - {"name": "SX_PERF_SEL_DB2_MRT5_BLEND_BYPASS", "value": 142}, - {"name": "SX_PERF_SEL_DB2_MRT5_DONT_RD_DEST", "value": 143}, - {"name": "SX_PERF_SEL_DB2_MRT5_DISCARD_SRC", "value": 144}, - {"name": "SX_PERF_SEL_DB2_MRT5_SINGLE_QUADS", "value": 145}, - {"name": "SX_PERF_SEL_DB2_MRT5_DOUBLE_QUADS", "value": 146}, - {"name": "SX_PERF_SEL_DB2_MRT6_BLEND_BYPASS", "value": 147}, - {"name": "SX_PERF_SEL_DB2_MRT6_DONT_RD_DEST", "value": 148}, - {"name": "SX_PERF_SEL_DB2_MRT6_DISCARD_SRC", "value": 149}, - {"name": "SX_PERF_SEL_DB2_MRT6_SINGLE_QUADS", "value": 150}, - {"name": "SX_PERF_SEL_DB2_MRT6_DOUBLE_QUADS", "value": 151}, - {"name": "SX_PERF_SEL_DB2_MRT7_BLEND_BYPASS", "value": 152}, - {"name": "SX_PERF_SEL_DB2_MRT7_DONT_RD_DEST", "value": 153}, - {"name": "SX_PERF_SEL_DB2_MRT7_DISCARD_SRC", "value": 154}, - {"name": "SX_PERF_SEL_DB2_MRT7_SINGLE_QUADS", "value": 155}, - {"name": "SX_PERF_SEL_DB2_MRT7_DOUBLE_QUADS", "value": 156}, - {"name": "SX_PERF_SEL_DB3_A2M_DISCARD_QUADS", "value": 157}, - {"name": "SX_PERF_SEL_DB3_MRT0_BLEND_BYPASS", "value": 158}, - {"name": "SX_PERF_SEL_DB3_MRT0_DONT_RD_DEST", "value": 159}, - {"name": "SX_PERF_SEL_DB3_MRT0_DISCARD_SRC", "value": 160}, - {"name": "SX_PERF_SEL_DB3_MRT0_SINGLE_QUADS", "value": 161}, - {"name": "SX_PERF_SEL_DB3_MRT0_DOUBLE_QUADS", "value": 162}, - {"name": "SX_PERF_SEL_DB3_MRT1_BLEND_BYPASS", "value": 163}, - {"name": "SX_PERF_SEL_DB3_MRT1_DONT_RD_DEST", "value": 164}, - {"name": "SX_PERF_SEL_DB3_MRT1_DISCARD_SRC", "value": 165}, - {"name": "SX_PERF_SEL_DB3_MRT1_SINGLE_QUADS", "value": 166}, - {"name": "SX_PERF_SEL_DB3_MRT1_DOUBLE_QUADS", "value": 167}, - {"name": "SX_PERF_SEL_DB3_MRT2_BLEND_BYPASS", "value": 168}, - {"name": "SX_PERF_SEL_DB3_MRT2_DONT_RD_DEST", "value": 169}, - {"name": "SX_PERF_SEL_DB3_MRT2_DISCARD_SRC", "value": 170}, - {"name": "SX_PERF_SEL_DB3_MRT2_SINGLE_QUADS", "value": 171}, - {"name": "SX_PERF_SEL_DB3_MRT2_DOUBLE_QUADS", "value": 172}, - {"name": "SX_PERF_SEL_DB3_MRT3_BLEND_BYPASS", "value": 173}, - {"name": "SX_PERF_SEL_DB3_MRT3_DONT_RD_DEST", "value": 174}, - {"name": "SX_PERF_SEL_DB3_MRT3_DISCARD_SRC", "value": 175}, - {"name": "SX_PERF_SEL_DB3_MRT3_SINGLE_QUADS", "value": 176}, - {"name": "SX_PERF_SEL_DB3_MRT3_DOUBLE_QUADS", "value": 177}, - {"name": "SX_PERF_SEL_DB3_MRT4_BLEND_BYPASS", "value": 178}, - {"name": "SX_PERF_SEL_DB3_MRT4_DONT_RD_DEST", "value": 179}, - {"name": "SX_PERF_SEL_DB3_MRT4_DISCARD_SRC", "value": 180}, - {"name": "SX_PERF_SEL_DB3_MRT4_SINGLE_QUADS", "value": 181}, - {"name": "SX_PERF_SEL_DB3_MRT4_DOUBLE_QUADS", "value": 182}, - {"name": "SX_PERF_SEL_DB3_MRT5_BLEND_BYPASS", "value": 183}, - {"name": "SX_PERF_SEL_DB3_MRT5_DONT_RD_DEST", "value": 184}, - {"name": "SX_PERF_SEL_DB3_MRT5_DISCARD_SRC", "value": 185}, - {"name": "SX_PERF_SEL_DB3_MRT5_SINGLE_QUADS", "value": 186}, - {"name": "SX_PERF_SEL_DB3_MRT5_DOUBLE_QUADS", "value": 187}, - {"name": "SX_PERF_SEL_DB3_MRT6_BLEND_BYPASS", "value": 188}, - {"name": "SX_PERF_SEL_DB3_MRT6_DONT_RD_DEST", "value": 189}, - {"name": "SX_PERF_SEL_DB3_MRT6_DISCARD_SRC", "value": 190}, - {"name": "SX_PERF_SEL_DB3_MRT6_SINGLE_QUADS", "value": 191}, - {"name": "SX_PERF_SEL_DB3_MRT6_DOUBLE_QUADS", "value": 192}, - {"name": "SX_PERF_SEL_DB3_MRT7_BLEND_BYPASS", "value": 193}, - {"name": "SX_PERF_SEL_DB3_MRT7_DONT_RD_DEST", "value": 194}, - {"name": "SX_PERF_SEL_DB3_MRT7_DISCARD_SRC", "value": 195}, - {"name": "SX_PERF_SEL_DB3_MRT7_SINGLE_QUADS", "value": 196}, - {"name": "SX_PERF_SEL_DB3_MRT7_DOUBLE_QUADS", "value": 197}, - {"name": "SX_PERF_SEL_PA_REQ_LATENCY", "value": 198}, - {"name": "SX_PERF_SEL_POS_SCBD_STALL", "value": 199}, - {"name": "SX_PERF_SEL_COL_SCBD_STALL", "value": 200}, - {"name": "SX_PERF_SEL_CLOCK_DROP_STALL", "value": 201}, - {"name": "SX_PERF_SEL_GATE_EN5", "value": 202}, - {"name": "SX_PERF_SEL_GATE_EN6", "value": 203}, - {"name": "SX_PERF_SEL_DB0_SIZE", "value": 204}, - {"name": "SX_PERF_SEL_DB1_SIZE", "value": 205}, - {"name": "SX_PERF_SEL_DB2_SIZE", "value": 206}, - {"name": "SX_PERF_SEL_DB3_SIZE", "value": 207}, - {"name": "SX_PERF_SEL_SPLITMODE", "value": 208}, - {"name": "SX_PERF_SEL_COL_SCBD0_STALL", "value": 209}, - {"name": "SX_PERF_SEL_COL_SCBD1_STALL", "value": 210}, - {"name": "SX_PERF_SEL_IDX_STALL_CYCLES", "value": 211}, - {"name": "SX_PERF_SEL_IDX_IDLE_CYCLES", "value": 212}, - {"name": "SX_PERF_SEL_IDX_REQ", "value": 213}, - {"name": "SX_PERF_SEL_IDX_RET", "value": 214}, - {"name": "SX_PERF_SEL_IDX_REQ_LATENCY", "value": 215}, - {"name": "SX_PERF_SEL_IDX_SCBD_STALL", "value": 216}, - {"name": "SX_PERF_SEL_GATE_EN7", "value": 217}, - {"name": "SX_PERF_SEL_GATE_EN8", "value": 218}, - {"name": "SX_PERF_SEL_SH_IDX_STARVE", "value": 219}, - {"name": "SX_PERF_SEL_IDX_BUSY", "value": 220} - ] - }, "ScMap": { "entries": [ {"name": "RASTER_CONFIG_SC_MAP_0", "value": 0}, @@ -5595,14 +596,6 @@ {"name": "SC_LSB_TWO_SIDED", "value": 2} ] }, - "ScUncertaintyRegionMult": { - "entries": [ - {"name": "SC_UR_1X", "value": 0}, - {"name": "SC_UR_2X", "value": 1}, - {"name": "SC_UR_4X", "value": 2}, - {"name": "SC_UR_8X", "value": 3} - ] - }, "ScXsel": { "entries": [ {"name": "RASTER_CONFIG_SC_XSEL_8_WIDE_TILE", "value": 0}, @@ -5667,6 +660,12 @@ {"name": "RASTER_CONFIG_SE_YSEL_64_WIDE_TILE", "value": 3} ] }, + "StencilFormat": { + "entries": [ + {"name": "STENCIL_INVALID", "value": 0}, + {"name": "STENCIL_8", "value": 1} + ] + }, "StencilOp": { "entries": [ {"name": "STENCIL_KEEP", "value": 0}, @@ -5687,410 +686,85 @@ {"name": "STENCIL_XNOR", "value": 15} ] }, - "TA_PERFCOUNT_SEL": { + "SurfaceEndian": { + "entries": [ + {"name": "ENDIAN_NONE", "value": 0}, + {"name": "ENDIAN_8IN16", "value": 1}, + {"name": "ENDIAN_8IN32", "value": 2}, + {"name": "ENDIAN_8IN64", "value": 3} + ] + }, + "SurfaceNumber": { "entries": [ - {"name": "TA_PERF_SEL_NULL", "value": 0}, - {"name": "TA_PERF_SEL_RESERVED_1", "value": 1}, - {"name": "TA_PERF_SEL_RESERVED_2", "value": 2}, - {"name": "TA_PERF_SEL_RESERVED_3", "value": 3}, - {"name": "TA_PERF_SEL_RESERVED_4", "value": 4}, - {"name": "TA_PERF_SEL_RESERVED_5", "value": 5}, - {"name": "TA_PERF_SEL_RESERVED_6", "value": 6}, - {"name": "TA_PERF_SEL_gradient_busy", "value": 7}, - {"name": "TA_PERF_SEL_gradient_fifo_busy", "value": 8}, - {"name": "TA_PERF_SEL_lod_busy", "value": 9}, - {"name": "TA_PERF_SEL_lod_fifo_busy", "value": 10}, - {"name": "TA_PERF_SEL_addresser_busy", "value": 11}, - {"name": "TA_PERF_SEL_addresser_fifo_busy", "value": 12}, - {"name": "TA_PERF_SEL_aligner_busy", "value": 13}, - {"name": "TA_PERF_SEL_write_path_busy", "value": 14}, - {"name": "TA_PERF_SEL_ta_busy", "value": 15}, - {"name": "TA_PERF_SEL_RESERVED_16", "value": 16}, - {"name": "TA_PERF_SEL_RESERVED_17", "value": 17}, - {"name": "TA_PERF_SEL_RESERVED_18", "value": 18}, - {"name": "TA_PERF_SEL_RESERVED_19", "value": 19}, - {"name": "TA_PERF_SEL_RESERVED_20", "value": 20}, - {"name": "TA_PERF_SEL_RESERVED_21", "value": 21}, - {"name": "TA_PERF_SEL_RESERVED_22", "value": 22}, - {"name": "TA_PERF_SEL_RESERVED_23", "value": 23}, - {"name": "TA_PERF_SEL_RESERVED_24", "value": 24}, - {"name": "TA_PERF_SEL_RESERVED_25", "value": 25}, - {"name": "TA_PERF_SEL_RESERVED_26", "value": 26}, - {"name": "TA_PERF_SEL_RESERVED_27", "value": 27}, - {"name": "TA_PERF_SEL_RESERVED_28", "value": 28}, - {"name": "TA_PERF_SEL_RESERVED_29", "value": 29}, - {"name": "TA_PERF_SEL_RESERVED_30", "value": 30}, - {"name": "TA_PERF_SEL_RESERVED_31", "value": 31}, - {"name": "TA_PERF_SEL_total_wavefronts", "value": 32}, - {"name": "TA_PERF_SEL_gradient_cycles", "value": 33}, - {"name": "TA_PERF_SEL_walker_cycles", "value": 34}, - {"name": "TA_PERF_SEL_aligner_cycles", "value": 35}, - {"name": "TA_PERF_SEL_image_wavefronts", "value": 36}, - {"name": "TA_PERF_SEL_image_read_wavefronts", "value": 37}, - {"name": "TA_PERF_SEL_image_write_wavefronts", "value": 38}, - {"name": "TA_PERF_SEL_image_atomic_wavefronts", "value": 39}, - {"name": "TA_PERF_SEL_image_sampler_total_cycles", "value": 40}, - {"name": "TA_PERF_SEL_image_nosampler_total_cycles", "value": 41}, - {"name": "TA_PERF_SEL_flat_total_cycles", "value": 42}, - {"name": "TA_PERF_SEL_RESERVED_43", "value": 43}, - {"name": "TA_PERF_SEL_buffer_wavefronts", "value": 44}, - {"name": "TA_PERF_SEL_buffer_read_wavefronts", "value": 45}, - {"name": "TA_PERF_SEL_buffer_write_wavefronts", "value": 46}, - {"name": "TA_PERF_SEL_buffer_atomic_wavefronts", "value": 47}, - {"name": "TA_PERF_SEL_RESERVED_48", "value": 48}, - {"name": "TA_PERF_SEL_buffer_total_cycles", "value": 49}, - {"name": "TA_PERF_SEL_RESERVED_50", "value": 50}, - {"name": "TA_PERF_SEL_RESERVED_51", "value": 51}, - {"name": "TA_PERF_SEL_RESERVED_52", "value": 52}, - {"name": "TA_PERF_SEL_RESERVED_53", "value": 53}, - {"name": "TA_PERF_SEL_addr_stalled_by_tc_cycles", "value": 54}, - {"name": "TA_PERF_SEL_addr_stalled_by_td_cycles", "value": 55}, - {"name": "TA_PERF_SEL_RESERVED_56", "value": 56}, - {"name": "TA_PERF_SEL_addresser_stalled_by_aligner_only_cycles", "value": 57}, - {"name": "TA_PERF_SEL_addresser_stalled_cycles", "value": 58}, - {"name": "TA_PERF_SEL_aniso_stalled_by_addresser_only_cycles", "value": 59}, - {"name": "TA_PERF_SEL_aniso_stalled_cycles", "value": 60}, - {"name": "TA_PERF_SEL_deriv_stalled_by_aniso_only_cycles", "value": 61}, - {"name": "TA_PERF_SEL_deriv_stalled_cycles", "value": 62}, - {"name": "TA_PERF_SEL_aniso_gt1_cycle_quads", "value": 63}, - {"name": "TA_PERF_SEL_color_1_cycle_pixels", "value": 64}, - {"name": "TA_PERF_SEL_color_2_cycle_pixels", "value": 65}, - {"name": "TA_PERF_SEL_color_3_cycle_pixels", "value": 66}, - {"name": "TA_PERF_SEL_RESERVED_67", "value": 67}, - {"name": "TA_PERF_SEL_mip_1_cycle_pixels", "value": 68}, - {"name": "TA_PERF_SEL_mip_2_cycle_pixels", "value": 69}, - {"name": "TA_PERF_SEL_vol_1_cycle_pixels", "value": 70}, - {"name": "TA_PERF_SEL_vol_2_cycle_pixels", "value": 71}, - {"name": "TA_PERF_SEL_bilin_point_1_cycle_pixels", "value": 72}, - {"name": "TA_PERF_SEL_mipmap_lod_0_samples", "value": 73}, - {"name": "TA_PERF_SEL_mipmap_lod_1_samples", "value": 74}, - {"name": "TA_PERF_SEL_mipmap_lod_2_samples", "value": 75}, - {"name": "TA_PERF_SEL_mipmap_lod_3_samples", "value": 76}, - {"name": "TA_PERF_SEL_mipmap_lod_4_samples", "value": 77}, - {"name": "TA_PERF_SEL_mipmap_lod_5_samples", "value": 78}, - {"name": "TA_PERF_SEL_mipmap_lod_6_samples", "value": 79}, - {"name": "TA_PERF_SEL_mipmap_lod_7_samples", "value": 80}, - {"name": "TA_PERF_SEL_mipmap_lod_8_samples", "value": 81}, - {"name": "TA_PERF_SEL_mipmap_lod_9_samples", "value": 82}, - {"name": "TA_PERF_SEL_mipmap_lod_10_samples", "value": 83}, - {"name": "TA_PERF_SEL_mipmap_lod_11_samples", "value": 84}, - {"name": "TA_PERF_SEL_mipmap_lod_12_samples", "value": 85}, - {"name": "TA_PERF_SEL_mipmap_lod_13_samples", "value": 86}, - {"name": "TA_PERF_SEL_mipmap_lod_14_samples", "value": 87}, - {"name": "TA_PERF_SEL_mipmap_invalid_samples", "value": 88}, - {"name": "TA_PERF_SEL_aniso_1_cycle_quads", "value": 89}, - {"name": "TA_PERF_SEL_aniso_2_cycle_quads", "value": 90}, - {"name": "TA_PERF_SEL_aniso_4_cycle_quads", "value": 91}, - {"name": "TA_PERF_SEL_aniso_6_cycle_quads", "value": 92}, - {"name": "TA_PERF_SEL_aniso_8_cycle_quads", "value": 93}, - {"name": "TA_PERF_SEL_aniso_10_cycle_quads", "value": 94}, - {"name": "TA_PERF_SEL_aniso_12_cycle_quads", "value": 95}, - {"name": "TA_PERF_SEL_aniso_14_cycle_quads", "value": 96}, - {"name": "TA_PERF_SEL_aniso_16_cycle_quads", "value": 97}, - {"name": "TA_PERF_SEL_write_path_input_cycles", "value": 98}, - {"name": "TA_PERF_SEL_write_path_output_cycles", "value": 99}, - {"name": "TA_PERF_SEL_flat_wavefronts", "value": 100}, - {"name": "TA_PERF_SEL_flat_read_wavefronts", "value": 101}, - {"name": "TA_PERF_SEL_flat_write_wavefronts", "value": 102}, - {"name": "TA_PERF_SEL_flat_atomic_wavefronts", "value": 103}, - {"name": "TA_PERF_SEL_RESERVED_104", "value": 104}, - {"name": "TA_PERF_SEL_reg_sclk_vld", "value": 105}, - {"name": "TA_PERF_SEL_local_cg_dyn_sclk_grp0_en", "value": 106}, - {"name": "TA_PERF_SEL_local_cg_dyn_sclk_grp1_en", "value": 107}, - {"name": "TA_PERF_SEL_local_cg_dyn_sclk_grp1_mems_en", "value": 108}, - {"name": "TA_PERF_SEL_local_cg_dyn_sclk_grp4_en", "value": 109}, - {"name": "TA_PERF_SEL_local_cg_dyn_sclk_grp5_en", "value": 110}, - {"name": "TA_PERF_SEL_xnack", "value": 111}, - {"name": "TA_PERF_SEL_RESERVED_112", "value": 112}, - {"name": "TA_PERF_SEL_RESERVED_113", "value": 113}, - {"name": "TA_PERF_SEL_RESERVED_114", "value": 114}, - {"name": "TA_PERF_SEL_first_xnack", "value": 115}, - {"name": "TA_PERF_SEL_RESERVED_116", "value": 116}, - {"name": "TA_PERF_SEL_RESERVED_117", "value": 117}, - {"name": "TA_PERF_SEL_RESERVED_118", "value": 118}, - {"name": "TA_PERF_SEL_RESERVED_119", "value": 119}, - {"name": "TA_PERF_SEL_RESERVED_120", "value": 120}, - {"name": "TA_PERF_SEL_RESERVED_121", "value": 121}, - {"name": "TA_PERF_SEL_RESERVED_122", "value": 122}, - {"name": "TA_PERF_SEL_RESERVED_123", "value": 123}, - {"name": "TA_PERF_SEL_RESERVED_124", "value": 124}, - {"name": "TA_PERF_SEL_RESERVED_125", "value": 125}, - {"name": "TA_PERF_SEL_RESERVED_126", "value": 126}, - {"name": "TA_PERF_SEL_RESERVED_127", "value": 127}, - {"name": "TA_PERF_SEL_in_busy", "value": 128}, - {"name": "TA_PERF_SEL_in_fifos_busy", "value": 129}, - {"name": "TA_PERF_SEL_in_cfifo_busy", "value": 130}, - {"name": "TA_PERF_SEL_in_qfifo_busy", "value": 131}, - {"name": "TA_PERF_SEL_in_wfifo_busy", "value": 132}, - {"name": "TA_PERF_SEL_in_rfifo_busy", "value": 133}, - {"name": "TA_PERF_SEL_bf_busy", "value": 134}, - {"name": "TA_PERF_SEL_ns_busy", "value": 135}, - {"name": "TA_PERF_SEL_smp_busy_ns_idle", "value": 136}, - {"name": "TA_PERF_SEL_smp_idle_ns_busy", "value": 137}, - {"name": "TA_PERF_SEL_RESERVED_138", "value": 138}, - {"name": "TA_PERF_SEL_RESERVED_139", "value": 139}, - {"name": "TA_PERF_SEL_RESERVED_140", "value": 140}, - {"name": "TA_PERF_SEL_RESERVED_141", "value": 141}, - {"name": "TA_PERF_SEL_RESERVED_142", "value": 142}, - {"name": "TA_PERF_SEL_RESERVED_143", "value": 143}, - {"name": "TA_PERF_SEL_vmemcmd_cycles", "value": 144}, - {"name": "TA_PERF_SEL_vmemreq_cycles", "value": 145}, - {"name": "TA_PERF_SEL_in_waiting_on_req_cycles", "value": 146}, - {"name": "TA_PERF_SEL_in_waiting_on_cmd_cycles", "value": 147}, - {"name": "TA_PERF_SEL_in_waiting_on_any_cycles", "value": 148}, - {"name": "TA_PERF_SEL_RESERVED_149", "value": 149}, - {"name": "TA_PERF_SEL_in_addr_cycles", "value": 150}, - {"name": "TA_PERF_SEL_in_data_cycles", "value": 151}, - {"name": "TA_PERF_SEL_addr_stalled_by_xnack_cycles", "value": 152}, - {"name": "TA_PERF_SEL_RESERVED_153", "value": 153}, - {"name": "TA_PERF_SEL_RESERVED_154", "value": 154}, - {"name": "TA_PERF_SEL_RESERVED_155", "value": 155}, - {"name": "TA_PERF_SEL_RESERVED_156", "value": 156}, - {"name": "TA_PERF_SEL_RESERVED_157", "value": 157}, - {"name": "TA_PERF_SEL_RESERVED_158", "value": 158}, - {"name": "TA_PERF_SEL_RESERVED_159", "value": 159}, - {"name": "TA_PERF_SEL_point_sampled_quads", "value": 160}, - {"name": "TA_PERF_SEL_RESERVED_161", "value": 161}, - {"name": "TA_PERF_SEL_RESERVED_162", "value": 162}, - {"name": "TA_PERF_SEL_RESERVED_163", "value": 163}, - {"name": "TA_PERF_SEL_RESERVED_164", "value": 164}, - {"name": "TA_PERF_SEL_RESERVED_165", "value": 165}, - {"name": "TA_PERF_SEL_RESERVED_166", "value": 166}, - {"name": "TA_PERF_SEL_RESERVED_167", "value": 167}, - {"name": "TA_PERF_SEL_RESERVED_168", "value": 168}, - {"name": "TA_PERF_SEL_RESERVED_169", "value": 169}, - {"name": "TA_PERF_SEL_RESERVED_170", "value": 170}, - {"name": "TA_PERF_SEL_RESERVED_171", "value": 171}, - {"name": "TA_PERF_SEL_RESERVED_172", "value": 172}, - {"name": "TA_PERF_SEL_RESERVED_173", "value": 173}, - {"name": "TA_PERF_SEL_RESERVED_174", "value": 174}, - {"name": "TA_PERF_SEL_RESERVED_175", "value": 175}, - {"name": "TA_PERF_SEL_RESERVED_176", "value": 176}, - {"name": "TA_PERF_SEL_RESERVED_177", "value": 177}, - {"name": "TA_PERF_SEL_RESERVED_178", "value": 178}, - {"name": "TA_PERF_SEL_RESERVED_179", "value": 179}, - {"name": "TA_PERF_SEL_RESERVED_180", "value": 180}, - {"name": "TA_PERF_SEL_RESERVED_181", "value": 181}, - {"name": "TA_PERF_SEL_RESERVED_182", "value": 182}, - {"name": "TA_PERF_SEL_RESERVED_183", "value": 183}, - {"name": "TA_PERF_SEL_RESERVED_184", "value": 184}, - {"name": "TA_PERF_SEL_RESERVED_185", "value": 185}, - {"name": "TA_PERF_SEL_RESERVED_186", "value": 186}, - {"name": "TA_PERF_SEL_RESERVED_187", "value": 187}, - {"name": "TA_PERF_SEL_RESERVED_188", "value": 188}, - {"name": "TA_PERF_SEL_RESERVED_189", "value": 189}, - {"name": "TA_PERF_SEL_RESERVED_190", "value": 190}, - {"name": "TA_PERF_SEL_RESERVED_191", "value": 191}, - {"name": "TA_PERF_SEL_RESERVED_192", "value": 192}, - {"name": "TA_PERF_SEL_RESERVED_193", "value": 193}, - {"name": "TA_PERF_SEL_RESERVED_194", "value": 194}, - {"name": "TA_PERF_SEL_RESERVED_195", "value": 195}, - {"name": "TA_PERF_SEL_RESERVED_196", "value": 196}, - {"name": "TA_PERF_SEL_RESERVED_197", "value": 197}, - {"name": "TA_PERF_SEL_RESERVED_198", "value": 198}, - {"name": "TA_PERF_SEL_RESERVED_199", "value": 199}, - {"name": "TA_PERF_SEL_RESERVED_200", "value": 200}, - {"name": "TA_PERF_SEL_RESERVED_201", "value": 201}, - {"name": "TA_PERF_SEL_RESERVED_202", "value": 202}, - {"name": "TA_PERF_SEL_RESERVED_203", "value": 203}, - {"name": "TA_PERF_SEL_RESERVED_204", "value": 204}, - {"name": "TA_PERF_SEL_RESERVED_205", "value": 205}, - {"name": "TA_PERF_SEL_RESERVED_206", "value": 206}, - {"name": "TA_PERF_SEL_RESERVED_207", "value": 207}, - {"name": "TA_PERF_SEL_RESERVED_208", "value": 208}, - {"name": "TA_PERF_SEL_RESERVED_209", "value": 209}, - {"name": "TA_PERF_SEL_RESERVED_210", "value": 210}, - {"name": "TA_PERF_SEL_RESERVED_211", "value": 211}, - {"name": "TA_PERF_SEL_RESERVED_212", "value": 212}, - {"name": "TA_PERF_SEL_RESERVED_213", "value": 213}, - {"name": "TA_PERF_SEL_RESERVED_214", "value": 214}, - {"name": "TA_PERF_SEL_RESERVED_215", "value": 215}, - {"name": "TA_PERF_SEL_RESERVED_216", "value": 216}, - {"name": "TA_PERF_SEL_RESERVED_217", "value": 217}, - {"name": "TA_PERF_SEL_RESERVED_218", "value": 218}, - {"name": "TA_PERF_SEL_RESERVED_219", "value": 219}, - {"name": "TA_PERF_SEL_RESERVED_220", "value": 220}, - {"name": "TA_PERF_SEL_RESERVED_221", "value": 221}, - {"name": "TA_PERF_SEL_RESERVED_222", "value": 222}, - {"name": "TA_PERF_SEL_RESERVED_223", "value": 223}, - {"name": "TA_PERF_SEL_sample_path_cycles", "value": 224}, - {"name": "TA_PERF_SEL_nosample_path_cycles", "value": 225} - ] - }, - "TCP_PERFCOUNT_SELECT": { + {"name": "NUMBER_UNORM", "value": 0}, + {"name": "NUMBER_SNORM", "value": 1}, + {"name": "NUMBER_USCALED", "value": 2}, + {"name": "NUMBER_SSCALED", "value": 3}, + {"name": "NUMBER_UINT", "value": 4}, + {"name": "NUMBER_SINT", "value": 5}, + {"name": "NUMBER_SRGB", "value": 6}, + {"name": "NUMBER_FLOAT", "value": 7} + ] + }, + "SurfaceSwap": { + "entries": [ + {"name": "SWAP_STD", "value": 0}, + {"name": "SWAP_ALT", "value": 1}, + {"name": "SWAP_STD_REV", "value": 2}, + {"name": "SWAP_ALT_REV", "value": 3} + ] + }, + "ThreadTraceRegInclude": { "entries": [ - {"name": "TCP_PERF_SEL_GATE_EN1", "value": 0}, - {"name": "TCP_PERF_SEL_GATE_EN2", "value": 1}, - {"name": "TCP_PERF_SEL_TA_REQ", "value": 2}, - {"name": "TCP_PERF_SEL_TA_REQ_STATE_READ", "value": 3}, - {"name": "TCP_PERF_SEL_TA_REQ_READ", "value": 4}, - {"name": "TCP_PERF_SEL_TA_REQ_WRITE", "value": 5}, - {"name": "TCP_PERF_SEL_TA_REQ_ATOMIC_WITH_RET", "value": 6}, - {"name": "TCP_PERF_SEL_TA_REQ_ATOMIC_WITHOUT_RET", "value": 7}, - {"name": "TCP_PERF_SEL_TA_REQ_GL0_INV", "value": 8}, - {"name": "TCP_PERF_SEL_REQ", "value": 9}, - {"name": "TCP_PERF_SEL_REQ_READ", "value": 10}, - {"name": "TCP_PERF_SEL_REQ_READ_HIT_EVICT", "value": 11}, - {"name": "TCP_PERF_SEL_REQ_READ_HIT_LRU", "value": 12}, - {"name": "TCP_PERF_SEL_REQ_READ_MISS_EVICT", "value": 13}, - {"name": "TCP_PERF_SEL_REQ_WRITE", "value": 14}, - {"name": "TCP_PERF_SEL_REQ_WRITE_MISS_EVICT", "value": 15}, - {"name": "TCP_PERF_SEL_REQ_WRITE_MISS_LRU", "value": 16}, - {"name": "TCP_PERF_SEL_REQ_NON_READ", "value": 17}, - {"name": "TCP_PERF_SEL_REQ_MISS", "value": 18}, - {"name": "TCP_PERF_SEL_REQ_TAGBANK0", "value": 19}, - {"name": "TCP_PERF_SEL_REQ_TAGBANK1", "value": 20}, - {"name": "TCP_PERF_SEL_REQ_TAGBANK2", "value": 21}, - {"name": "TCP_PERF_SEL_REQ_TAGBANK3", "value": 22}, - {"name": "TCP_PERF_SEL_REQ_MISS_TAGBANK0", "value": 23}, - {"name": "TCP_PERF_SEL_REQ_MISS_TAGBANK1", "value": 24}, - {"name": "TCP_PERF_SEL_REQ_MISS_TAGBANK2", "value": 25}, - {"name": "TCP_PERF_SEL_REQ_MISS_TAGBANK3", "value": 26}, - {"name": "TCP_PERF_SEL_GL1_REQ_READ", "value": 27}, - {"name": "TCP_PERF_SEL_GL1_REQ_READ_128B", "value": 28}, - {"name": "TCP_PERF_SEL_GL1_REQ_READ_64B", "value": 29}, - {"name": "TCP_PERF_SEL_GL1_REQ_WRITE", "value": 30}, - {"name": "TCP_PERF_SEL_GL1_REQ_ATOMIC_WITH_RET", "value": 31}, - {"name": "TCP_PERF_SEL_GL1_REQ_ATOMIC_WITHOUT_RET", "value": 32}, - {"name": "TCP_PERF_SEL_GL1_READ_LATENCY", "value": 33}, - {"name": "TCP_PERF_SEL_GL1_WRITE_LATENCY", "value": 34}, - {"name": "TCP_PERF_SEL_TCP_LATENCY", "value": 35}, - {"name": "TCP_PERF_SEL_TCP_TA_REQ_STALL", "value": 36}, - {"name": "TCP_PERF_SEL_TA_TCP_REQ_STARVE", "value": 37}, - {"name": "TCP_PERF_SEL_TA_TCP_XNACK_STALL", "value": 38}, - {"name": "TCP_PERF_SEL_DATA_FIFO_STALL", "value": 39}, - {"name": "TCP_PERF_SEL_LOD_STALL", "value": 40}, - {"name": "TCP_PERF_SEL_POWER_STALL", "value": 41}, - {"name": "TCP_PERF_SEL_ALLOC_STALL", "value": 42}, - {"name": "TCP_PERF_SEL_UNORDERED_MTYPE_STALL", "value": 43}, - {"name": "TCP_PERF_SEL_READ_TAGCONFLICT_STALL", "value": 44}, - {"name": "TCP_PERF_SEL_WRITE_TAGCONFLICT_STALL", "value": 45}, - {"name": "TCP_PERF_SEL_ATOMIC_TAGCONFLICT_STALL", "value": 46}, - {"name": "TCP_PERF_SEL_LFIFO_STALL", "value": 47}, - {"name": "TCP_PERF_SEL_MEM_REQ_FIFO_STALL", "value": 48}, - {"name": "TCP_PERF_SEL_GL1_TCP_STALL", "value": 49}, - {"name": "TCP_PERF_SEL_TCP_GL1_STARVE", "value": 50}, - {"name": "TCP_PERF_SEL_GL1_TCP_RDRET_STALL", "value": 51}, - {"name": "TCP_PERF_SEL_GL1_GRANT_READ_STALL", "value": 52}, - {"name": "TCP_PERF_SEL_GL1_PENDING_STALL", "value": 53}, - {"name": "TCP_PERF_SEL_OFIFO_INCOMPLETE_STALL", "value": 54}, - {"name": "TCP_PERF_SEL_OFIFO_AGE_ORDER_STALL", "value": 55}, - {"name": "TCP_PERF_SEL_TD_DATA_CYCLE_STALL", "value": 56}, - {"name": "TCP_PERF_SEL_COMP_TEX_LOAD_STALL", "value": 57}, - {"name": "TCP_PERF_SEL_READ_DATACONFLICT_STALL", "value": 58}, - {"name": "TCP_PERF_SEL_WRITE_DATACONFLICT_STALL", "value": 59}, - {"name": "TCP_PERF_SEL_TD_TCP_STALL", "value": 60}, - {"name": "TCP_PERF_SEL_UTCL0_REQUEST", "value": 61}, - {"name": "TCP_PERF_SEL_UTCL0_TRANSLATION_MISS", "value": 62}, - {"name": "TCP_PERF_SEL_UTCL0_TRANSLATION_HIT", "value": 63}, - {"name": "TCP_PERF_SEL_UTCL0_PERMISSION_MISS", "value": 64}, - {"name": "TCP_PERF_SEL_UTCL0_SERIALIZATION_STALL", "value": 65}, - {"name": "TCP_PERF_SEL_UTCL0_STALL_INFLIGHT_MAX", "value": 66}, - {"name": "TCP_PERF_SEL_UTCL0_STALL_LRU_INFLIGHT", "value": 67}, - {"name": "TCP_PERF_SEL_UTCL0_STALL_MULTI_MISS", "value": 68}, - {"name": "TCP_PERF_SEL_UTCL0_STALL_LFIFO_FULL", "value": 69}, - {"name": "TCP_PERF_SEL_UTCL0_STALL_MISSFIFO_FULL", "value": 70}, - {"name": "TCP_PERF_SEL_UTCL0_STALL_LFIFO_NOT_RES", "value": 71}, - {"name": "TCP_PERF_SEL_UTCL0_STALL_UTCL1_REQ_OUT_OF_CREDITS", "value": 72}, - {"name": "TCP_PERF_SEL_CLIENT_UTCL0_INFLIGHT", "value": 73}, - {"name": "TCP_PERF_SEL_UTCL0_UTCL1_INFLIGHT", "value": 74}, - {"name": "TCP_PERF_SEL_UTCL0_UTCL1_PERM_FAULT", "value": 75} - ] - }, - "TD_PERFCOUNT_SEL": { + {"name": "REG_INCLUDE_SQDEC", "value": 1}, + {"name": "REG_INCLUDE_SHDEC", "value": 2}, + {"name": "REG_INCLUDE_GFXUDEC", "value": 4}, + {"name": "REG_INCLUDE_COMP", "value": 8}, + {"name": "REG_INCLUDE_CONTEXT", "value": 16}, + {"name": "REG_INCLUDE_CONFIG", "value": 32}, + {"name": "REG_INCLUDE_OTHER", "value": 64}, + {"name": "REG_INCLUDE_READS", "value": 128} + ] + }, + "ThreadTraceTokenExclude": { "entries": [ - {"name": "TD_PERF_SEL_none", "value": 0}, - {"name": "TD_PERF_SEL_td_busy", "value": 1}, - {"name": "TD_PERF_SEL_input_busy", "value": 2}, - {"name": "TD_PERF_SEL_sampler_lerp_busy", "value": 3}, - {"name": "TD_PERF_SEL_sampler_out_busy", "value": 4}, - {"name": "TD_PERF_SEL_nofilter_busy", "value": 5}, - {"name": "TD_PERF_SEL_sampler_sclk_on_nofilter_sclk_off", "value": 6}, - {"name": "TD_PERF_SEL_nofilter_sclk_on_sampler_sclk_off", "value": 7}, - {"name": "TD_PERF_SEL_core_state_ram_max_cnt", "value": 8}, - {"name": "TD_PERF_SEL_core_state_rams_read", "value": 9}, - {"name": "TD_PERF_SEL_weight_data_rams_read", "value": 10}, - {"name": "TD_PERF_SEL_reference_data_rams_read", "value": 11}, - {"name": "TD_PERF_SEL_tc_td_ram_fifo_full", "value": 12}, - {"name": "TD_PERF_SEL_tc_td_ram_fifo_max_cnt", "value": 13}, - {"name": "TD_PERF_SEL_tc_td_data_fifo_full", "value": 14}, - {"name": "TD_PERF_SEL_input_state_fifo_full", "value": 15}, - {"name": "TD_PERF_SEL_ta_data_stall", "value": 16}, - {"name": "TD_PERF_SEL_tc_data_stall", "value": 17}, - {"name": "TD_PERF_SEL_tc_ram_stall", "value": 18}, - {"name": "TD_PERF_SEL_lds_stall", "value": 19}, - {"name": "TD_PERF_SEL_sampler_pkr_full", "value": 20}, - {"name": "TD_PERF_SEL_nofilter_pkr_full", "value": 21}, - {"name": "TD_PERF_SEL_gather4_wavefront", "value": 22}, - {"name": "TD_PERF_SEL_gather4h_wavefront", "value": 23}, - {"name": "TD_PERF_SEL_gather4h_packed_wavefront", "value": 24}, - {"name": "TD_PERF_SEL_gather8h_packed_wavefront", "value": 25}, - {"name": "TD_PERF_SEL_sample_c_wavefront", "value": 26}, - {"name": "TD_PERF_SEL_load_wavefront", "value": 27}, - {"name": "TD_PERF_SEL_ldfptr_wavefront", "value": 28}, - {"name": "TD_PERF_SEL_RESERVED_29", "value": 29}, - {"name": "TD_PERF_SEL_write_ack_wavefront", "value": 30}, - {"name": "TD_PERF_SEL_d16_en_wavefront", "value": 31}, - {"name": "TD_PERF_SEL_bypassLerp_wavefront", "value": 32}, - {"name": "TD_PERF_SEL_min_max_filter_wavefront", "value": 33}, - {"name": "TD_PERF_SEL_one_comp_wavefront", "value": 34}, - {"name": "TD_PERF_SEL_two_comp_wavefront", "value": 35}, - {"name": "TD_PERF_SEL_three_comp_wavefront", "value": 36}, - {"name": "TD_PERF_SEL_four_comp_wavefront", "value": 37}, - {"name": "TD_PERF_SEL_user_defined_border", "value": 38}, - {"name": "TD_PERF_SEL_white_border", "value": 39}, - {"name": "TD_PERF_SEL_opaque_black_border", "value": 40}, - {"name": "TD_PERF_SEL_lod_warn_from_ta", "value": 41}, - {"name": "TD_PERF_SEL_wavefront_dest_is_lds", "value": 42}, - {"name": "TD_PERF_SEL_td_cycling_of_nofilter_instr", "value": 43}, - {"name": "TD_PERF_SEL_tc_cycling_of_nofilter_instr", "value": 44}, - {"name": "TD_PERF_SEL_out_of_order_instr", "value": 45}, - {"name": "TD_PERF_SEL_total_num_instr", "value": 46}, - {"name": "TD_PERF_SEL_mixmode_instruction", "value": 47}, - {"name": "TD_PERF_SEL_mixmode_resource", "value": 48}, - {"name": "TD_PERF_SEL_status_packet", "value": 49}, - {"name": "TD_PERF_SEL_address_cmd_poison", "value": 50}, - {"name": "TD_PERF_SEL_data_poison", "value": 51}, - {"name": "TD_PERF_SEL_done_scoreboard_max_stored_cnt", "value": 52}, - {"name": "TD_PERF_SEL_done_scoreboard_max_waiting_cnt", "value": 53}, - {"name": "TD_PERF_SEL_done_scoreboard_not_empty", "value": 54}, - {"name": "TD_PERF_SEL_done_scoreboard_is_full", "value": 55}, - {"name": "TD_PERF_SEL_done_scoreboard_bp_due_to_ooo", "value": 56}, - {"name": "TD_PERF_SEL_done_scoreboard_bp_due_to_lds", "value": 57}, - {"name": "TD_PERF_SEL_nofilter_formatters_turned_on", "value": 58}, - {"name": "TD_PERF_SEL_nofilter_popcount_dmask_gt_num_comp_of_fmt", "value": 59}, - {"name": "TD_PERF_SEL_nofilter_popcount_dmask_lt_num_comp_of_fmt", "value": 60} - ] - }, - "UTCL1PerfSel": { + {"name": "TOKEN_EXCLUDE_VMEMEXEC", "value": 1}, + {"name": "TOKEN_EXCLUDE_ALUEXEC", "value": 2}, + {"name": "TOKEN_EXCLUDE_VALUINST", "value": 4}, + {"name": "TOKEN_EXCLUDE_WAVERDY", "value": 8}, + {"name": "TOKEN_EXCLUDE_IMMED1", "value": 16}, + {"name": "TOKEN_EXCLUDE_IMMEDIATE", "value": 32}, + {"name": "TOKEN_EXCLUDE_REG", "value": 64}, + {"name": "TOKEN_EXCLUDE_EVENT", "value": 128}, + {"name": "TOKEN_EXCLUDE_INST", "value": 256}, + {"name": "TOKEN_EXCLUDE_UTILCTR", "value": 512}, + {"name": "TOKEN_EXCLUDE_WAVEALLOC", "value": 1024}, + {"name": "TOKEN_EXCLUDE_PERF", "value": 2048} + ] + }, + "TileSplit": { "entries": [ - {"name": "UTCL1_PERF_SEL_NONE", "value": 0}, - {"name": "UTCL1_PERF_SEL_REQS", "value": 1}, - {"name": "UTCL1_PERF_SEL_HITS", "value": 2}, - {"name": "UTCL1_PERF_SEL_MISSES", "value": 3}, - {"name": "UTCL1_PERF_SEL_BYPASS_REQS", "value": 4}, - {"name": "UTCL1_PERF_SEL_HIT_INV_FILTER_REQS", "value": 5}, - {"name": "UTCL1_PERF_SEL_NUM_SMALLK_PAGES", "value": 6}, - {"name": "UTCL1_PERF_SEL_NUM_BIGK_PAGES", "value": 7}, - {"name": "UTCL1_PERF_SEL_TOTAL_UTCL2_REQS", "value": 8}, - {"name": "UTCL1_PERF_SEL_OUTSTANDING_UTCL2_REQS_ACCUM", "value": 9}, - {"name": "UTCL1_PERF_SEL_STALL_ON_UTCL2_CREDITS", "value": 10}, - {"name": "UTCL1_PERF_SEL_STALL_MH_OFIFO_FULL", "value": 11}, - {"name": "UTCL1_PERF_SEL_STALL_MH_CAM_FULL", "value": 12}, - {"name": "UTCL1_PERF_SEL_NONRANGE_INV_REQS", "value": 13}, - {"name": "UTCL1_PERF_SEL_RANGE_INV_REQS", "value": 14} + {"name": "ADDR_SURF_TILE_SPLIT_64B", "value": 0}, + {"name": "ADDR_SURF_TILE_SPLIT_128B", "value": 1}, + {"name": "ADDR_SURF_TILE_SPLIT_256B", "value": 2}, + {"name": "ADDR_SURF_TILE_SPLIT_512B", "value": 3}, + {"name": "ADDR_SURF_TILE_SPLIT_1KB", "value": 4}, + {"name": "ADDR_SURF_TILE_SPLIT_2KB", "value": 5}, + {"name": "ADDR_SURF_TILE_SPLIT_4KB", "value": 6} ] }, "VGT_DETECT_ONE": { "entries": [ - {"name": "ENABLE_TF1_OPT", "value": 0}, - {"name": "DISABLE_TF1_OPT", "value": 1} + {"name": "PRE_CLAMP_TF1", "value": 0}, + {"name": "POST_CLAMP_TF1", "value": 1}, + {"name": "DISABLE_TF1", "value": 2} ] }, "VGT_DETECT_ZERO": { "entries": [ - {"name": "ENABLE_TF0_OPT", "value": 0}, - {"name": "DISABLE_TF0_OPT", "value": 1} + {"name": "PRE_CLAMP_TF0", "value": 0}, + {"name": "POST_CLAMP_TF0", "value": 1}, + {"name": "DISABLE_TF0", "value": 2} ] }, "VGT_DIST_MODE": { @@ -6101,13 +775,6 @@ {"name": "TRAPEZOIDS", "value": 3} ] }, - "VGT_DI_INDEX_SIZE": { - "entries": [ - {"name": "DI_INDEX_SIZE_16_BIT", "value": 0}, - {"name": "DI_INDEX_SIZE_32_BIT", "value": 1}, - {"name": "DI_INDEX_SIZE_8_BIT", "value": 2} - ] - }, "VGT_DI_MAJOR_MODE_SELECT": { "entries": [ {"name": "DI_MAJOR_MODE_0", "value": 0}, @@ -6132,7 +799,7 @@ {"name": "DI_PT_TRISTRIP_ADJ", "value": 13}, {"name": "DI_PT_UNUSED_3", "value": 14}, {"name": "DI_PT_UNUSED_4", "value": 15}, - {"name": "DI_PT_UNUSED_5", "value": 16}, + {"name": "DI_PT_TRI_WITH_WFLAGS", "value": 16}, {"name": "DI_PT_RECTLIST", "value": 17}, {"name": "DI_PT_LINELOOP", "value": 18}, {"name": "DI_PT_QUADLIST", "value": 19}, @@ -6175,7 +842,7 @@ {"name": "CACHE_FLUSH", "value": 6}, {"name": "CS_PARTIAL_FLUSH", "value": 7}, {"name": "VGT_STREAMOUT_SYNC", "value": 8}, - {"name": "Reserved_0x09", "value": 9}, + {"name": "SET_FE_ID", "value": 9}, {"name": "VGT_STREAMOUT_RESET", "value": 10}, {"name": "END_OF_PIPE_INCR_DE", "value": 11}, {"name": "END_OF_PIPE_IB_END", "value": 12}, @@ -6250,12 +917,20 @@ {"name": "SPRITE_EN", "value": 5} ] }, - "VGT_GS_OUT_PRIM_TYPE__OUTPRIM_TYPE": { + "VGT_GS_OUTPRIM_TYPE": { "entries": [ - {"name": "OUTPRIM_TYPE_POINTLIST", "value": 0}, - {"name": "OUTPRIM_TYPE_LINESTRIP", "value": 1}, - {"name": "OUTPRIM_TYPE_TRISTRIP", "value": 2}, - {"name": "VGT_OUT_RECT_V0", "value": 3} + {"name": "POINTLIST", "value": 0}, + {"name": "LINESTRIP", "value": 1}, + {"name": "TRISTRIP", "value": 2}, + {"name": "RECTLIST", "value": 3} + ] + }, + "VGT_HS_OFFCHIP_PARAM__OFFCHIP_GRANULARITY": { + "entries": [ + {"name": "X_8K_DWORDS", "value": 0}, + {"name": "X_4K_DWORDS", "value": 1}, + {"name": "X_2K_DWORDS", "value": 2}, + {"name": "X_1K_DWORDS", "value": 3} ] }, "VGT_INDEX_TYPE_MODE": { @@ -6331,6 +1006,22 @@ {"name": "TESS_QUAD", "value": 2} ] }, + "WritePolicy": { + "entries": [ + {"name": "CACHE_LRU_WR", "value": 0}, + {"name": "CACHE_STREAM", "value": 1}, + {"name": "CACHE_BYPASS", "value": 2}, + {"name": "UNCACHED_WR", "value": 3} + ] + }, + "ZFormat": { + "entries": [ + {"name": "Z_INVALID", "value": 0}, + {"name": "Z_16", "value": 1}, + {"name": "Z_24", "value": 2}, + {"name": "Z_32_FLOAT", "value": 3} + ] + }, "ZLimitSumm": { "entries": [ {"name": "FORCE_SUMM_OFF", "value": 0}, @@ -6346,9742 +1037,10412 @@ {"name": "RE_Z", "value": 2}, {"name": "EARLY_Z_THEN_RE_Z", "value": 3} ] - }, - "ThreadTraceRegInclude": { - "entries": [ - {"name": "REG_INCLUDE_SQDEC", "value": 1}, - {"name": "REG_INCLUDE_SHDEC", "value": 2}, - {"name": "REG_INCLUDE_GFXUDEC", "value": 4}, - {"name": "REG_INCLUDE_COMP", "value": 8}, - {"name": "REG_INCLUDE_CONTEXT", "value": 16}, - {"name": "REG_INCLUDE_CONFIG", "value": 32}, - {"name": "REG_INCLUDE_OTHER", "value": 64}, - {"name": "REG_INCLUDE_READS", "value": 128} - ] - }, - "ThreadTraceTokenExclude": { - "entries": [ - {"name": "TOKEN_EXCLUDE_VMEMEXEC", "value": 1}, - {"name": "TOKEN_EXCLUDE_ALUEXEC", "value": 2}, - {"name": "TOKEN_EXCLUDE_VALUINST", "value": 4}, - {"name": "TOKEN_EXCLUDE_WAVERDY", "value": 8}, - {"name": "TOKEN_EXCLUDE_IMMED1", "value": 16}, - {"name": "TOKEN_EXCLUDE_IMMEDIATE", "value": 32}, - {"name": "TOKEN_EXCLUDE_REG", "value": 64}, - {"name": "TOKEN_EXCLUDE_EVENT", "value": 128}, - {"name": "TOKEN_EXCLUDE_INST", "value": 256}, - {"name": "TOKEN_EXCLUDE_UTILCTR", "value": 512}, - {"name": "TOKEN_EXCLUDE_WAVEALLOC", "value": 1024}, - {"name": "TOKEN_EXCLUDE_PERF", "value": 2048} - ] } }, "register_mappings": [ { "chips": ["gfx10"], - "map": {"at": 36096, "to": "mm"}, - "name": "SQ_THREAD_TRACE_BUF0_BASE", - "type_ref": "SQ_THREAD_TRACE_BUF0_BASE" + "map": {"at": 1028, "to": "mm"}, + "name": "SQ_WAVE_MODE", + "type_ref": "SQ_WAVE_MODE" }, { "chips": ["gfx10"], - "map": {"at": 36100, "to": "mm"}, - "name": "SQ_THREAD_TRACE_BUF0_SIZE", - "type_ref": "SQ_THREAD_TRACE_BUF0_SIZE" + "map": {"at": 1032, "to": "mm"}, + "name": "SQ_WAVE_STATUS", + "type_ref": "SQ_WAVE_STATUS" }, { "chips": ["gfx10"], - "map": {"at": 36112, "to": "mm"}, - "name": "SQ_THREAD_TRACE_WPTR", - "type_ref": "SQ_THREAD_TRACE_WPTR" + "map": {"at": 1036, "to": "mm"}, + "name": "SQ_WAVE_TRAPSTS", + "type_ref": "SQ_WAVE_TRAPSTS" }, { "chips": ["gfx10"], - "map": {"at": 36116, "to": "mm"}, - "name": "SQ_THREAD_TRACE_MASK", - "type_ref": "SQ_THREAD_TRACE_MASK" + "map": {"at": 1040, "to": "mm"}, + "name": "SQ_WAVE_HW_ID_LEGACY", + "type_ref": "SQ_WAVE_HW_ID_LEGACY" }, { "chips": ["gfx10"], - "map": {"at": 36120, "to": "mm"}, - "name": "SQ_THREAD_TRACE_TOKEN_MASK", - "type_ref": "SQ_THREAD_TRACE_TOKEN_MASK" + "map": {"at": 1044, "to": "mm"}, + "name": "SQ_WAVE_GPR_ALLOC", + "type_ref": "SQ_WAVE_GPR_ALLOC" }, { "chips": ["gfx10"], - "map": {"at": 36124, "to": "mm"}, - "name": "SQ_THREAD_TRACE_CTRL", - "type_ref": "SQ_THREAD_TRACE_CTRL" + "map": {"at": 1048, "to": "mm"}, + "name": "SQ_WAVE_LDS_ALLOC", + "type_ref": "SQ_WAVE_LDS_ALLOC" }, { "chips": ["gfx10"], - "map": {"at": 36128, "to": "mm"}, - "name": "SQ_THREAD_TRACE_STATUS", - "type_ref": "SQ_THREAD_TRACE_STATUS" + "map": {"at": 1052, "to": "mm"}, + "name": "SQ_WAVE_IB_STS", + "type_ref": "SQ_WAVE_IB_STS" }, { "chips": ["gfx10"], - "map": {"at": 36132, "to": "mm"}, - "name": "SQ_THREAD_TRACE_DROPPED_CNTR", - "type_ref": "SQ_THREAD_TRACE_DROPPED_CNTR" + "map": {"at": 1056, "to": "mm"}, + "name": "SQ_WAVE_PC_LO", + "type_ref": "SQ_WAVE_PC_LO" }, { "chips": ["gfx10"], - "map": {"at": 36152, "to": "mm"}, - "name": "SQ_THREAD_TRACE_HP3D_MARKER_CNTR" + "map": {"at": 1060, "to": "mm"}, + "name": "SQ_WAVE_PC_HI", + "type_ref": "SQ_WAVE_PC_HI" }, { "chips": ["gfx10"], - "map": {"at": 36156, "to": "mm"}, - "name": "SQ_THREAD_TRACE_STATUS2_GFX103" + "map": {"at": 1064, "to": "mm"}, + "name": "SQ_WAVE_INST_DW0", + "type_ref": "SQ_WAVE_INST_DW0" }, { "chips": ["gfx10"], - "map": {"at": 37804, "to": "mm"}, - "name": "BCI_DEBUG_READ", - "type_ref": "BCI_DEBUG_READ" + "map": {"at": 1076, "to": "mm"}, + "name": "SQ_WAVE_IB_DBG1", + "type_ref": "SQ_WAVE_IB_DBG1" }, { "chips": ["gfx10"], - "map": {"at": 165760, "to": "mm"}, - "name": "CB_BLEND0_CONTROL", - "type_ref": "CB_BLEND0_CONTROL" + "map": {"at": 1080, "to": "mm"}, + "name": "SQ_WAVE_FLUSH_IB", + "type_ref": "SQ_WAVE_FLUSH_IB" }, { "chips": ["gfx10"], - "map": {"at": 165764, "to": "mm"}, - "name": "CB_BLEND1_CONTROL", - "type_ref": "CB_BLEND0_CONTROL" + "map": {"at": 1116, "to": "mm"}, + "name": "SQ_WAVE_HW_ID1", + "type_ref": "SQ_WAVE_HW_ID1" }, { "chips": ["gfx10"], - "map": {"at": 165768, "to": "mm"}, - "name": "CB_BLEND2_CONTROL", - "type_ref": "CB_BLEND0_CONTROL" + "map": {"at": 1120, "to": "mm"}, + "name": "SQ_WAVE_HW_ID2", + "type_ref": "SQ_WAVE_HW_ID2" }, { "chips": ["gfx10"], - "map": {"at": 165772, "to": "mm"}, - "name": "CB_BLEND3_CONTROL", - "type_ref": "CB_BLEND0_CONTROL" + "map": {"at": 1124, "to": "mm"}, + "name": "SQ_WAVE_POPS_PACKER", + "type_ref": "SQ_WAVE_POPS_PACKER" }, { "chips": ["gfx10"], - "map": {"at": 165776, "to": "mm"}, - "name": "CB_BLEND4_CONTROL", - "type_ref": "CB_BLEND0_CONTROL" + "map": {"at": 1128, "to": "mm"}, + "name": "SQ_WAVE_SCHED_MODE", + "type_ref": "SQ_WAVE_SCHED_MODE" }, { "chips": ["gfx10"], - "map": {"at": 165780, "to": "mm"}, - "name": "CB_BLEND5_CONTROL", - "type_ref": "CB_BLEND0_CONTROL" + "map": {"at": 1132, "to": "mm"}, + "name": "SQ_WAVE_VGPR_OFFSET", + "type_ref": "SQ_WAVE_VGPR_OFFSET" }, { "chips": ["gfx10"], - "map": {"at": 165784, "to": "mm"}, - "name": "CB_BLEND6_CONTROL", - "type_ref": "CB_BLEND0_CONTROL" + "map": {"at": 1136, "to": "mm"}, + "name": "SQ_WAVE_IB_STS2", + "type_ref": "SQ_WAVE_IB_STS2" }, { "chips": ["gfx10"], - "map": {"at": 165788, "to": "mm"}, - "name": "CB_BLEND7_CONTROL", - "type_ref": "CB_BLEND0_CONTROL" + "map": {"at": 2480, "to": "mm"}, + "name": "SQ_WAVE_TTMP0", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 164896, "to": "mm"}, - "name": "CB_BLEND_ALPHA", - "type_ref": "CB_BLEND_ALPHA" + "map": {"at": 2484, "to": "mm"}, + "name": "SQ_WAVE_TTMP1", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 164892, "to": "mm"}, - "name": "CB_BLEND_BLUE", - "type_ref": "CB_BLEND_BLUE" + "map": {"at": 2488, "to": "mm"}, + "name": "SQ_WAVE_TTMP2", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 164888, "to": "mm"}, - "name": "CB_BLEND_GREEN", - "type_ref": "CB_BLEND_GREEN" + "map": {"at": 2492, "to": "mm"}, + "name": "SQ_WAVE_TTMP3", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 164884, "to": "mm"}, - "name": "CB_BLEND_RED", - "type_ref": "CB_BLEND_RED" + "map": {"at": 2496, "to": "mm"}, + "name": "SQ_WAVE_TTMP4", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 167028, "to": "mm"}, - "name": "CB_COLOR0_ATTRIB", - "type_ref": "CB_COLOR0_ATTRIB" + "map": {"at": 2500, "to": "mm"}, + "name": "SQ_WAVE_TTMP5", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 167616, "to": "mm"}, - "name": "CB_COLOR0_ATTRIB2", - "type_ref": "CB_COLOR0_ATTRIB2" + "map": {"at": 2504, "to": "mm"}, + "name": "SQ_WAVE_TTMP6", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 167648, "to": "mm"}, - "name": "CB_COLOR0_ATTRIB3", - "type_ref": "CB_COLOR0_ATTRIB3" + "map": {"at": 2508, "to": "mm"}, + "name": "SQ_WAVE_TTMP7", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 167008, "to": "mm"}, - "name": "CB_COLOR0_BASE", - "type_ref": "CB_COLOR0_BASE" + "map": {"at": 2512, "to": "mm"}, + "name": "SQ_WAVE_TTMP8", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 167488, "to": "mm"}, - "name": "CB_COLOR0_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" + "map": {"at": 2516, "to": "mm"}, + "name": "SQ_WAVE_TTMP9", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 167052, "to": "mm"}, - "name": "CB_COLOR0_CLEAR_WORD0", - "type_ref": "CB_COLOR0_CLEAR_WORD0" + "map": {"at": 2520, "to": "mm"}, + "name": "SQ_WAVE_TTMP10", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 167056, "to": "mm"}, - "name": "CB_COLOR0_CLEAR_WORD1", - "type_ref": "CB_COLOR0_CLEAR_WORD1" + "map": {"at": 2524, "to": "mm"}, + "name": "SQ_WAVE_TTMP11", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 167036, "to": "mm"}, - "name": "CB_COLOR0_CMASK", - "type_ref": "CB_COLOR0_BASE" + "map": {"at": 2528, "to": "mm"}, + "name": "SQ_WAVE_TTMP12", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 167520, "to": "mm"}, - "name": "CB_COLOR0_CMASK_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" + "map": {"at": 2532, "to": "mm"}, + "name": "SQ_WAVE_TTMP13", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 167060, "to": "mm"}, - "name": "CB_COLOR0_DCC_BASE", - "type_ref": "CB_COLOR0_BASE" + "map": {"at": 2536, "to": "mm"}, + "name": "SQ_WAVE_TTMP14", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 167584, "to": "mm"}, - "name": "CB_COLOR0_DCC_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" + "map": {"at": 2540, "to": "mm"}, + "name": "SQ_WAVE_TTMP15", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 167032, "to": "mm"}, - "name": "CB_COLOR0_DCC_CONTROL", - "type_ref": "CB_COLOR0_DCC_CONTROL" + "map": {"at": 2544, "to": "mm"}, + "name": "SQ_WAVE_M0", + "type_ref": "SQ_WAVE_M0" }, { "chips": ["gfx10"], - "map": {"at": 167044, "to": "mm"}, - "name": "CB_COLOR0_FMASK", - "type_ref": "CB_COLOR0_BASE" + "map": {"at": 2552, "to": "mm"}, + "name": "SQ_WAVE_EXEC_LO", + "type_ref": "SQ_WAVE_EXEC_LO" }, { "chips": ["gfx10"], - "map": {"at": 167552, "to": "mm"}, - "name": "CB_COLOR0_FMASK_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" + "map": {"at": 2556, "to": "mm"}, + "name": "SQ_WAVE_EXEC_HI", + "type_ref": "SQ_WAVE_EXEC_HI" }, { "chips": ["gfx10"], - "map": {"at": 167024, "to": "mm"}, - "name": "CB_COLOR0_INFO", - "type_ref": "CB_COLOR0_INFO" + "map": {"at": 2560, "to": "mm"}, + "name": "SQ_WAVE_FLAT_SCRATCH_LO", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 167020, "to": "mm"}, - "name": "CB_COLOR0_VIEW", - "type_ref": "CB_COLOR0_VIEW" + "map": {"at": 2564, "to": "mm"}, + "name": "SQ_WAVE_FLAT_SCRATCH_HI", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 167088, "to": "mm"}, - "name": "CB_COLOR1_ATTRIB", - "type_ref": "CB_COLOR0_ATTRIB" + "map": {"at": 2568, "to": "mm"}, + "name": "SQ_WAVE_FLAT_XNACK_MASK", + "type_ref": "SQ_WAVE_FLAT_XNACK_MASK" }, { "chips": ["gfx10"], - "map": {"at": 167620, "to": "mm"}, - "name": "CB_COLOR1_ATTRIB2", - "type_ref": "CB_COLOR0_ATTRIB2" + "map": {"at": 32776, "to": "mm"}, + "name": "GRBM_STATUS2", + "type_ref": "GRBM_STATUS2" }, { "chips": ["gfx10"], - "map": {"at": 167652, "to": "mm"}, - "name": "CB_COLOR1_ATTRIB3", - "type_ref": "CB_COLOR0_ATTRIB3" + "map": {"at": 32784, "to": "mm"}, + "name": "GRBM_STATUS", + "type_ref": "GRBM_STATUS" }, { "chips": ["gfx10"], - "map": {"at": 167068, "to": "mm"}, - "name": "CB_COLOR1_BASE", - "type_ref": "CB_COLOR0_BASE" + "map": {"at": 32788, "to": "mm"}, + "name": "GRBM_STATUS_SE0", + "type_ref": "GRBM_STATUS_SE0" }, { "chips": ["gfx10"], - "map": {"at": 167492, "to": "mm"}, - "name": "CB_COLOR1_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" + "map": {"at": 32792, "to": "mm"}, + "name": "GRBM_STATUS_SE1", + "type_ref": "GRBM_STATUS_SE0" }, { "chips": ["gfx10"], - "map": {"at": 167112, "to": "mm"}, - "name": "CB_COLOR1_CLEAR_WORD0", - "type_ref": "CB_COLOR0_CLEAR_WORD0" + "map": {"at": 32796, "to": "mm"}, + "name": "GRBM_STATUS3", + "type_ref": "GRBM_STATUS3" }, { "chips": ["gfx10"], - "map": {"at": 167116, "to": "mm"}, - "name": "CB_COLOR1_CLEAR_WORD1", - "type_ref": "CB_COLOR0_CLEAR_WORD1" + "map": {"at": 32824, "to": "mm"}, + "name": "GRBM_STATUS_SE2", + "type_ref": "GRBM_STATUS_SE0" }, { "chips": ["gfx10"], - "map": {"at": 167096, "to": "mm"}, - "name": "CB_COLOR1_CMASK", - "type_ref": "CB_COLOR0_BASE" + "map": {"at": 32828, "to": "mm"}, + "name": "GRBM_STATUS_SE3", + "type_ref": "GRBM_STATUS_SE0" }, { "chips": ["gfx10"], - "map": {"at": 167524, "to": "mm"}, - "name": "CB_COLOR1_CMASK_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" + "map": {"at": 33296, "to": "mm"}, + "name": "CP_CPC_STATUS", + "type_ref": "CP_CPC_STATUS" }, { "chips": ["gfx10"], - "map": {"at": 167120, "to": "mm"}, - "name": "CB_COLOR1_DCC_BASE", - "type_ref": "CB_COLOR0_BASE" + "map": {"at": 33300, "to": "mm"}, + "name": "CP_CPC_BUSY_STAT", + "type_ref": "CP_CPC_BUSY_STAT" }, { "chips": ["gfx10"], - "map": {"at": 167588, "to": "mm"}, - "name": "CB_COLOR1_DCC_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" + "map": {"at": 33304, "to": "mm"}, + "name": "CP_CPC_STALLED_STAT1", + "type_ref": "CP_CPC_STALLED_STAT1" }, { "chips": ["gfx10"], - "map": {"at": 167092, "to": "mm"}, - "name": "CB_COLOR1_DCC_CONTROL", - "type_ref": "CB_COLOR0_DCC_CONTROL" + "map": {"at": 33308, "to": "mm"}, + "name": "CP_CPF_STATUS", + "type_ref": "CP_CPF_STATUS" }, { "chips": ["gfx10"], - "map": {"at": 167104, "to": "mm"}, - "name": "CB_COLOR1_FMASK", - "type_ref": "CB_COLOR0_BASE" + "map": {"at": 33312, "to": "mm"}, + "name": "CP_CPF_BUSY_STAT", + "type_ref": "CP_CPF_BUSY_STAT" }, { "chips": ["gfx10"], - "map": {"at": 167556, "to": "mm"}, - "name": "CB_COLOR1_FMASK_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" + "map": {"at": 33316, "to": "mm"}, + "name": "CP_CPF_STALLED_STAT1", + "type_ref": "CP_CPF_STALLED_STAT1" }, { "chips": ["gfx10"], - "map": {"at": 167084, "to": "mm"}, - "name": "CB_COLOR1_INFO", - "type_ref": "CB_COLOR0_INFO" + "map": {"at": 33320, "to": "mm"}, + "name": "CP_CPC_BUSY_STAT2", + "type_ref": "CP_CPC_BUSY_STAT2" }, { "chips": ["gfx10"], - "map": {"at": 167080, "to": "mm"}, - "name": "CB_COLOR1_VIEW", - "type_ref": "CB_COLOR0_VIEW" + "map": {"at": 33324, "to": "mm"}, + "name": "CP_CPC_GRBM_FREE_COUNT", + "type_ref": "CP_CPC_GRBM_FREE_COUNT" }, { "chips": ["gfx10"], - "map": {"at": 167148, "to": "mm"}, - "name": "CB_COLOR2_ATTRIB", - "type_ref": "CB_COLOR0_ATTRIB" + "map": {"at": 33344, "to": "mm"}, + "name": "CP_CPC_SCRATCH_INDEX", + "type_ref": "CP_CPC_SCRATCH_INDEX" }, { "chips": ["gfx10"], - "map": {"at": 167624, "to": "mm"}, - "name": "CB_COLOR2_ATTRIB2", - "type_ref": "CB_COLOR0_ATTRIB2" + "map": {"at": 33348, "to": "mm"}, + "name": "CP_CPC_SCRATCH_DATA", + "type_ref": "CP_CPC_SCRATCH_DATA" }, { "chips": ["gfx10"], - "map": {"at": 167656, "to": "mm"}, - "name": "CB_COLOR2_ATTRIB3", - "type_ref": "CB_COLOR0_ATTRIB3" + "map": {"at": 33352, "to": "mm"}, + "name": "CP_CPF_GRBM_FREE_COUNT", + "type_ref": "CP_CPF_GRBM_FREE_COUNT" }, { "chips": ["gfx10"], - "map": {"at": 167128, "to": "mm"}, - "name": "CB_COLOR2_BASE", - "type_ref": "CB_COLOR0_BASE" + "map": {"at": 33356, "to": "mm"}, + "name": "CP_CPF_BUSY_STAT2", + "type_ref": "CP_CPF_BUSY_STAT2" }, { "chips": ["gfx10"], - "map": {"at": 167496, "to": "mm"}, - "name": "CB_COLOR2_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" + "map": {"at": 33436, "to": "mm"}, + "name": "CP_CPC_HALT_HYST_COUNT", + "type_ref": "CP_CPC_HALT_HYST_COUNT" }, { "chips": ["gfx10"], - "map": {"at": 167172, "to": "mm"}, - "name": "CB_COLOR2_CLEAR_WORD0", - "type_ref": "CB_COLOR0_CLEAR_WORD0" + "map": {"at": 36096, "to": "mm"}, + "name": "SQ_THREAD_TRACE_BUF0_BASE", + "type_ref": "SQ_THREAD_TRACE_BUF0_BASE" }, { "chips": ["gfx10"], - "map": {"at": 167176, "to": "mm"}, - "name": "CB_COLOR2_CLEAR_WORD1", - "type_ref": "CB_COLOR0_CLEAR_WORD1" + "map": {"at": 36100, "to": "mm"}, + "name": "SQ_THREAD_TRACE_BUF0_SIZE", + "type_ref": "SQ_THREAD_TRACE_BUF0_SIZE" }, { "chips": ["gfx10"], - "map": {"at": 167156, "to": "mm"}, - "name": "CB_COLOR2_CMASK", - "type_ref": "CB_COLOR0_BASE" + "map": {"at": 36104, "to": "mm"}, + "name": "SQ_THREAD_TRACE_BUF1_BASE", + "type_ref": "SQ_THREAD_TRACE_BUF0_BASE" }, { "chips": ["gfx10"], - "map": {"at": 167528, "to": "mm"}, - "name": "CB_COLOR2_CMASK_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" + "map": {"at": 36108, "to": "mm"}, + "name": "SQ_THREAD_TRACE_BUF1_SIZE", + "type_ref": "SQ_THREAD_TRACE_BUF0_SIZE" }, { "chips": ["gfx10"], - "map": {"at": 167180, "to": "mm"}, - "name": "CB_COLOR2_DCC_BASE", - "type_ref": "CB_COLOR0_BASE" + "map": {"at": 36112, "to": "mm"}, + "name": "SQ_THREAD_TRACE_WPTR", + "type_ref": "SQ_THREAD_TRACE_WPTR" }, { "chips": ["gfx10"], - "map": {"at": 167592, "to": "mm"}, - "name": "CB_COLOR2_DCC_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" + "map": {"at": 36116, "to": "mm"}, + "name": "SQ_THREAD_TRACE_MASK", + "type_ref": "SQ_THREAD_TRACE_MASK" }, { "chips": ["gfx10"], - "map": {"at": 167152, "to": "mm"}, - "name": "CB_COLOR2_DCC_CONTROL", - "type_ref": "CB_COLOR0_DCC_CONTROL" + "map": {"at": 36120, "to": "mm"}, + "name": "SQ_THREAD_TRACE_TOKEN_MASK", + "type_ref": "SQ_THREAD_TRACE_TOKEN_MASK" }, { "chips": ["gfx10"], - "map": {"at": 167164, "to": "mm"}, - "name": "CB_COLOR2_FMASK", - "type_ref": "CB_COLOR0_BASE" + "map": {"at": 36124, "to": "mm"}, + "name": "SQ_THREAD_TRACE_CTRL", + "type_ref": "SQ_THREAD_TRACE_CTRL" }, { "chips": ["gfx10"], - "map": {"at": 167560, "to": "mm"}, - "name": "CB_COLOR2_FMASK_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" + "map": {"at": 36128, "to": "mm"}, + "name": "SQ_THREAD_TRACE_STATUS", + "type_ref": "SQ_THREAD_TRACE_STATUS" }, { "chips": ["gfx10"], - "map": {"at": 167144, "to": "mm"}, - "name": "CB_COLOR2_INFO", - "type_ref": "CB_COLOR0_INFO" + "map": {"at": 36132, "to": "mm"}, + "name": "SQ_THREAD_TRACE_DROPPED_CNTR", + "type_ref": "SQ_THREAD_TRACE_DROPPED_CNTR" }, { "chips": ["gfx10"], - "map": {"at": 167140, "to": "mm"}, - "name": "CB_COLOR2_VIEW", - "type_ref": "CB_COLOR0_VIEW" + "map": {"at": 36140, "to": "mm"}, + "name": "SQ_THREAD_TRACE_GFX_DRAW_CNTR", + "type_ref": "SQ_THREAD_TRACE_DROPPED_CNTR" }, { "chips": ["gfx10"], - "map": {"at": 167208, "to": "mm"}, - "name": "CB_COLOR3_ATTRIB", - "type_ref": "CB_COLOR0_ATTRIB" + "map": {"at": 36144, "to": "mm"}, + "name": "SQ_THREAD_TRACE_GFX_MARKER_CNTR", + "type_ref": "SQ_THREAD_TRACE_DROPPED_CNTR" }, { "chips": ["gfx10"], - "map": {"at": 167628, "to": "mm"}, - "name": "CB_COLOR3_ATTRIB2", - "type_ref": "CB_COLOR0_ATTRIB2" + "map": {"at": 36148, "to": "mm"}, + "name": "SQ_THREAD_TRACE_HP3D_DRAW_CNTR", + "type_ref": "SQ_THREAD_TRACE_DROPPED_CNTR" }, { "chips": ["gfx10"], - "map": {"at": 167660, "to": "mm"}, - "name": "CB_COLOR3_ATTRIB3", - "type_ref": "CB_COLOR0_ATTRIB3" + "map": {"at": 36152, "to": "mm"}, + "name": "SQ_THREAD_TRACE_HP3D_MARKER_CNTR", + "type_ref": "SQ_THREAD_TRACE_DROPPED_CNTR" }, { "chips": ["gfx10"], - "map": {"at": 167188, "to": "mm"}, - "name": "CB_COLOR3_BASE", - "type_ref": "CB_COLOR0_BASE" + "map": {"at": 37120, "to": "mm"}, + "name": "SPI_CONFIG_CNTL", + "type_ref": "SPI_CONFIG_CNTL" }, { "chips": ["gfx10"], - "map": {"at": 167500, "to": "mm"}, - "name": "CB_COLOR3_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" + "map": {"at": 39160, "to": "mm"}, + "name": "GB_ADDR_CONFIG", + "type_ref": "GB_ADDR_CONFIG" }, { "chips": ["gfx10"], - "map": {"at": 167232, "to": "mm"}, - "name": "CB_COLOR3_CLEAR_WORD0", - "type_ref": "CB_COLOR0_CLEAR_WORD0" + "map": {"at": 39184, "to": "mm"}, + "name": "GB_TILE_MODE0", + "type_ref": "GB_TILE_MODE0" }, { "chips": ["gfx10"], - "map": {"at": 167236, "to": "mm"}, - "name": "CB_COLOR3_CLEAR_WORD1", - "type_ref": "CB_COLOR0_CLEAR_WORD1" + "map": {"at": 39188, "to": "mm"}, + "name": "GB_TILE_MODE1", + "type_ref": "GB_TILE_MODE0" }, { "chips": ["gfx10"], - "map": {"at": 167216, "to": "mm"}, - "name": "CB_COLOR3_CMASK", - "type_ref": "CB_COLOR0_BASE" + "map": {"at": 39192, "to": "mm"}, + "name": "GB_TILE_MODE2", + "type_ref": "GB_TILE_MODE0" }, { "chips": ["gfx10"], - "map": {"at": 167532, "to": "mm"}, - "name": "CB_COLOR3_CMASK_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" + "map": {"at": 39196, "to": "mm"}, + "name": "GB_TILE_MODE3", + "type_ref": "GB_TILE_MODE0" }, { "chips": ["gfx10"], - "map": {"at": 167240, "to": "mm"}, - "name": "CB_COLOR3_DCC_BASE", - "type_ref": "CB_COLOR0_BASE" + "map": {"at": 39200, "to": "mm"}, + "name": "GB_TILE_MODE4", + "type_ref": "GB_TILE_MODE0" }, { "chips": ["gfx10"], - "map": {"at": 167596, "to": "mm"}, - "name": "CB_COLOR3_DCC_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" + "map": {"at": 39204, "to": "mm"}, + "name": "GB_TILE_MODE5", + "type_ref": "GB_TILE_MODE0" }, { "chips": ["gfx10"], - "map": {"at": 167212, "to": "mm"}, - "name": "CB_COLOR3_DCC_CONTROL", - "type_ref": "CB_COLOR0_DCC_CONTROL" + "map": {"at": 39208, "to": "mm"}, + "name": "GB_TILE_MODE6", + "type_ref": "GB_TILE_MODE0" }, { "chips": ["gfx10"], - "map": {"at": 167224, "to": "mm"}, - "name": "CB_COLOR3_FMASK", - "type_ref": "CB_COLOR0_BASE" + "map": {"at": 39212, "to": "mm"}, + "name": "GB_TILE_MODE7", + "type_ref": "GB_TILE_MODE0" }, { "chips": ["gfx10"], - "map": {"at": 167564, "to": "mm"}, - "name": "CB_COLOR3_FMASK_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" + "map": {"at": 39216, "to": "mm"}, + "name": "GB_TILE_MODE8", + "type_ref": "GB_TILE_MODE0" }, { "chips": ["gfx10"], - "map": {"at": 167204, "to": "mm"}, - "name": "CB_COLOR3_INFO", - "type_ref": "CB_COLOR0_INFO" + "map": {"at": 39220, "to": "mm"}, + "name": "GB_TILE_MODE9", + "type_ref": "GB_TILE_MODE0" }, { "chips": ["gfx10"], - "map": {"at": 167200, "to": "mm"}, - "name": "CB_COLOR3_VIEW", - "type_ref": "CB_COLOR0_VIEW" + "map": {"at": 39224, "to": "mm"}, + "name": "GB_TILE_MODE10", + "type_ref": "GB_TILE_MODE0" }, { "chips": ["gfx10"], - "map": {"at": 167268, "to": "mm"}, - "name": "CB_COLOR4_ATTRIB", - "type_ref": "CB_COLOR0_ATTRIB" + "map": {"at": 39228, "to": "mm"}, + "name": "GB_TILE_MODE11", + "type_ref": "GB_TILE_MODE0" }, { "chips": ["gfx10"], - "map": {"at": 167632, "to": "mm"}, - "name": "CB_COLOR4_ATTRIB2", - "type_ref": "CB_COLOR0_ATTRIB2" + "map": {"at": 39232, "to": "mm"}, + "name": "GB_TILE_MODE12", + "type_ref": "GB_TILE_MODE0" }, { "chips": ["gfx10"], - "map": {"at": 167664, "to": "mm"}, - "name": "CB_COLOR4_ATTRIB3", - "type_ref": "CB_COLOR0_ATTRIB3" + "map": {"at": 39236, "to": "mm"}, + "name": "GB_TILE_MODE13", + "type_ref": "GB_TILE_MODE0" }, { "chips": ["gfx10"], - "map": {"at": 167248, "to": "mm"}, - "name": "CB_COLOR4_BASE", - "type_ref": "CB_COLOR0_BASE" + "map": {"at": 39240, "to": "mm"}, + "name": "GB_TILE_MODE14", + "type_ref": "GB_TILE_MODE0" }, { "chips": ["gfx10"], - "map": {"at": 167504, "to": "mm"}, - "name": "CB_COLOR4_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" + "map": {"at": 39244, "to": "mm"}, + "name": "GB_TILE_MODE15", + "type_ref": "GB_TILE_MODE0" }, { "chips": ["gfx10"], - "map": {"at": 167292, "to": "mm"}, - "name": "CB_COLOR4_CLEAR_WORD0", - "type_ref": "CB_COLOR0_CLEAR_WORD0" + "map": {"at": 39248, "to": "mm"}, + "name": "GB_TILE_MODE16", + "type_ref": "GB_TILE_MODE0" }, { "chips": ["gfx10"], - "map": {"at": 167296, "to": "mm"}, - "name": "CB_COLOR4_CLEAR_WORD1", - "type_ref": "CB_COLOR0_CLEAR_WORD1" + "map": {"at": 39252, "to": "mm"}, + "name": "GB_TILE_MODE17", + "type_ref": "GB_TILE_MODE0" }, { "chips": ["gfx10"], - "map": {"at": 167276, "to": "mm"}, - "name": "CB_COLOR4_CMASK", - "type_ref": "CB_COLOR0_BASE" + "map": {"at": 39256, "to": "mm"}, + "name": "GB_TILE_MODE18", + "type_ref": "GB_TILE_MODE0" }, { "chips": ["gfx10"], - "map": {"at": 167536, "to": "mm"}, - "name": "CB_COLOR4_CMASK_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" + "map": {"at": 39260, "to": "mm"}, + "name": "GB_TILE_MODE19", + "type_ref": "GB_TILE_MODE0" }, { "chips": ["gfx10"], - "map": {"at": 167300, "to": "mm"}, - "name": "CB_COLOR4_DCC_BASE", - "type_ref": "CB_COLOR0_BASE" + "map": {"at": 39264, "to": "mm"}, + "name": "GB_TILE_MODE20", + "type_ref": "GB_TILE_MODE0" }, { "chips": ["gfx10"], - "map": {"at": 167600, "to": "mm"}, - "name": "CB_COLOR4_DCC_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" + "map": {"at": 39268, "to": "mm"}, + "name": "GB_TILE_MODE21", + "type_ref": "GB_TILE_MODE0" }, { "chips": ["gfx10"], - "map": {"at": 167272, "to": "mm"}, - "name": "CB_COLOR4_DCC_CONTROL", - "type_ref": "CB_COLOR0_DCC_CONTROL" + "map": {"at": 39272, "to": "mm"}, + "name": "GB_TILE_MODE22", + "type_ref": "GB_TILE_MODE0" }, { "chips": ["gfx10"], - "map": {"at": 167284, "to": "mm"}, - "name": "CB_COLOR4_FMASK", - "type_ref": "CB_COLOR0_BASE" + "map": {"at": 39276, "to": "mm"}, + "name": "GB_TILE_MODE23", + "type_ref": "GB_TILE_MODE0" }, { "chips": ["gfx10"], - "map": {"at": 167568, "to": "mm"}, - "name": "CB_COLOR4_FMASK_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" + "map": {"at": 39280, "to": "mm"}, + "name": "GB_TILE_MODE24", + "type_ref": "GB_TILE_MODE0" }, { "chips": ["gfx10"], - "map": {"at": 167264, "to": "mm"}, - "name": "CB_COLOR4_INFO", - "type_ref": "CB_COLOR0_INFO" + "map": {"at": 39284, "to": "mm"}, + "name": "GB_TILE_MODE25", + "type_ref": "GB_TILE_MODE0" }, { "chips": ["gfx10"], - "map": {"at": 167260, "to": "mm"}, - "name": "CB_COLOR4_VIEW", - "type_ref": "CB_COLOR0_VIEW" + "map": {"at": 39288, "to": "mm"}, + "name": "GB_TILE_MODE26", + "type_ref": "GB_TILE_MODE0" }, { "chips": ["gfx10"], - "map": {"at": 167328, "to": "mm"}, - "name": "CB_COLOR5_ATTRIB", - "type_ref": "CB_COLOR0_ATTRIB" + "map": {"at": 39292, "to": "mm"}, + "name": "GB_TILE_MODE27", + "type_ref": "GB_TILE_MODE0" }, { "chips": ["gfx10"], - "map": {"at": 167636, "to": "mm"}, - "name": "CB_COLOR5_ATTRIB2", - "type_ref": "CB_COLOR0_ATTRIB2" + "map": {"at": 39296, "to": "mm"}, + "name": "GB_TILE_MODE28", + "type_ref": "GB_TILE_MODE0" }, { "chips": ["gfx10"], - "map": {"at": 167668, "to": "mm"}, - "name": "CB_COLOR5_ATTRIB3", - "type_ref": "CB_COLOR0_ATTRIB3" + "map": {"at": 39300, "to": "mm"}, + "name": "GB_TILE_MODE29", + "type_ref": "GB_TILE_MODE0" }, { "chips": ["gfx10"], - "map": {"at": 167308, "to": "mm"}, - "name": "CB_COLOR5_BASE", - "type_ref": "CB_COLOR0_BASE" + "map": {"at": 39304, "to": "mm"}, + "name": "GB_TILE_MODE30", + "type_ref": "GB_TILE_MODE0" }, { "chips": ["gfx10"], - "map": {"at": 167508, "to": "mm"}, - "name": "CB_COLOR5_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" + "map": {"at": 39308, "to": "mm"}, + "name": "GB_TILE_MODE31", + "type_ref": "GB_TILE_MODE0" }, { "chips": ["gfx10"], - "map": {"at": 167352, "to": "mm"}, - "name": "CB_COLOR5_CLEAR_WORD0", - "type_ref": "CB_COLOR0_CLEAR_WORD0" + "map": {"at": 39312, "to": "mm"}, + "name": "GB_MACROTILE_MODE0", + "type_ref": "GB_MACROTILE_MODE0" }, { "chips": ["gfx10"], - "map": {"at": 167356, "to": "mm"}, - "name": "CB_COLOR5_CLEAR_WORD1", - "type_ref": "CB_COLOR0_CLEAR_WORD1" + "map": {"at": 39316, "to": "mm"}, + "name": "GB_MACROTILE_MODE1", + "type_ref": "GB_MACROTILE_MODE0" }, { "chips": ["gfx10"], - "map": {"at": 167336, "to": "mm"}, - "name": "CB_COLOR5_CMASK", - "type_ref": "CB_COLOR0_BASE" + "map": {"at": 39320, "to": "mm"}, + "name": "GB_MACROTILE_MODE2", + "type_ref": "GB_MACROTILE_MODE0" }, { "chips": ["gfx10"], - "map": {"at": 167540, "to": "mm"}, - "name": "CB_COLOR5_CMASK_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" + "map": {"at": 39324, "to": "mm"}, + "name": "GB_MACROTILE_MODE3", + "type_ref": "GB_MACROTILE_MODE0" }, { "chips": ["gfx10"], - "map": {"at": 167360, "to": "mm"}, - "name": "CB_COLOR5_DCC_BASE", - "type_ref": "CB_COLOR0_BASE" + "map": {"at": 39328, "to": "mm"}, + "name": "GB_MACROTILE_MODE4", + "type_ref": "GB_MACROTILE_MODE0" }, { "chips": ["gfx10"], - "map": {"at": 167604, "to": "mm"}, - "name": "CB_COLOR5_DCC_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" + "map": {"at": 39332, "to": "mm"}, + "name": "GB_MACROTILE_MODE5", + "type_ref": "GB_MACROTILE_MODE0" }, { "chips": ["gfx10"], - "map": {"at": 167332, "to": "mm"}, - "name": "CB_COLOR5_DCC_CONTROL", - "type_ref": "CB_COLOR0_DCC_CONTROL" + "map": {"at": 39336, "to": "mm"}, + "name": "GB_MACROTILE_MODE6", + "type_ref": "GB_MACROTILE_MODE0" }, { "chips": ["gfx10"], - "map": {"at": 167344, "to": "mm"}, - "name": "CB_COLOR5_FMASK", - "type_ref": "CB_COLOR0_BASE" + "map": {"at": 39340, "to": "mm"}, + "name": "GB_MACROTILE_MODE7", + "type_ref": "GB_MACROTILE_MODE0" }, { "chips": ["gfx10"], - "map": {"at": 167572, "to": "mm"}, - "name": "CB_COLOR5_FMASK_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" + "map": {"at": 39344, "to": "mm"}, + "name": "GB_MACROTILE_MODE8", + "type_ref": "GB_MACROTILE_MODE0" }, { "chips": ["gfx10"], - "map": {"at": 167324, "to": "mm"}, - "name": "CB_COLOR5_INFO", - "type_ref": "CB_COLOR0_INFO" + "map": {"at": 39348, "to": "mm"}, + "name": "GB_MACROTILE_MODE9", + "type_ref": "GB_MACROTILE_MODE0" }, { "chips": ["gfx10"], - "map": {"at": 167320, "to": "mm"}, - "name": "CB_COLOR5_VIEW", - "type_ref": "CB_COLOR0_VIEW" + "map": {"at": 39352, "to": "mm"}, + "name": "GB_MACROTILE_MODE10", + "type_ref": "GB_MACROTILE_MODE0" }, { "chips": ["gfx10"], - "map": {"at": 167388, "to": "mm"}, - "name": "CB_COLOR6_ATTRIB", - "type_ref": "CB_COLOR0_ATTRIB" + "map": {"at": 39356, "to": "mm"}, + "name": "GB_MACROTILE_MODE11", + "type_ref": "GB_MACROTILE_MODE0" }, { "chips": ["gfx10"], - "map": {"at": 167640, "to": "mm"}, - "name": "CB_COLOR6_ATTRIB2", - "type_ref": "CB_COLOR0_ATTRIB2" + "map": {"at": 39360, "to": "mm"}, + "name": "GB_MACROTILE_MODE12", + "type_ref": "GB_MACROTILE_MODE0" }, { "chips": ["gfx10"], - "map": {"at": 167672, "to": "mm"}, - "name": "CB_COLOR6_ATTRIB3", - "type_ref": "CB_COLOR0_ATTRIB3" + "map": {"at": 39364, "to": "mm"}, + "name": "GB_MACROTILE_MODE13", + "type_ref": "GB_MACROTILE_MODE0" }, { "chips": ["gfx10"], - "map": {"at": 167368, "to": "mm"}, - "name": "CB_COLOR6_BASE", - "type_ref": "CB_COLOR0_BASE" + "map": {"at": 39368, "to": "mm"}, + "name": "GB_MACROTILE_MODE14", + "type_ref": "GB_MACROTILE_MODE0" }, { "chips": ["gfx10"], - "map": {"at": 167512, "to": "mm"}, - "name": "CB_COLOR6_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" + "map": {"at": 39372, "to": "mm"}, + "name": "GB_MACROTILE_MODE15", + "type_ref": "GB_MACROTILE_MODE0" }, { "chips": ["gfx10"], - "map": {"at": 167412, "to": "mm"}, - "name": "CB_COLOR6_CLEAR_WORD0", - "type_ref": "CB_COLOR0_CLEAR_WORD0" + "map": {"at": 45060, "to": "mm"}, + "name": "SPI_SHADER_PGM_RSRC4_PS", + "type_ref": "SPI_SHADER_PGM_RSRC4_PS" }, { "chips": ["gfx10"], - "map": {"at": 167416, "to": "mm"}, - "name": "CB_COLOR6_CLEAR_WORD1", - "type_ref": "CB_COLOR0_CLEAR_WORD1" + "map": {"at": 45080, "to": "mm"}, + "name": "SPI_SHADER_PGM_CHKSUM_PS", + "type_ref": "SPI_SHADER_PGM_CHKSUM_PS" }, { "chips": ["gfx10"], - "map": {"at": 167396, "to": "mm"}, - "name": "CB_COLOR6_CMASK", - "type_ref": "CB_COLOR0_BASE" + "map": {"at": 45084, "to": "mm"}, + "name": "SPI_SHADER_PGM_RSRC3_PS", + "type_ref": "SPI_SHADER_PGM_RSRC3_PS" }, { "chips": ["gfx10"], - "map": {"at": 167544, "to": "mm"}, - "name": "CB_COLOR6_CMASK_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" + "map": {"at": 45088, "to": "mm"}, + "name": "SPI_SHADER_PGM_LO_PS", + "type_ref": "SPI_SHADER_PGM_LO_PS" }, { "chips": ["gfx10"], - "map": {"at": 167420, "to": "mm"}, - "name": "CB_COLOR6_DCC_BASE", - "type_ref": "CB_COLOR0_BASE" + "map": {"at": 45092, "to": "mm"}, + "name": "SPI_SHADER_PGM_HI_PS", + "type_ref": "SPI_SHADER_PGM_HI_PS" }, { "chips": ["gfx10"], - "map": {"at": 167608, "to": "mm"}, - "name": "CB_COLOR6_DCC_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" + "map": {"at": 45096, "to": "mm"}, + "name": "SPI_SHADER_PGM_RSRC1_PS", + "type_ref": "SPI_SHADER_PGM_RSRC1_PS" }, { "chips": ["gfx10"], - "map": {"at": 167392, "to": "mm"}, - "name": "CB_COLOR6_DCC_CONTROL", - "type_ref": "CB_COLOR0_DCC_CONTROL" + "map": {"at": 45100, "to": "mm"}, + "name": "SPI_SHADER_PGM_RSRC2_PS", + "type_ref": "SPI_SHADER_PGM_RSRC2_PS" }, { "chips": ["gfx10"], - "map": {"at": 167404, "to": "mm"}, - "name": "CB_COLOR6_FMASK", - "type_ref": "CB_COLOR0_BASE" + "map": {"at": 45104, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_PS_0", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 167576, "to": "mm"}, - "name": "CB_COLOR6_FMASK_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" + "map": {"at": 45108, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_PS_1", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 167384, "to": "mm"}, - "name": "CB_COLOR6_INFO", - "type_ref": "CB_COLOR0_INFO" + "map": {"at": 45112, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_PS_2", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 167380, "to": "mm"}, - "name": "CB_COLOR6_VIEW", - "type_ref": "CB_COLOR0_VIEW" + "map": {"at": 45116, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_PS_3", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 167448, "to": "mm"}, - "name": "CB_COLOR7_ATTRIB", - "type_ref": "CB_COLOR0_ATTRIB" + "map": {"at": 45120, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_PS_4", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 167644, "to": "mm"}, - "name": "CB_COLOR7_ATTRIB2", - "type_ref": "CB_COLOR0_ATTRIB2" + "map": {"at": 45124, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_PS_5", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 167676, "to": "mm"}, - "name": "CB_COLOR7_ATTRIB3", - "type_ref": "CB_COLOR0_ATTRIB3" + "map": {"at": 45128, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_PS_6", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 167428, "to": "mm"}, - "name": "CB_COLOR7_BASE", - "type_ref": "CB_COLOR0_BASE" + "map": {"at": 45132, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_PS_7", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 167516, "to": "mm"}, - "name": "CB_COLOR7_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" + "map": {"at": 45136, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_PS_8", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 167472, "to": "mm"}, - "name": "CB_COLOR7_CLEAR_WORD0", - "type_ref": "CB_COLOR0_CLEAR_WORD0" + "map": {"at": 45140, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_PS_9", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 167476, "to": "mm"}, - "name": "CB_COLOR7_CLEAR_WORD1", - "type_ref": "CB_COLOR0_CLEAR_WORD1" + "map": {"at": 45144, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_PS_10", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 167456, "to": "mm"}, - "name": "CB_COLOR7_CMASK", - "type_ref": "CB_COLOR0_BASE" + "map": {"at": 45148, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_PS_11", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 167548, "to": "mm"}, - "name": "CB_COLOR7_CMASK_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" + "map": {"at": 45152, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_PS_12", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 167480, "to": "mm"}, - "name": "CB_COLOR7_DCC_BASE", - "type_ref": "CB_COLOR0_BASE" + "map": {"at": 45156, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_PS_13", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 167612, "to": "mm"}, - "name": "CB_COLOR7_DCC_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" + "map": {"at": 45160, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_PS_14", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 167452, "to": "mm"}, - "name": "CB_COLOR7_DCC_CONTROL", - "type_ref": "CB_COLOR0_DCC_CONTROL" + "map": {"at": 45164, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_PS_15", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 167464, "to": "mm"}, - "name": "CB_COLOR7_FMASK", - "type_ref": "CB_COLOR0_BASE" + "map": {"at": 45168, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_PS_16", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 167580, "to": "mm"}, - "name": "CB_COLOR7_FMASK_BASE_EXT", - "type_ref": "CB_COLOR0_BASE_EXT" + "map": {"at": 45172, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_PS_17", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 167444, "to": "mm"}, - "name": "CB_COLOR7_INFO", - "type_ref": "CB_COLOR0_INFO" + "map": {"at": 45176, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_PS_18", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 167440, "to": "mm"}, - "name": "CB_COLOR7_VIEW", - "type_ref": "CB_COLOR0_VIEW" + "map": {"at": 45180, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_PS_19", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 165896, "to": "mm"}, - "name": "CB_COLOR_CONTROL", - "type_ref": "CB_COLOR_CONTROL" + "map": {"at": 45184, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_PS_20", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 164904, "to": "mm"}, - "name": "CB_COVERAGE_OUT_CONTROL", - "type_ref": "CB_COVERAGE_OUT_CONTROL" + "map": {"at": 45188, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_PS_21", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 164900, "to": "mm"}, - "name": "CB_DCC_CONTROL", - "type_ref": "CB_DCC_CONTROL" + "map": {"at": 45192, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_PS_22", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 217116, "to": "mm"}, - "name": "CB_PERFCOUNTER0_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 45196, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_PS_23", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 217112, "to": "mm"}, - "name": "CB_PERFCOUNTER0_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 45200, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_PS_24", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 225284, "to": "mm"}, - "name": "CB_PERFCOUNTER0_SELECT", - "type_ref": "CB_PERFCOUNTER0_SELECT" + "map": {"at": 45204, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_PS_25", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 225288, "to": "mm"}, - "name": "CB_PERFCOUNTER0_SELECT1", - "type_ref": "CB_PERFCOUNTER0_SELECT1" + "map": {"at": 45208, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_PS_26", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 217124, "to": "mm"}, - "name": "CB_PERFCOUNTER1_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 45212, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_PS_27", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 217120, "to": "mm"}, - "name": "CB_PERFCOUNTER1_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 45216, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_PS_28", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 225292, "to": "mm"}, - "name": "CB_PERFCOUNTER1_SELECT", - "type_ref": "CB_PERFCOUNTER1_SELECT" + "map": {"at": 45220, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_PS_29", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 217132, "to": "mm"}, - "name": "CB_PERFCOUNTER2_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 45224, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_PS_30", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 217128, "to": "mm"}, - "name": "CB_PERFCOUNTER2_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 45228, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_PS_31", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 225296, "to": "mm"}, - "name": "CB_PERFCOUNTER2_SELECT", - "type_ref": "CB_PERFCOUNTER1_SELECT" + "map": {"at": 45248, "to": "mm"}, + "name": "SPI_SHADER_REQ_CTRL_PS", + "type_ref": "SPI_SHADER_REQ_CTRL_PS" }, { "chips": ["gfx10"], - "map": {"at": 217140, "to": "mm"}, - "name": "CB_PERFCOUNTER3_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 45252, "to": "mm"}, + "name": "SPI_SHADER_PREF_PRI_CNTR_CTRL_PS", + "type_ref": "SPI_SHADER_PREF_PRI_CNTR_CTRL_PS" }, { "chips": ["gfx10"], - "map": {"at": 217136, "to": "mm"}, - "name": "CB_PERFCOUNTER3_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 45256, "to": "mm"}, + "name": "SPI_SHADER_USER_ACCUM_PS_0", + "type_ref": "SPI_SHADER_USER_ACCUM_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 225300, "to": "mm"}, - "name": "CB_PERFCOUNTER3_SELECT", - "type_ref": "CB_PERFCOUNTER1_SELECT" + "map": {"at": 45260, "to": "mm"}, + "name": "SPI_SHADER_USER_ACCUM_PS_1", + "type_ref": "SPI_SHADER_USER_ACCUM_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 225280, "to": "mm"}, - "name": "CB_PERFCOUNTER_FILTER", - "type_ref": "CB_PERFCOUNTER_FILTER" + "map": {"at": 45264, "to": "mm"}, + "name": "SPI_SHADER_USER_ACCUM_PS_2", + "type_ref": "SPI_SHADER_USER_ACCUM_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 164880, "to": "mm"}, - "name": "CB_RMI_GL2_CACHE_CONTROL", - "type_ref": "CB_RMI_GL2_CACHE_CONTROL" + "map": {"at": 45268, "to": "mm"}, + "name": "SPI_SHADER_USER_ACCUM_PS_3", + "type_ref": "SPI_SHADER_USER_ACCUM_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 164412, "to": "mm"}, - "name": "CB_SHADER_MASK", - "type_ref": "CB_SHADER_MASK" + "map": {"at": 45316, "to": "mm"}, + "name": "SPI_SHADER_PGM_RSRC4_VS", + "type_ref": "SPI_SHADER_PGM_RSRC4_PS" }, { "chips": ["gfx10"], - "map": {"at": 164408, "to": "mm"}, - "name": "CB_TARGET_MASK", - "type_ref": "CB_TARGET_MASK" + "map": {"at": 45332, "to": "mm"}, + "name": "SPI_SHADER_PGM_CHKSUM_VS", + "type_ref": "SPI_SHADER_PGM_CHKSUM_PS" }, { "chips": ["gfx10"], - "map": {"at": 219140, "to": "mm"}, - "name": "CHA_PERFCOUNTER0_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 45336, "to": "mm"}, + "name": "SPI_SHADER_PGM_RSRC3_VS", + "type_ref": "SPI_SHADER_PGM_RSRC3_PS" }, { "chips": ["gfx10"], - "map": {"at": 219136, "to": "mm"}, - "name": "CHA_PERFCOUNTER0_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 45340, "to": "mm"}, + "name": "SPI_SHADER_LATE_ALLOC_VS", + "type_ref": "SPI_SHADER_LATE_ALLOC_VS" }, { "chips": ["gfx10"], - "map": {"at": 227200, "to": "mm"}, - "name": "CHA_PERFCOUNTER0_SELECT", - "type_ref": "CHA_PERFCOUNTER0_SELECT" + "map": {"at": 45344, "to": "mm"}, + "name": "SPI_SHADER_PGM_LO_VS", + "type_ref": "SPI_SHADER_PGM_LO_PS" }, { "chips": ["gfx10"], - "map": {"at": 227204, "to": "mm"}, - "name": "CHA_PERFCOUNTER0_SELECT1", - "type_ref": "CHA_PERFCOUNTER0_SELECT1" + "map": {"at": 45348, "to": "mm"}, + "name": "SPI_SHADER_PGM_HI_VS", + "type_ref": "SPI_SHADER_PGM_HI_PS" }, { "chips": ["gfx10"], - "map": {"at": 219148, "to": "mm"}, - "name": "CHA_PERFCOUNTER1_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 45352, "to": "mm"}, + "name": "SPI_SHADER_PGM_RSRC1_VS", + "type_ref": "SPI_SHADER_PGM_RSRC1_VS" }, { "chips": ["gfx10"], - "map": {"at": 219144, "to": "mm"}, - "name": "CHA_PERFCOUNTER1_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 45356, "to": "mm"}, + "name": "SPI_SHADER_PGM_RSRC2_VS", + "type_ref": "SPI_SHADER_PGM_RSRC2_VS" }, { "chips": ["gfx10"], - "map": {"at": 227208, "to": "mm"}, - "name": "CHA_PERFCOUNTER1_SELECT", - "type_ref": "CHA_PERFCOUNTER1_SELECT" + "map": {"at": 45360, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_VS_0", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 219156, "to": "mm"}, - "name": "CHA_PERFCOUNTER2_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 45364, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_VS_1", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 219152, "to": "mm"}, - "name": "CHA_PERFCOUNTER2_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 45368, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_VS_2", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 227212, "to": "mm"}, - "name": "CHA_PERFCOUNTER2_SELECT", - "type_ref": "CHA_PERFCOUNTER1_SELECT" + "map": {"at": 45372, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_VS_3", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 219164, "to": "mm"}, - "name": "CHA_PERFCOUNTER3_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 45376, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_VS_4", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 219160, "to": "mm"}, - "name": "CHA_PERFCOUNTER3_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 45380, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_VS_5", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 227216, "to": "mm"}, - "name": "CHA_PERFCOUNTER3_SELECT", - "type_ref": "CHA_PERFCOUNTER1_SELECT" + "map": {"at": 45384, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_VS_6", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 216868, "to": "mm"}, - "name": "CHCG_PERFCOUNTER0_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 45388, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_VS_7", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 216864, "to": "mm"}, - "name": "CHCG_PERFCOUNTER0_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 45392, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_VS_8", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 225048, "to": "mm"}, - "name": "CHCG_PERFCOUNTER0_SELECT", - "type_ref": "CHCG_PERFCOUNTER0_SELECT" + "map": {"at": 45396, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_VS_9", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 225052, "to": "mm"}, - "name": "CHCG_PERFCOUNTER0_SELECT1", - "type_ref": "CHCG_PERFCOUNTER0_SELECT1" + "map": {"at": 45400, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_VS_10", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 216876, "to": "mm"}, - "name": "CHCG_PERFCOUNTER1_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 45404, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_VS_11", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 216872, "to": "mm"}, - "name": "CHCG_PERFCOUNTER1_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 45408, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_VS_12", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 225056, "to": "mm"}, - "name": "CHCG_PERFCOUNTER1_SELECT", - "type_ref": "CHCG_PERFCOUNTER1_SELECT" + "map": {"at": 45412, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_VS_13", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 216884, "to": "mm"}, - "name": "CHCG_PERFCOUNTER2_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 45416, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_VS_14", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 216880, "to": "mm"}, - "name": "CHCG_PERFCOUNTER2_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 45420, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_VS_15", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 225060, "to": "mm"}, - "name": "CHCG_PERFCOUNTER2_SELECT", - "type_ref": "CHCG_PERFCOUNTER1_SELECT" + "map": {"at": 45424, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_VS_16", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 216892, "to": "mm"}, - "name": "CHCG_PERFCOUNTER3_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 45428, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_VS_17", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 216888, "to": "mm"}, - "name": "CHCG_PERFCOUNTER3_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 45432, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_VS_18", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 225064, "to": "mm"}, - "name": "CHCG_PERFCOUNTER3_SELECT", - "type_ref": "CHCG_PERFCOUNTER1_SELECT" + "map": {"at": 45436, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_VS_19", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 216836, "to": "mm"}, - "name": "CHC_PERFCOUNTER0_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 45440, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_VS_20", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 216832, "to": "mm"}, - "name": "CHC_PERFCOUNTER0_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 45444, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_VS_21", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 225024, "to": "mm"}, - "name": "CHC_PERFCOUNTER0_SELECT", - "type_ref": "CHC_PERFCOUNTER0_SELECT" + "map": {"at": 45448, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_VS_22", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 225028, "to": "mm"}, - "name": "CHC_PERFCOUNTER0_SELECT1", - "type_ref": "CHC_PERFCOUNTER0_SELECT1" + "map": {"at": 45452, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_VS_23", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 216844, "to": "mm"}, - "name": "CHC_PERFCOUNTER1_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 45456, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_VS_24", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 216840, "to": "mm"}, - "name": "CHC_PERFCOUNTER1_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 45460, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_VS_25", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 225032, "to": "mm"}, - "name": "CHC_PERFCOUNTER1_SELECT", - "type_ref": "CHC_PERFCOUNTER1_SELECT" + "map": {"at": 45464, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_VS_26", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 216852, "to": "mm"}, - "name": "CHC_PERFCOUNTER2_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 45468, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_VS_27", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 216848, "to": "mm"}, - "name": "CHC_PERFCOUNTER2_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 45472, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_VS_28", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 225036, "to": "mm"}, - "name": "CHC_PERFCOUNTER2_SELECT", - "type_ref": "CHC_PERFCOUNTER1_SELECT" + "map": {"at": 45476, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_VS_29", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 216860, "to": "mm"}, - "name": "CHC_PERFCOUNTER3_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 45480, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_VS_30", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 216856, "to": "mm"}, - "name": "CHC_PERFCOUNTER3_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 45484, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_VS_31", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 225040, "to": "mm"}, - "name": "CHC_PERFCOUNTER3_SELECT", - "type_ref": "CHC_PERFCOUNTER1_SELECT" + "map": {"at": 45504, "to": "mm"}, + "name": "SPI_SHADER_REQ_CTRL_VS", + "type_ref": "SPI_SHADER_REQ_CTRL_PS" }, { "chips": ["gfx10"], - "map": {"at": 164424, "to": "mm"}, - "name": "COHER_DEST_BASE_0", - "type_ref": "COHER_DEST_BASE_0" + "map": {"at": 45508, "to": "mm"}, + "name": "SPI_SHADER_PREF_PRI_CNTR_CTRL_VS", + "type_ref": "SPI_SHADER_PREF_PRI_CNTR_CTRL_PS" }, { "chips": ["gfx10"], - "map": {"at": 164428, "to": "mm"}, - "name": "COHER_DEST_BASE_1", - "type_ref": "COHER_DEST_BASE_0" + "map": {"at": 45512, "to": "mm"}, + "name": "SPI_SHADER_USER_ACCUM_VS_0", + "type_ref": "SPI_SHADER_USER_ACCUM_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 164344, "to": "mm"}, - "name": "COHER_DEST_BASE_2", - "type_ref": "COHER_DEST_BASE_0" + "map": {"at": 45516, "to": "mm"}, + "name": "SPI_SHADER_USER_ACCUM_VS_1", + "type_ref": "SPI_SHADER_USER_ACCUM_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 164348, "to": "mm"}, - "name": "COHER_DEST_BASE_3", - "type_ref": "COHER_DEST_BASE_0" + "map": {"at": 45520, "to": "mm"}, + "name": "SPI_SHADER_USER_ACCUM_VS_2", + "type_ref": "SPI_SHADER_USER_ACCUM_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 164328, "to": "mm"}, - "name": "COHER_DEST_BASE_HI_0", - "type_ref": "COHER_DEST_BASE_HI_0" + "map": {"at": 45524, "to": "mm"}, + "name": "SPI_SHADER_USER_ACCUM_VS_3", + "type_ref": "SPI_SHADER_USER_ACCUM_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 164332, "to": "mm"}, - "name": "COHER_DEST_BASE_HI_1", - "type_ref": "COHER_DEST_BASE_HI_0" + "map": {"at": 45548, "to": "mm"}, + "name": "SPI_SHADER_PGM_RSRC2_GS_VS", + "type_ref": "SPI_SHADER_PGM_RSRC2_GS_VS" }, { "chips": ["gfx10"], - "map": {"at": 164336, "to": "mm"}, - "name": "COHER_DEST_BASE_HI_2", - "type_ref": "COHER_DEST_BASE_HI_0" + "map": {"at": 45552, "to": "mm"}, + "name": "SPI_SHADER_PGM_RSRC2_ES_VS", + "type_ref": "SPI_SHADER_PGM_RSRC2_ES_VS" }, { "chips": ["gfx10"], - "map": {"at": 164340, "to": "mm"}, - "name": "COHER_DEST_BASE_HI_3", - "type_ref": "COHER_DEST_BASE_HI_0" + "map": {"at": 45556, "to": "mm"}, + "name": "SPI_SHADER_PGM_RSRC2_LS_VS", + "type_ref": "SPI_SHADER_PGM_RSRC2_LS_VS" }, { "chips": ["gfx10"], - "map": {"at": 47268, "to": "mm"}, - "name": "COMPUTE_DDID_INDEX", - "type_ref": "COMPUTE_DDID_INDEX" + "map": {"at": 45568, "to": "mm"}, + "name": "SPI_SHADER_PGM_CHKSUM_GS", + "type_ref": "SPI_SHADER_PGM_CHKSUM_PS" }, { "chips": ["gfx10"], - "map": {"at": 47192, "overlap": true, "to": "mm"}, - "name": "COMPUTE_DESTINATION_EN_SE0", - "type_ref": "COMPUTE_DESTINATION_EN_SE0" + "map": {"at": 45572, "to": "mm"}, + "name": "SPI_SHADER_PGM_RSRC4_GS", + "type_ref": "SPI_SHADER_PGM_RSRC4_GS" }, { "chips": ["gfx10"], - "map": {"at": 47196, "overlap": true, "to": "mm"}, - "name": "COMPUTE_DESTINATION_EN_SE1", - "type_ref": "COMPUTE_DESTINATION_EN_SE0" + "map": {"at": 45576, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_ADDR_LO_GS", + "type_ref": "SPI_SHADER_PGM_LO_PS" }, { "chips": ["gfx10"], - "map": {"at": 47204, "overlap": true, "to": "mm"}, - "name": "COMPUTE_DESTINATION_EN_SE2", - "type_ref": "COMPUTE_DESTINATION_EN_SE0" + "map": {"at": 45580, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_ADDR_HI_GS", + "type_ref": "SPI_SHADER_PGM_LO_PS" }, { "chips": ["gfx10"], - "map": {"at": 47208, "overlap": true, "to": "mm"}, - "name": "COMPUTE_DESTINATION_EN_SE3", - "type_ref": "COMPUTE_DESTINATION_EN_SE0" + "map": {"at": 45584, "to": "mm"}, + "name": "SPI_SHADER_PGM_LO_ES_GS", + "type_ref": "SPI_SHADER_PGM_LO_PS" }, { "chips": ["gfx10"], - "map": {"at": 47108, "to": "mm"}, - "name": "COMPUTE_DIM_X", - "type_ref": "COMPUTE_DIM_X" + "map": {"at": 45588, "to": "mm"}, + "name": "SPI_SHADER_PGM_HI_ES_GS", + "type_ref": "SPI_SHADER_PGM_HI_PS" }, { "chips": ["gfx10"], - "map": {"at": 47112, "to": "mm"}, - "name": "COMPUTE_DIM_Y", - "type_ref": "COMPUTE_DIM_X" + "map": {"at": 45596, "to": "mm"}, + "name": "SPI_SHADER_PGM_RSRC3_GS", + "type_ref": "SPI_SHADER_PGM_RSRC3_GS" }, { "chips": ["gfx10"], - "map": {"at": 47116, "to": "mm"}, - "name": "COMPUTE_DIM_Z", - "type_ref": "COMPUTE_DIM_X" + "map": {"at": 45600, "to": "mm"}, + "name": "SPI_SHADER_PGM_LO_GS", + "type_ref": "SPI_SHADER_PGM_LO_PS" }, { "chips": ["gfx10"], - "map": {"at": 47608, "to": "mm"}, - "name": "COMPUTE_DISPATCH_END", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 45604, "to": "mm"}, + "name": "SPI_SHADER_PGM_HI_GS", + "type_ref": "SPI_SHADER_PGM_HI_PS" }, { "chips": ["gfx10"], - "map": {"at": 47232, "to": "mm"}, - "name": "COMPUTE_DISPATCH_ID", - "type_ref": "COMPUTE_DISPATCH_ID" + "map": {"at": 45608, "to": "mm"}, + "name": "SPI_SHADER_PGM_RSRC1_GS", + "type_ref": "SPI_SHADER_PGM_RSRC1_GS" }, { "chips": ["gfx10"], - "map": {"at": 47104, "to": "mm"}, - "name": "COMPUTE_DISPATCH_INITIATOR", - "type_ref": "COMPUTE_DISPATCH_INITIATOR" + "map": {"at": 45612, "to": "mm"}, + "name": "SPI_SHADER_PGM_RSRC2_GS", + "type_ref": "SPI_SHADER_PGM_RSRC2_GS" }, { "chips": ["gfx10"], - "map": {"at": 47164, "to": "mm"}, - "name": "COMPUTE_DISPATCH_PKT_ADDR_HI", - "type_ref": "COMPUTE_PGM_HI" + "map": {"at": 45616, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_GS_0", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 47160, "to": "mm"}, - "name": "COMPUTE_DISPATCH_PKT_ADDR_LO", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 45620, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_GS_1", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 47172, "to": "mm"}, - "name": "COMPUTE_DISPATCH_SCRATCH_BASE_HI", - "type_ref": "COMPUTE_PGM_HI" + "map": {"at": 45624, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_GS_2", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 47168, "to": "mm"}, - "name": "COMPUTE_DISPATCH_SCRATCH_BASE_LO", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 45628, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_GS_3", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 47604, "to": "mm"}, - "name": "COMPUTE_DISPATCH_TUNNEL", - "type_ref": "COMPUTE_DISPATCH_TUNNEL" + "map": {"at": 45632, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_GS_4", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 47228, "to": "mm"}, - "name": "COMPUTE_MISC_RESERVED", - "type_ref": "COMPUTE_MISC_RESERVED" + "map": {"at": 45636, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_GS_5", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 47612, "to": "mm"}, - "name": "COMPUTE_NOWHERE", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 45640, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_GS_6", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 47132, "to": "mm"}, - "name": "COMPUTE_NUM_THREAD_X", - "type_ref": "COMPUTE_NUM_THREAD_X" + "map": {"at": 45644, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_GS_7", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 47136, "to": "mm"}, - "name": "COMPUTE_NUM_THREAD_Y", - "type_ref": "COMPUTE_NUM_THREAD_X" + "map": {"at": 45648, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_GS_8", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 47140, "to": "mm"}, - "name": "COMPUTE_NUM_THREAD_Z", - "type_ref": "COMPUTE_NUM_THREAD_X" + "map": {"at": 45652, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_GS_9", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 47148, "to": "mm"}, - "name": "COMPUTE_PERFCOUNT_ENABLE", - "type_ref": "COMPUTE_PERFCOUNT_ENABLE" + "map": {"at": 45656, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_GS_10", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 47156, "to": "mm"}, - "name": "COMPUTE_PGM_HI", - "type_ref": "COMPUTE_PGM_HI" + "map": {"at": 45660, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_GS_11", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 47152, "to": "mm"}, - "name": "COMPUTE_PGM_LO", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 45664, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_GS_12", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 47176, "to": "mm"}, - "name": "COMPUTE_PGM_RSRC1", - "type_ref": "COMPUTE_PGM_RSRC1" + "map": {"at": 45668, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_GS_13", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 47180, "to": "mm"}, - "name": "COMPUTE_PGM_RSRC2", - "type_ref": "COMPUTE_PGM_RSRC2" + "map": {"at": 45672, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_GS_14", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 47264, "to": "mm"}, - "name": "COMPUTE_PGM_RSRC3", - "type_ref": "COMPUTE_PGM_RSRC3" + "map": {"at": 45676, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_GS_15", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 47144, "to": "mm"}, - "name": "COMPUTE_PIPELINESTAT_ENABLE", - "type_ref": "COMPUTE_PIPELINESTAT_ENABLE" + "map": {"at": 45680, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_GS_16", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 47248, "to": "mm"}, - "name": "COMPUTE_USER_ACCUM_0", - "type_ref": "COMPUTE_USER_ACCUM_0" + "map": {"at": 45684, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_GS_17", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 47252, "to": "mm"}, - "name": "COMPUTE_USER_ACCUM_1", - "type_ref": "COMPUTE_USER_ACCUM_0" + "map": {"at": 45688, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_GS_18", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 47256, "to": "mm"}, - "name": "COMPUTE_USER_ACCUM_2", - "type_ref": "COMPUTE_USER_ACCUM_0" + "map": {"at": 45692, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_GS_19", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 47260, "to": "mm"}, - "name": "COMPUTE_USER_ACCUM_3", - "type_ref": "COMPUTE_USER_ACCUM_0" + "map": {"at": 45696, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_GS_20", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 47244, "to": "mm"}, - "name": "COMPUTE_PREF_PRI_CNTR_CTRL", - "type_ref": "COMPUTE_PREF_PRI_CNTR_CTRL" + "map": {"at": 45700, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_GS_21", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 47276, "to": "mm"}, - "name": "COMPUTE_RELAUNCH", - "type_ref": "COMPUTE_RELAUNCH" + "map": {"at": 45704, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_GS_22", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 47240, "to": "mm"}, - "name": "COMPUTE_REQ_CTRL", - "type_ref": "COMPUTE_REQ_CTRL" + "map": {"at": 45708, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_GS_23", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 47188, "to": "mm"}, - "name": "COMPUTE_RESOURCE_LIMITS", - "type_ref": "COMPUTE_RESOURCE_LIMITS" + "map": {"at": 45712, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_GS_24", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 47212, "to": "mm"}, - "name": "COMPUTE_RESTART_X", - "type_ref": "COMPUTE_RESTART_X" + "map": {"at": 45716, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_GS_25", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 47216, "to": "mm"}, - "name": "COMPUTE_RESTART_Y", - "type_ref": "COMPUTE_RESTART_X" + "map": {"at": 45720, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_GS_26", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 47220, "to": "mm"}, - "name": "COMPUTE_RESTART_Z", - "type_ref": "COMPUTE_RESTART_X" + "map": {"at": 45724, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_GS_27", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 47272, "to": "mm"}, - "name": "COMPUTE_SHADER_CHKSUM", - "type_ref": "COMPUTE_SHADER_CHKSUM" + "map": {"at": 45728, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_GS_28", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 47120, "to": "mm"}, - "name": "COMPUTE_START_X", - "type_ref": "COMPUTE_START_X" + "map": {"at": 45732, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_GS_29", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 47124, "to": "mm"}, - "name": "COMPUTE_START_Y", - "type_ref": "COMPUTE_START_X" + "map": {"at": 45736, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_GS_30", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 47128, "to": "mm"}, - "name": "COMPUTE_START_Z", - "type_ref": "COMPUTE_START_X" + "map": {"at": 45740, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_GS_31", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 47236, "to": "mm"}, - "name": "COMPUTE_THREADGROUP_ID", - "type_ref": "COMPUTE_THREADGROUP_ID" + "map": {"at": 45760, "to": "mm"}, + "name": "SPI_SHADER_REQ_CTRL_ESGS", + "type_ref": "SPI_SHADER_REQ_CTRL_PS" }, { "chips": ["gfx10"], - "map": {"at": 47224, "to": "mm"}, - "name": "COMPUTE_THREAD_TRACE_ENABLE", - "type_ref": "COMPUTE_THREAD_TRACE_ENABLE" + "map": {"at": 45764, "to": "mm"}, + "name": "SPI_SHADER_PREF_PRI_CNTR_CTRL_ESGS", + "type_ref": "SPI_SHADER_PREF_PRI_CNTR_CTRL_PS" }, { "chips": ["gfx10"], - "map": {"at": 47200, "to": "mm"}, - "name": "COMPUTE_TMPRING_SIZE", - "type_ref": "COMPUTE_TMPRING_SIZE" + "map": {"at": 45768, "to": "mm"}, + "name": "SPI_SHADER_USER_ACCUM_ESGS_0", + "type_ref": "SPI_SHADER_USER_ACCUM_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 47360, "to": "mm"}, - "name": "COMPUTE_USER_DATA_0", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 45772, "to": "mm"}, + "name": "SPI_SHADER_USER_ACCUM_ESGS_1", + "type_ref": "SPI_SHADER_USER_ACCUM_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 47364, "to": "mm"}, - "name": "COMPUTE_USER_DATA_1", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 45776, "to": "mm"}, + "name": "SPI_SHADER_USER_ACCUM_ESGS_2", + "type_ref": "SPI_SHADER_USER_ACCUM_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 47400, "to": "mm"}, - "name": "COMPUTE_USER_DATA_10", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 45780, "to": "mm"}, + "name": "SPI_SHADER_USER_ACCUM_ESGS_3", + "type_ref": "SPI_SHADER_USER_ACCUM_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 47404, "to": "mm"}, - "name": "COMPUTE_USER_DATA_11", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 45808, "to": "mm"}, + "name": "SPI_SHADER_PGM_RSRC2_ES_GS", + "type_ref": "SPI_SHADER_PGM_RSRC2_ES_VS" }, { "chips": ["gfx10"], - "map": {"at": 47408, "to": "mm"}, - "name": "COMPUTE_USER_DATA_12", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 45852, "to": "mm"}, + "name": "SPI_SHADER_PGM_RSRC3_ES", + "type_ref": "SPI_SHADER_PGM_RSRC3_GS" }, { "chips": ["gfx10"], - "map": {"at": 47412, "to": "mm"}, - "name": "COMPUTE_USER_DATA_13", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 45856, "to": "mm"}, + "name": "SPI_SHADER_PGM_LO_ES", + "type_ref": "SPI_SHADER_PGM_LO_PS" }, { "chips": ["gfx10"], - "map": {"at": 47416, "to": "mm"}, - "name": "COMPUTE_USER_DATA_14", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 45860, "to": "mm"}, + "name": "SPI_SHADER_PGM_HI_ES", + "type_ref": "SPI_SHADER_PGM_HI_PS" }, { "chips": ["gfx10"], - "map": {"at": 47420, "to": "mm"}, - "name": "COMPUTE_USER_DATA_15", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 45864, "to": "mm"}, + "name": "SPI_SHADER_PGM_RSRC1_ES", + "type_ref": "SPI_SHADER_PGM_RSRC1_ES" }, { "chips": ["gfx10"], - "map": {"at": 47368, "to": "mm"}, - "name": "COMPUTE_USER_DATA_2", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 45868, "to": "mm"}, + "name": "SPI_SHADER_PGM_RSRC2_ES", + "type_ref": "SPI_SHADER_PGM_RSRC2_ES_VS" }, { "chips": ["gfx10"], - "map": {"at": 47372, "to": "mm"}, - "name": "COMPUTE_USER_DATA_3", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 45872, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_ES_0", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 47376, "to": "mm"}, - "name": "COMPUTE_USER_DATA_4", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 45876, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_ES_1", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 47380, "to": "mm"}, - "name": "COMPUTE_USER_DATA_5", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 45880, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_ES_2", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 47384, "to": "mm"}, - "name": "COMPUTE_USER_DATA_6", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 45884, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_ES_3", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 47388, "to": "mm"}, - "name": "COMPUTE_USER_DATA_7", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 45888, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_ES_4", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 47392, "to": "mm"}, - "name": "COMPUTE_USER_DATA_8", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 45892, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_ES_5", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 47396, "to": "mm"}, - "name": "COMPUTE_USER_DATA_9", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 45896, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_ES_6", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 47184, "to": "mm"}, - "name": "COMPUTE_VMID", - "type_ref": "COMPUTE_VMID" + "map": {"at": 45900, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_ES_7", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 47284, "to": "mm"}, - "name": "COMPUTE_WAVE_RESTORE_ADDR_HI", - "type_ref": "COMPUTE_WAVE_RESTORE_ADDR_HI" + "map": {"at": 45904, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_ES_8", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 47280, "to": "mm"}, - "name": "COMPUTE_WAVE_RESTORE_ADDR_LO", - "type_ref": "COMPUTE_WAVE_RESTORE_ADDR_LO" + "map": {"at": 45908, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_ES_9", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 213048, "to": "mm"}, - "name": "CPC_LATENCY_STATS_DATA", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 45912, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_ES_10", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 221240, "to": "mm"}, - "name": "CPC_LATENCY_STATS_SELECT", - "type_ref": "CPC_LATENCY_STATS_SELECT" + "map": {"at": 45916, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_ES_11", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 213020, "to": "mm"}, - "name": "CPC_PERFCOUNTER0_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 45920, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_ES_12", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 213016, "to": "mm"}, - "name": "CPC_PERFCOUNTER0_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 45924, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_ES_13", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 221220, "to": "mm"}, - "name": "CPC_PERFCOUNTER0_SELECT", - "type_ref": "CPC_PERFCOUNTER1_SELECT" + "map": {"at": 45928, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_ES_14", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 221200, "to": "mm"}, - "name": "CPC_PERFCOUNTER0_SELECT1", - "type_ref": "CPC_PERFCOUNTER0_SELECT1" + "map": {"at": 45932, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_ES_15", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 213012, "to": "mm"}, - "name": "CPC_PERFCOUNTER1_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 46068, "to": "mm"}, + "name": "SPI_SHADER_PGM_RSRC2_LS_ES", + "type_ref": "SPI_SHADER_PGM_RSRC2_LS_VS" }, { "chips": ["gfx10"], - "map": {"at": 213008, "to": "mm"}, - "name": "CPC_PERFCOUNTER1_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 46080, "to": "mm"}, + "name": "SPI_SHADER_PGM_CHKSUM_HS", + "type_ref": "SPI_SHADER_PGM_CHKSUM_PS" }, { "chips": ["gfx10"], - "map": {"at": 221196, "to": "mm"}, - "name": "CPC_PERFCOUNTER1_SELECT", - "type_ref": "CPC_PERFCOUNTER1_SELECT" - }, - { - "chips": ["gfx10"], - "map": {"at": 213040, "to": "mm"}, - "name": "CPF_LATENCY_STATS_DATA", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 46084, "to": "mm"}, + "name": "SPI_SHADER_PGM_RSRC4_HS", + "type_ref": "SPI_SHADER_PGM_RSRC4_PS" }, { "chips": ["gfx10"], - "map": {"at": 221232, "to": "mm"}, - "name": "CPF_LATENCY_STATS_SELECT", - "type_ref": "CPF_LATENCY_STATS_SELECT" + "map": {"at": 46088, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_ADDR_LO_HS", + "type_ref": "SPI_SHADER_PGM_LO_PS" }, { "chips": ["gfx10"], - "map": {"at": 213036, "to": "mm"}, - "name": "CPF_PERFCOUNTER0_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 46092, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_ADDR_HI_HS", + "type_ref": "SPI_SHADER_PGM_LO_PS" }, { "chips": ["gfx10"], - "map": {"at": 213032, "to": "mm"}, - "name": "CPF_PERFCOUNTER0_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 46096, "to": "mm"}, + "name": "SPI_SHADER_PGM_LO_LS_HS", + "type_ref": "SPI_SHADER_PGM_LO_PS" }, { "chips": ["gfx10"], - "map": {"at": 221212, "to": "mm"}, - "name": "CPF_PERFCOUNTER0_SELECT", - "type_ref": "CPF_PERFCOUNTER1_SELECT" + "map": {"at": 46100, "to": "mm"}, + "name": "SPI_SHADER_PGM_HI_LS_HS", + "type_ref": "SPI_SHADER_PGM_HI_PS" }, { "chips": ["gfx10"], - "map": {"at": 221208, "to": "mm"}, - "name": "CPF_PERFCOUNTER0_SELECT1", - "type_ref": "CPF_PERFCOUNTER0_SELECT1" + "map": {"at": 46108, "to": "mm"}, + "name": "SPI_SHADER_PGM_RSRC3_HS", + "type_ref": "SPI_SHADER_PGM_RSRC3_HS" }, { "chips": ["gfx10"], - "map": {"at": 213028, "to": "mm"}, - "name": "CPF_PERFCOUNTER1_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 46112, "to": "mm"}, + "name": "SPI_SHADER_PGM_LO_HS", + "type_ref": "SPI_SHADER_PGM_LO_PS" }, { "chips": ["gfx10"], - "map": {"at": 213024, "to": "mm"}, - "name": "CPF_PERFCOUNTER1_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 46116, "to": "mm"}, + "name": "SPI_SHADER_PGM_HI_HS", + "type_ref": "SPI_SHADER_PGM_HI_PS" }, { "chips": ["gfx10"], - "map": {"at": 221204, "to": "mm"}, - "name": "CPF_PERFCOUNTER1_SELECT", - "type_ref": "CPF_PERFCOUNTER1_SELECT" + "map": {"at": 46120, "to": "mm"}, + "name": "SPI_SHADER_PGM_RSRC1_HS", + "type_ref": "SPI_SHADER_PGM_RSRC1_HS" }, { "chips": ["gfx10"], - "map": {"at": 221224, "to": "mm"}, - "name": "CPF_TC_PERF_COUNTER_WINDOW_SELECT", - "type_ref": "CPF_TC_PERF_COUNTER_WINDOW_SELECT" + "map": {"at": 46124, "to": "mm"}, + "name": "SPI_SHADER_PGM_RSRC2_HS", + "type_ref": "SPI_SHADER_PGM_RSRC2_HS" }, { "chips": ["gfx10"], - "map": {"at": 213044, "to": "mm"}, - "name": "CPG_LATENCY_STATS_DATA", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 46128, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_HS_0", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 221236, "to": "mm"}, - "name": "CPG_LATENCY_STATS_SELECT", - "type_ref": "CPG_LATENCY_STATS_SELECT" + "map": {"at": 46132, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_HS_1", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 213004, "to": "mm"}, - "name": "CPG_PERFCOUNTER0_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 46136, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_HS_2", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 213000, "to": "mm"}, - "name": "CPG_PERFCOUNTER0_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 46140, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_HS_3", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 221192, "to": "mm"}, - "name": "CPG_PERFCOUNTER0_SELECT", - "type_ref": "CPG_PERFCOUNTER1_SELECT" + "map": {"at": 46144, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_HS_4", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 221188, "to": "mm"}, - "name": "CPG_PERFCOUNTER0_SELECT1", - "type_ref": "CPG_PERFCOUNTER0_SELECT1" + "map": {"at": 46148, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_HS_5", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 212996, "to": "mm"}, - "name": "CPG_PERFCOUNTER1_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 46152, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_HS_6", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 212992, "to": "mm"}, - "name": "CPG_PERFCOUNTER1_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 46156, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_HS_7", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 221184, "to": "mm"}, - "name": "CPG_PERFCOUNTER1_SELECT", - "type_ref": "CPG_PERFCOUNTER1_SELECT" + "map": {"at": 46160, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_HS_8", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 221228, "to": "mm"}, - "name": "CPG_TC_PERF_COUNTER_WINDOW_SELECT", - "type_ref": "CPG_TC_PERF_COUNTER_WINDOW_SELECT" + "map": {"at": 46164, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_HS_9", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 196964, "to": "mm"}, - "name": "CP_APPEND_ADDR_HI", - "type_ref": "CP_APPEND_ADDR_HI" + "map": {"at": 46168, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_HS_10", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 196960, "to": "mm"}, - "name": "CP_APPEND_ADDR_LO", - "type_ref": "CP_APPEND_ADDR_LO" + "map": {"at": 46172, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_HS_11", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 197252, "to": "mm"}, - "name": "CP_APPEND_CMD_ADDR_HI", - "type_ref": "CP_GFX_HPD_OSPRE_FENCE_ADDR_HI" + "map": {"at": 46176, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_HS_12", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 197248, "to": "mm"}, - "name": "CP_APPEND_CMD_ADDR_LO", - "type_ref": "CP_DMA_ME_CMD_ADDR_LO" + "map": {"at": 46180, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_HS_13", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 196912, "to": "mm"}, - "name": "CP_APPEND_DATA_HI", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 46184, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_HS_14", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 196908, "to": "mm"}, - "name": "CP_APPEND_DDID_CNT", - "type_ref": "COMPUTE_PGM_HI" + "map": {"at": 46188, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_HS_15", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 196916, "to": "mm"}, - "name": "CP_APPEND_LAST_CS_FENCE_HI", - "type_ref": "CP_APPEND_LAST_CS_FENCE_HI" + "map": {"at": 46192, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_HS_16", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 196920, "to": "mm"}, - "name": "CP_APPEND_LAST_PS_FENCE_HI", - "type_ref": "CP_APPEND_LAST_CS_FENCE_HI" + "map": {"at": 46196, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_HS_17", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 197556, "to": "mm"}, - "name": "CP_CE_COMPLETION_STATUS", - "type_ref": "CP_PFP_COMPLETION_STATUS" + "map": {"at": 46200, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_HS_18", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 197224, "to": "mm"}, - "name": "CP_CE_COUNTER", - "type_ref": "CP_DE_CE_COUNT" + "map": {"at": 46204, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_HS_19", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 197492, "to": "mm"}, - "name": "CP_CE_DB_BASE_HI", - "type_ref": "CP_DB_BASE_HI" + "map": {"at": 46208, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_HS_20", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 197488, "to": "mm"}, - "name": "CP_CE_DB_BASE_LO", - "type_ref": "CP_DB_BASE_LO" + "map": {"at": 46212, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_HS_21", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 197496, "to": "mm"}, - "name": "CP_CE_DB_BUFSZ", - "type_ref": "CP_DB_BUFSZ" + "map": {"at": 46216, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_HS_22", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 197500, "to": "mm"}, - "name": "CP_CE_DB_CMD_BUFSZ", - "type_ref": "CP_DB_CMD_BUFSZ" + "map": {"at": 46220, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_HS_23", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 197404, "to": "mm"}, - "name": "CP_CE_IB1_BASE_HI", - "type_ref": "CP_CE_IB1_BASE_HI" + "map": {"at": 46224, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_HS_24", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 197400, "to": "mm"}, - "name": "CP_CE_IB1_BASE_LO", - "type_ref": "CP_CE_IB1_BASE_LO" + "map": {"at": 46228, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_HS_25", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 197408, "to": "mm"}, - "name": "CP_CE_IB1_BUFSZ", - "type_ref": "CP_CE_IB1_BUFSZ" + "map": {"at": 46232, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_HS_26", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 197368, "to": "mm"}, - "name": "CP_CE_IB1_CMD_BUFSZ", - "type_ref": "CP_CE_IB1_CMD_BUFSZ" + "map": {"at": 46236, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_HS_27", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 197216, "to": "mm"}, - "name": "CP_CE_IB1_OFFSET", - "type_ref": "CP_IB1_OFFSET" + "map": {"at": 46240, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_HS_28", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 197416, "to": "mm"}, - "name": "CP_CE_IB2_BASE_HI", - "type_ref": "CP_CE_IB2_BASE_HI" + "map": {"at": 46244, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_HS_29", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 197412, "to": "mm"}, - "name": "CP_CE_IB2_BASE_LO", - "type_ref": "CP_CE_IB2_BASE_LO" + "map": {"at": 46248, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_HS_30", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 197420, "to": "mm"}, - "name": "CP_CE_IB2_BUFSZ", - "type_ref": "CP_CE_IB2_BUFSZ" + "map": {"at": 46252, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_HS_31", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 197372, "to": "mm"}, - "name": "CP_CE_IB2_CMD_BUFSZ", - "type_ref": "CP_CE_IB2_CMD_BUFSZ" + "map": {"at": 46272, "to": "mm"}, + "name": "SPI_SHADER_REQ_CTRL_LSHS", + "type_ref": "SPI_SHADER_REQ_CTRL_PS" }, { "chips": ["gfx10"], - "map": {"at": 197220, "to": "mm"}, - "name": "CP_CE_IB2_OFFSET", - "type_ref": "CP_IB2_OFFSET" + "map": {"at": 46276, "to": "mm"}, + "name": "SPI_SHADER_PREF_PRI_CNTR_CTRL_LSHS", + "type_ref": "SPI_SHADER_PREF_PRI_CNTR_CTRL_PS" }, { "chips": ["gfx10"], - "map": {"at": 197392, "to": "mm"}, - "name": "CP_CE_INIT_BASE_HI", - "type_ref": "CP_CE_INIT_BASE_HI" + "map": {"at": 46280, "to": "mm"}, + "name": "SPI_SHADER_USER_ACCUM_LSHS_0", + "type_ref": "SPI_SHADER_USER_ACCUM_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 197388, "to": "mm"}, - "name": "CP_CE_INIT_BASE_LO", - "type_ref": "CP_CE_INIT_BASE_LO" + "map": {"at": 46284, "to": "mm"}, + "name": "SPI_SHADER_USER_ACCUM_LSHS_1", + "type_ref": "SPI_SHADER_USER_ACCUM_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 197396, "to": "mm"}, - "name": "CP_CE_INIT_BUFSZ", - "type_ref": "CP_CE_INIT_BUFSZ" + "map": {"at": 46288, "to": "mm"}, + "name": "SPI_SHADER_USER_ACCUM_LSHS_2", + "type_ref": "SPI_SHADER_USER_ACCUM_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 197364, "to": "mm"}, - "name": "CP_CE_INIT_CMD_BUFSZ", - "type_ref": "CP_CE_INIT_CMD_BUFSZ" + "map": {"at": 46292, "to": "mm"}, + "name": "SPI_SHADER_USER_ACCUM_LSHS_3", + "type_ref": "SPI_SHADER_USER_ACCUM_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 197576, "to": "mm"}, - "name": "CP_CE_METADATA_BASE_ADDR", - "type_ref": "CP_MES_MTVEC_HI" + "map": {"at": 46324, "to": "mm"}, + "name": "SPI_SHADER_PGM_RSRC2_LS_HS", + "type_ref": "SPI_SHADER_PGM_RSRC2_LS_VS" }, { "chips": ["gfx10"], - "map": {"at": 197580, "to": "mm"}, - "name": "CP_CE_METADATA_BASE_ADDR_HI", - "type_ref": "CPC_SUSPEND_CTX_SAVE_BASE_ADDR_HI" + "map": {"at": 46364, "to": "mm"}, + "name": "SPI_SHADER_PGM_RSRC3_LS", + "type_ref": "SPI_SHADER_PGM_RSRC3_GS" }, { "chips": ["gfx10"], - "map": {"at": 197112, "to": "mm"}, - "name": "CP_COHER_BASE", - "type_ref": "CP_COHER_BASE" + "map": {"at": 46368, "to": "mm"}, + "name": "SPI_SHADER_PGM_LO_LS", + "type_ref": "SPI_SHADER_PGM_LO_PS" }, { "chips": ["gfx10"], - "map": {"at": 197092, "to": "mm"}, - "name": "CP_COHER_BASE_HI", - "type_ref": "CP_COHER_BASE_HI" + "map": {"at": 46372, "to": "mm"}, + "name": "SPI_SHADER_PGM_HI_LS", + "type_ref": "SPI_SHADER_PGM_HI_PS" }, { "chips": ["gfx10"], - "map": {"at": 197104, "to": "mm"}, - "name": "CP_COHER_CNTL", - "type_ref": "CP_COHER_CNTL" + "map": {"at": 46376, "to": "mm"}, + "name": "SPI_SHADER_PGM_RSRC1_LS", + "type_ref": "SPI_SHADER_PGM_RSRC1_LS" }, { "chips": ["gfx10"], - "map": {"at": 197108, "to": "mm"}, - "name": "CP_COHER_SIZE", - "type_ref": "CP_COHER_SIZE" + "map": {"at": 46380, "to": "mm"}, + "name": "SPI_SHADER_PGM_RSRC2_LS", + "type_ref": "SPI_SHADER_PGM_RSRC2_LS_VS" }, { "chips": ["gfx10"], - "map": {"at": 197168, "to": "mm"}, - "name": "CP_COHER_SIZE_HI", - "type_ref": "CP_COHER_SIZE_HI" + "map": {"at": 46384, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_LS_0", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 197100, "to": "mm"}, - "name": "CP_COHER_START_DELAY", - "type_ref": "CP_COHER_START_DELAY" + "map": {"at": 46388, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_LS_1", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 197116, "to": "mm"}, - "name": "CP_COHER_STATUS", - "type_ref": "CP_COHER_STATUS" + "map": {"at": 46392, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_LS_2", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 197476, "to": "mm"}, - "name": "CP_DB_BASE_HI", - "type_ref": "CP_DB_BASE_HI" + "map": {"at": 46396, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_LS_3", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 197472, "to": "mm"}, - "name": "CP_DB_BASE_LO", - "type_ref": "CP_DB_BASE_LO" + "map": {"at": 46400, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_LS_4", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 197480, "to": "mm"}, - "name": "CP_DB_BUFSZ", - "type_ref": "CP_DB_BUFSZ" + "map": {"at": 46404, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_LS_5", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 197484, "to": "mm"}, - "name": "CP_DB_CMD_BUFSZ", - "type_ref": "CP_DB_CMD_BUFSZ" + "map": {"at": 46408, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_LS_6", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 197592, "to": "mm"}, - "name": "CP_DISPATCH_INDR_ADDR", - "type_ref": "CP_MES_MTVEC_HI" + "map": {"at": 46412, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_LS_7", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 197596, "to": "mm"}, - "name": "CP_DISPATCH_INDR_ADDR_HI", - "type_ref": "CPC_SUSPEND_CTX_SAVE_BASE_ADDR_HI" + "map": {"at": 46416, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_LS_8", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 197160, "to": "mm"}, - "name": "CP_DMA_CNTL", - "type_ref": "CP_DMA_CNTL" + "map": {"at": 46420, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_LS_9", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 197236, "to": "mm"}, - "name": "CP_DMA_ME_CMD_ADDR_HI", - "type_ref": "CP_GFX_HPD_OSPRE_FENCE_ADDR_HI" + "map": {"at": 46424, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_LS_10", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 197232, "to": "mm"}, - "name": "CP_DMA_ME_CMD_ADDR_LO", - "type_ref": "CP_DMA_ME_CMD_ADDR_LO" + "map": {"at": 46428, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_LS_11", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 197244, "to": "mm"}, - "name": "CP_DMA_PFP_CMD_ADDR_HI", - "type_ref": "CP_GFX_HPD_OSPRE_FENCE_ADDR_HI" + "map": {"at": 46432, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_LS_12", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 197240, "to": "mm"}, - "name": "CP_DMA_PFP_CMD_ADDR_LO", - "type_ref": "CP_DMA_ME_CMD_ADDR_LO" + "map": {"at": 46436, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_LS_13", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 197164, "to": "mm"}, - "name": "CP_DMA_READ_TAGS", - "type_ref": "CP_DMA_READ_TAGS" + "map": {"at": 46440, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_LS_14", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 197584, "to": "mm"}, - "name": "CP_DRAW_INDX_INDR_ADDR", - "type_ref": "CP_MES_MTVEC_HI" + "map": {"at": 46444, "to": "mm"}, + "name": "SPI_SHADER_USER_DATA_LS_15", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 197588, "to": "mm"}, - "name": "CP_DRAW_INDX_INDR_ADDR_HI", - "type_ref": "CPC_SUSPEND_CTX_SAVE_BASE_ADDR_HI" + "map": {"at": 47104, "to": "mm"}, + "name": "COMPUTE_DISPATCH_INITIATOR", + "type_ref": "COMPUTE_DISPATCH_INITIATOR" }, { "chips": ["gfx10"], - "map": {"at": 221248, "to": "mm"}, - "name": "CP_DRAW_OBJECT", - "type_ref": "CP_DRAW_OBJECT" + "map": {"at": 47108, "to": "mm"}, + "name": "COMPUTE_DIM_X", + "type_ref": "COMPUTE_DIM_X" }, { "chips": ["gfx10"], - "map": {"at": 221252, "to": "mm"}, - "name": "CP_DRAW_OBJECT_COUNTER", - "type_ref": "CP_GFX_DDID_INFLIGHT_COUNT" + "map": {"at": 47112, "to": "mm"}, + "name": "COMPUTE_DIM_Y", + "type_ref": "COMPUTE_DIM_X" }, { "chips": ["gfx10"], - "map": {"at": 221268, "to": "mm"}, - "name": "CP_DRAW_WINDOW_CNTL", - "type_ref": "CP_DRAW_WINDOW_CNTL" + "map": {"at": 47116, "to": "mm"}, + "name": "COMPUTE_DIM_Z", + "type_ref": "COMPUTE_DIM_X" }, { "chips": ["gfx10"], - "map": {"at": 221260, "to": "mm"}, - "name": "CP_DRAW_WINDOW_HI", - "type_ref": "CP_DRAW_WINDOW_HI" + "map": {"at": 47120, "to": "mm"}, + "name": "COMPUTE_START_X", + "type_ref": "COMPUTE_START_X" }, { "chips": ["gfx10"], - "map": {"at": 221264, "to": "mm"}, - "name": "CP_DRAW_WINDOW_LO", - "type_ref": "CP_DRAW_WINDOW_LO" + "map": {"at": 47124, "to": "mm"}, + "name": "COMPUTE_START_Y", + "type_ref": "COMPUTE_START_X" }, { "chips": ["gfx10"], - "map": {"at": 221256, "to": "mm"}, - "name": "CP_DRAW_WINDOW_MASK_HI", - "type_ref": "CP_DRAW_WINDOW_MASK_HI" + "map": {"at": 47128, "to": "mm"}, + "name": "COMPUTE_START_Z", + "type_ref": "COMPUTE_START_X" }, { "chips": ["gfx10"], - "map": {"at": 196612, "to": "mm"}, - "name": "CP_EOP_DONE_ADDR_HI", - "type_ref": "CPC_SUSPEND_CTX_SAVE_BASE_ADDR_HI" + "map": {"at": 47132, "to": "mm"}, + "name": "COMPUTE_NUM_THREAD_X", + "type_ref": "COMPUTE_NUM_THREAD_X" }, { "chips": ["gfx10"], - "map": {"at": 196608, "to": "mm"}, - "name": "CP_EOP_DONE_ADDR_LO", - "type_ref": "CP_GFX_HPD_OSPRE_FENCE_ADDR_LO" + "map": {"at": 47136, "to": "mm"}, + "name": "COMPUTE_NUM_THREAD_Y", + "type_ref": "COMPUTE_NUM_THREAD_X" }, { "chips": ["gfx10"], - "map": {"at": 197468, "to": "mm"}, - "name": "CP_EOP_DONE_CNTX_ID", - "type_ref": "CPC_INT_CNTX_ID" + "map": {"at": 47140, "to": "mm"}, + "name": "COMPUTE_NUM_THREAD_Z", + "type_ref": "COMPUTE_NUM_THREAD_X" }, { "chips": ["gfx10"], - "map": {"at": 197464, "to": "mm"}, - "name": "CP_EOP_DONE_DATA_CNTL", - "type_ref": "CP_EOP_DONE_DATA_CNTL" + "map": {"at": 47144, "to": "mm"}, + "name": "COMPUTE_PIPELINESTAT_ENABLE", + "type_ref": "COMPUTE_PIPELINESTAT_ENABLE" }, { "chips": ["gfx10"], - "map": {"at": 196620, "to": "mm"}, - "name": "CP_EOP_DONE_DATA_HI", - "type_ref": "CP_GFX_HPD_OSPRE_FENCE_DATA_HI" + "map": {"at": 47148, "to": "mm"}, + "name": "COMPUTE_PERFCOUNT_ENABLE", + "type_ref": "COMPUTE_PERFCOUNT_ENABLE" }, { "chips": ["gfx10"], - "map": {"at": 196616, "to": "mm"}, - "name": "CP_EOP_DONE_DATA_LO", - "type_ref": "CP_GFX_HPD_OSPRE_FENCE_DATA_LO" + "map": {"at": 47152, "to": "mm"}, + "name": "COMPUTE_PGM_LO", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 196808, "to": "mm"}, - "name": "CP_EOP_DONE_DOORBELL", - "type_ref": "CP_EOP_DONE_DOORBELL" + "map": {"at": 47156, "to": "mm"}, + "name": "COMPUTE_PGM_HI", + "type_ref": "COMPUTE_PGM_HI" }, { "chips": ["gfx10"], - "map": {"at": 197460, "to": "mm"}, - "name": "CP_EOP_DONE_EVENT_CNTL", - "type_ref": "CP_EOP_DONE_EVENT_CNTL" + "map": {"at": 47160, "to": "mm"}, + "name": "COMPUTE_DISPATCH_PKT_ADDR_LO", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 196628, "to": "mm"}, - "name": "CP_EOP_LAST_FENCE_HI", - "type_ref": "CP_EOP_LAST_FENCE_HI" + "map": {"at": 47164, "to": "mm"}, + "name": "COMPUTE_DISPATCH_PKT_ADDR_HI", + "type_ref": "COMPUTE_PGM_HI" }, { "chips": ["gfx10"], - "map": {"at": 196624, "to": "mm"}, - "name": "CP_EOP_LAST_FENCE_LO", - "type_ref": "CP_EOP_LAST_FENCE_LO" + "map": {"at": 47168, "to": "mm"}, + "name": "COMPUTE_DISPATCH_SCRATCH_BASE_LO", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 197612, "to": "mm"}, - "name": "CP_GDS_BKUP_ADDR", - "type_ref": "CP_MES_MTVEC_HI" + "map": {"at": 47172, "to": "mm"}, + "name": "COMPUTE_DISPATCH_SCRATCH_BASE_HI", + "type_ref": "COMPUTE_PGM_HI" }, { "chips": ["gfx10"], - "map": {"at": 197616, "to": "mm"}, - "name": "CP_GDS_BKUP_ADDR_HI", - "type_ref": "CPC_SUSPEND_CTX_SAVE_BASE_ADDR_HI" + "map": {"at": 47176, "to": "mm"}, + "name": "COMPUTE_PGM_RSRC1", + "type_ref": "COMPUTE_PGM_RSRC1" }, { "chips": ["gfx10"], - "map": {"at": 197428, "to": "mm"}, - "name": "CP_IB1_BASE_HI", - "type_ref": "CP_CE_IB1_BASE_HI" + "map": {"at": 47180, "to": "mm"}, + "name": "COMPUTE_PGM_RSRC2", + "type_ref": "COMPUTE_PGM_RSRC2" }, { "chips": ["gfx10"], - "map": {"at": 197424, "to": "mm"}, - "name": "CP_IB1_BASE_LO", - "type_ref": "CP_CE_IB1_BASE_LO" + "map": {"at": 47184, "to": "mm"}, + "name": "COMPUTE_VMID", + "type_ref": "COMPUTE_VMID" }, { "chips": ["gfx10"], - "map": {"at": 197432, "to": "mm"}, - "name": "CP_IB1_BUFSZ", - "type_ref": "CP_CE_IB1_BUFSZ" + "map": {"at": 47188, "to": "mm"}, + "name": "COMPUTE_RESOURCE_LIMITS", + "type_ref": "COMPUTE_RESOURCE_LIMITS" }, { "chips": ["gfx10"], - "map": {"at": 197376, "to": "mm"}, - "name": "CP_IB1_CMD_BUFSZ", - "type_ref": "CP_CE_IB1_CMD_BUFSZ" + "map": {"at": 47192, "to": "mm"}, + "name": "COMPUTE_DESTINATION_EN_SE0", + "type_ref": "COMPUTE_DESTINATION_EN_SE0" }, { "chips": ["gfx10"], - "map": {"at": 197192, "to": "mm"}, - "name": "CP_IB1_OFFSET", - "type_ref": "CP_IB1_OFFSET" + "map": {"at": 47196, "to": "mm"}, + "name": "COMPUTE_DESTINATION_EN_SE1", + "type_ref": "COMPUTE_DESTINATION_EN_SE0" }, { "chips": ["gfx10"], - "map": {"at": 197200, "to": "mm"}, - "name": "CP_IB1_PREAMBLE_BEGIN", - "type_ref": "CP_IB1_PREAMBLE_BEGIN" + "map": {"at": 47200, "to": "mm"}, + "name": "COMPUTE_TMPRING_SIZE", + "type_ref": "COMPUTE_TMPRING_SIZE" }, { "chips": ["gfx10"], - "map": {"at": 197204, "to": "mm"}, - "name": "CP_IB1_PREAMBLE_END", - "type_ref": "CP_IB1_PREAMBLE_END" + "map": {"at": 47204, "to": "mm"}, + "name": "COMPUTE_DESTINATION_EN_SE2", + "type_ref": "COMPUTE_DESTINATION_EN_SE0" }, { "chips": ["gfx10"], - "map": {"at": 197440, "to": "mm"}, - "name": "CP_IB2_BASE_HI", - "type_ref": "CP_CE_IB2_BASE_HI" + "map": {"at": 47208, "to": "mm"}, + "name": "COMPUTE_DESTINATION_EN_SE3", + "type_ref": "COMPUTE_DESTINATION_EN_SE0" }, { "chips": ["gfx10"], - "map": {"at": 197436, "to": "mm"}, - "name": "CP_IB2_BASE_LO", - "type_ref": "CP_CE_IB2_BASE_LO" + "map": {"at": 47212, "to": "mm"}, + "name": "COMPUTE_RESTART_X", + "type_ref": "COMPUTE_RESTART_X" }, { "chips": ["gfx10"], - "map": {"at": 197444, "to": "mm"}, - "name": "CP_IB2_BUFSZ", - "type_ref": "CP_CE_IB2_BUFSZ" + "map": {"at": 47216, "to": "mm"}, + "name": "COMPUTE_RESTART_Y", + "type_ref": "COMPUTE_RESTART_X" }, { "chips": ["gfx10"], - "map": {"at": 197380, "to": "mm"}, - "name": "CP_IB2_CMD_BUFSZ", - "type_ref": "CP_CE_IB2_CMD_BUFSZ" + "map": {"at": 47220, "to": "mm"}, + "name": "COMPUTE_RESTART_Z", + "type_ref": "COMPUTE_RESTART_X" }, { "chips": ["gfx10"], - "map": {"at": 197196, "to": "mm"}, - "name": "CP_IB2_OFFSET", - "type_ref": "CP_IB2_OFFSET" + "map": {"at": 47224, "to": "mm"}, + "name": "COMPUTE_THREAD_TRACE_ENABLE", + "type_ref": "COMPUTE_THREAD_TRACE_ENABLE" }, { "chips": ["gfx10"], - "map": {"at": 197208, "to": "mm"}, - "name": "CP_IB2_PREAMBLE_BEGIN", - "type_ref": "CP_IB2_PREAMBLE_BEGIN" - }, - { - "chips": ["gfx10"], - "map": {"at": 197212, "to": "mm"}, - "name": "CP_IB2_PREAMBLE_END", - "type_ref": "CP_IB2_PREAMBLE_END" + "map": {"at": 47228, "to": "mm"}, + "name": "COMPUTE_MISC_RESERVED", + "type_ref": "COMPUTE_MISC_RESERVED" }, { "chips": ["gfx10"], - "map": {"at": 197600, "to": "mm"}, - "name": "CP_INDEX_BASE_ADDR", - "type_ref": "CP_MES_MTVEC_HI" + "map": {"at": 47232, "to": "mm"}, + "name": "COMPUTE_DISPATCH_ID", + "type_ref": "COMPUTE_DISPATCH_ID" }, { "chips": ["gfx10"], - "map": {"at": 197604, "to": "mm"}, - "name": "CP_INDEX_BASE_ADDR_HI", - "type_ref": "CPC_SUSPEND_CTX_SAVE_BASE_ADDR_HI" + "map": {"at": 47236, "to": "mm"}, + "name": "COMPUTE_THREADGROUP_ID", + "type_ref": "COMPUTE_THREADGROUP_ID" }, { "chips": ["gfx10"], - "map": {"at": 197608, "to": "mm"}, - "name": "CP_INDEX_TYPE", - "type_ref": "CP_INDEX_TYPE" + "map": {"at": 47240, "to": "mm"}, + "name": "COMPUTE_REQ_CTRL", + "type_ref": "COMPUTE_REQ_CTRL" }, { "chips": ["gfx10"], - "map": {"at": 197636, "to": "mm"}, - "name": "CP_ME_COHER_BASE", - "type_ref": "CP_COHER_BASE" + "map": {"at": 47248, "to": "mm"}, + "name": "COMPUTE_USER_ACCUM_0", + "type_ref": "SPI_SHADER_USER_ACCUM_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 197640, "to": "mm"}, - "name": "CP_ME_COHER_BASE_HI", - "type_ref": "CP_COHER_BASE_HI" + "map": {"at": 47252, "to": "mm"}, + "name": "COMPUTE_USER_ACCUM_1", + "type_ref": "SPI_SHADER_USER_ACCUM_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 197624, "to": "mm"}, - "name": "CP_ME_COHER_CNTL", - "type_ref": "CP_ME_COHER_CNTL" + "map": {"at": 47256, "to": "mm"}, + "name": "COMPUTE_USER_ACCUM_2", + "type_ref": "SPI_SHADER_USER_ACCUM_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 197628, "to": "mm"}, - "name": "CP_ME_COHER_SIZE", - "type_ref": "CP_COHER_SIZE" + "map": {"at": 47260, "to": "mm"}, + "name": "COMPUTE_USER_ACCUM_3", + "type_ref": "SPI_SHADER_USER_ACCUM_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 197632, "to": "mm"}, - "name": "CP_ME_COHER_SIZE_HI", - "type_ref": "CP_COHER_SIZE_HI" + "map": {"at": 47264, "to": "mm"}, + "name": "COMPUTE_PGM_RSRC3", + "type_ref": "COMPUTE_PGM_RSRC3" }, { "chips": ["gfx10"], - "map": {"at": 197644, "to": "mm"}, - "name": "CP_ME_COHER_STATUS", - "type_ref": "CP_ME_COHER_STATUS" + "map": {"at": 47268, "to": "mm"}, + "name": "COMPUTE_DDID_INDEX", + "type_ref": "COMPUTE_DDID_INDEX" }, { "chips": ["gfx10"], - "map": {"at": 197048, "to": "mm"}, - "name": "CP_ME_MC_RADDR_HI", - "type_ref": "CP_ME_MC_RADDR_HI" + "map": {"at": 47272, "to": "mm"}, + "name": "COMPUTE_SHADER_CHKSUM", + "type_ref": "SPI_SHADER_PGM_CHKSUM_PS" }, { "chips": ["gfx10"], - "map": {"at": 197044, "to": "mm"}, - "name": "CP_ME_MC_RADDR_LO", - "type_ref": "CP_ME_MC_RADDR_LO" + "map": {"at": 47276, "to": "mm"}, + "name": "COMPUTE_RELAUNCH", + "type_ref": "COMPUTE_RELAUNCH" }, { "chips": ["gfx10"], - "map": {"at": 197032, "to": "mm"}, - "name": "CP_ME_MC_WADDR_HI", - "type_ref": "CP_ME_MC_WADDR_HI" + "map": {"at": 47280, "to": "mm"}, + "name": "COMPUTE_WAVE_RESTORE_ADDR_LO", + "type_ref": "COMPUTE_WAVE_RESTORE_ADDR_LO" }, { "chips": ["gfx10"], - "map": {"at": 197028, "to": "mm"}, - "name": "CP_ME_MC_WADDR_LO", - "type_ref": "CP_ME_MC_WADDR_LO" + "map": {"at": 47284, "to": "mm"}, + "name": "COMPUTE_WAVE_RESTORE_ADDR_HI", + "type_ref": "COMPUTE_WAVE_RESTORE_ADDR_HI" }, { "chips": ["gfx10"], - "map": {"at": 197040, "to": "mm"}, - "name": "CP_ME_MC_WDATA_HI", - "type_ref": "CP_ME_MC_WDATA_HI" + "map": {"at": 47288, "to": "mm"}, + "name": "COMPUTE_RELAUNCH2", + "type_ref": "COMPUTE_RELAUNCH" }, { "chips": ["gfx10"], - "map": {"at": 197036, "to": "mm"}, - "name": "CP_ME_MC_WDATA_LO", - "type_ref": "CP_ME_MC_WDATA_LO" + "map": {"at": 47360, "to": "mm"}, + "name": "COMPUTE_USER_DATA_0", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 196652, "to": "mm"}, - "name": "CP_NUM_PRIM_NEEDED_COUNT0_HI", - "type_ref": "CP_NUM_PRIM_NEEDED_COUNT0_HI" + "map": {"at": 47364, "to": "mm"}, + "name": "COMPUTE_USER_DATA_1", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 196648, "to": "mm"}, - "name": "CP_NUM_PRIM_NEEDED_COUNT0_LO", - "type_ref": "CP_NUM_PRIM_NEEDED_COUNT0_LO" + "map": {"at": 47368, "to": "mm"}, + "name": "COMPUTE_USER_DATA_2", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 196668, "to": "mm"}, - "name": "CP_NUM_PRIM_NEEDED_COUNT1_HI", - "type_ref": "CP_NUM_PRIM_NEEDED_COUNT1_HI" + "map": {"at": 47372, "to": "mm"}, + "name": "COMPUTE_USER_DATA_3", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 196664, "to": "mm"}, - "name": "CP_NUM_PRIM_NEEDED_COUNT1_LO", - "type_ref": "CP_NUM_PRIM_NEEDED_COUNT1_LO" + "map": {"at": 47376, "to": "mm"}, + "name": "COMPUTE_USER_DATA_4", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 196684, "to": "mm"}, - "name": "CP_NUM_PRIM_NEEDED_COUNT2_HI", - "type_ref": "CP_NUM_PRIM_NEEDED_COUNT2_HI" + "map": {"at": 47380, "to": "mm"}, + "name": "COMPUTE_USER_DATA_5", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 196680, "to": "mm"}, - "name": "CP_NUM_PRIM_NEEDED_COUNT2_LO", - "type_ref": "CP_NUM_PRIM_NEEDED_COUNT2_LO" + "map": {"at": 47384, "to": "mm"}, + "name": "COMPUTE_USER_DATA_6", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 196700, "to": "mm"}, - "name": "CP_NUM_PRIM_NEEDED_COUNT3_HI", - "type_ref": "CP_NUM_PRIM_NEEDED_COUNT3_HI" + "map": {"at": 47388, "to": "mm"}, + "name": "COMPUTE_USER_DATA_7", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 196696, "to": "mm"}, - "name": "CP_NUM_PRIM_NEEDED_COUNT3_LO", - "type_ref": "CP_NUM_PRIM_NEEDED_COUNT3_LO" + "map": {"at": 47392, "to": "mm"}, + "name": "COMPUTE_USER_DATA_8", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 196644, "to": "mm"}, - "name": "CP_NUM_PRIM_WRITTEN_COUNT0_HI", - "type_ref": "CP_NUM_PRIM_WRITTEN_COUNT0_HI" + "map": {"at": 47396, "to": "mm"}, + "name": "COMPUTE_USER_DATA_9", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 196640, "to": "mm"}, - "name": "CP_NUM_PRIM_WRITTEN_COUNT0_LO", - "type_ref": "CP_NUM_PRIM_WRITTEN_COUNT0_LO" + "map": {"at": 47400, "to": "mm"}, + "name": "COMPUTE_USER_DATA_10", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 196660, "to": "mm"}, - "name": "CP_NUM_PRIM_WRITTEN_COUNT1_HI", - "type_ref": "CP_NUM_PRIM_WRITTEN_COUNT1_HI" + "map": {"at": 47404, "to": "mm"}, + "name": "COMPUTE_USER_DATA_11", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 196656, "to": "mm"}, - "name": "CP_NUM_PRIM_WRITTEN_COUNT1_LO", - "type_ref": "CP_NUM_PRIM_WRITTEN_COUNT1_LO" + "map": {"at": 47408, "to": "mm"}, + "name": "COMPUTE_USER_DATA_12", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 196676, "to": "mm"}, - "name": "CP_NUM_PRIM_WRITTEN_COUNT2_HI", - "type_ref": "CP_NUM_PRIM_WRITTEN_COUNT2_HI" + "map": {"at": 47412, "to": "mm"}, + "name": "COMPUTE_USER_DATA_13", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 196672, "to": "mm"}, - "name": "CP_NUM_PRIM_WRITTEN_COUNT2_LO", - "type_ref": "CP_NUM_PRIM_WRITTEN_COUNT2_LO" + "map": {"at": 47416, "to": "mm"}, + "name": "COMPUTE_USER_DATA_14", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 196692, "to": "mm"}, - "name": "CP_NUM_PRIM_WRITTEN_COUNT3_HI", - "type_ref": "CP_NUM_PRIM_WRITTEN_COUNT3_HI" + "map": {"at": 47420, "to": "mm"}, + "name": "COMPUTE_USER_DATA_15", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 196688, "to": "mm"}, - "name": "CP_NUM_PRIM_WRITTEN_COUNT3_LO", - "type_ref": "CP_NUM_PRIM_WRITTEN_COUNT3_LO" + "map": {"at": 47604, "to": "mm"}, + "name": "COMPUTE_DISPATCH_TUNNEL", + "type_ref": "COMPUTE_DISPATCH_TUNNEL" }, { "chips": ["gfx10"], - "map": {"at": 196772, "to": "mm"}, - "name": "CP_PA_CINVOC_COUNT_HI", - "type_ref": "CP_PA_CINVOC_COUNT_HI" + "map": {"at": 47608, "to": "mm"}, + "name": "COMPUTE_DISPATCH_END", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 196768, "to": "mm"}, - "name": "CP_PA_CINVOC_COUNT_LO", - "type_ref": "CP_PA_CINVOC_COUNT_LO" + "map": {"at": 47612, "to": "mm"}, + "name": "COMPUTE_NOWHERE", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 196780, "to": "mm"}, - "name": "CP_PA_CPRIM_COUNT_HI", - "type_ref": "CP_PA_CPRIM_COUNT_HI" + "map": {"at": 163840, "to": "mm"}, + "name": "DB_RENDER_CONTROL", + "type_ref": "DB_RENDER_CONTROL" }, { "chips": ["gfx10"], - "map": {"at": 196776, "to": "mm"}, - "name": "CP_PA_CPRIM_COUNT_LO", - "type_ref": "CP_PA_CPRIM_COUNT_LO" + "map": {"at": 163844, "to": "mm"}, + "name": "DB_COUNT_CONTROL", + "type_ref": "DB_COUNT_CONTROL" }, { "chips": ["gfx10"], - "map": {"at": 221216, "to": "mm"}, - "name": "CP_PERFMON_CNTL", - "type_ref": "CP_PERFMON_CNTL" + "map": {"at": 163848, "to": "mm"}, + "name": "DB_DEPTH_VIEW", + "type_ref": "DB_DEPTH_VIEW" }, { "chips": ["gfx10"], - "map": {"at": 164704, "to": "mm"}, - "name": "CP_PERFMON_CNTX_CNTL", - "type_ref": "CP_PERFMON_CNTX_CNTL" + "map": {"at": 163852, "to": "mm"}, + "name": "DB_RENDER_OVERRIDE", + "type_ref": "DB_RENDER_OVERRIDE" }, { "chips": ["gfx10"], - "map": {"at": 196940, "to": "mm"}, - "name": "CP_PFP_ATOMIC_PREOP_HI", - "type_ref": "CP_PFP_ATOMIC_PREOP_HI" + "map": {"at": 163856, "to": "mm"}, + "name": "DB_RENDER_OVERRIDE2", + "type_ref": "DB_RENDER_OVERRIDE2" }, { "chips": ["gfx10"], - "map": {"at": 196936, "to": "mm"}, - "name": "CP_PFP_ATOMIC_PREOP_LO", - "type_ref": "CP_PFP_ATOMIC_PREOP_LO" + "map": {"at": 163860, "to": "mm"}, + "name": "DB_HTILE_DATA_BASE", + "type_ref": "DB_HTILE_DATA_BASE" }, { "chips": ["gfx10"], - "map": {"at": 197552, "to": "mm"}, - "name": "CP_PFP_COMPLETION_STATUS", - "type_ref": "CP_PFP_COMPLETION_STATUS" + "map": {"at": 163868, "to": "mm"}, + "name": "DB_DEPTH_SIZE_XY", + "type_ref": "DB_DEPTH_SIZE_XY" }, { "chips": ["gfx10"], - "map": {"at": 196948, "to": "mm"}, - "name": "CP_PFP_GDS_ATOMIC0_PREOP_HI", - "type_ref": "CP_PFP_GDS_ATOMIC0_PREOP_HI" + "map": {"at": 163872, "to": "mm"}, + "name": "DB_DEPTH_BOUNDS_MIN", + "type_ref": "DB_DEPTH_BOUNDS_MIN" }, { "chips": ["gfx10"], - "map": {"at": 196944, "to": "mm"}, - "name": "CP_PFP_GDS_ATOMIC0_PREOP_LO", - "type_ref": "CP_PFP_GDS_ATOMIC0_PREOP_LO" + "map": {"at": 163876, "to": "mm"}, + "name": "DB_DEPTH_BOUNDS_MAX", + "type_ref": "DB_DEPTH_BOUNDS_MAX" }, { "chips": ["gfx10"], - "map": {"at": 196956, "to": "mm"}, - "name": "CP_PFP_GDS_ATOMIC1_PREOP_HI", - "type_ref": "CP_PFP_GDS_ATOMIC1_PREOP_HI" + "map": {"at": 163880, "to": "mm"}, + "name": "DB_STENCIL_CLEAR", + "type_ref": "DB_STENCIL_CLEAR" }, { "chips": ["gfx10"], - "map": {"at": 196952, "to": "mm"}, - "name": "CP_PFP_GDS_ATOMIC1_PREOP_LO", - "type_ref": "CP_PFP_GDS_ATOMIC1_PREOP_LO" + "map": {"at": 163884, "to": "mm"}, + "name": "DB_DEPTH_CLEAR", + "type_ref": "DB_DEPTH_CLEAR" }, { "chips": ["gfx10"], - "map": {"at": 197172, "to": "mm"}, - "name": "CP_PFP_IB_CONTROL", - "type_ref": "CP_PFP_IB_CONTROL" + "map": {"at": 163888, "to": "mm"}, + "name": "PA_SC_SCREEN_SCISSOR_TL", + "type_ref": "PA_SC_SCREEN_SCISSOR_TL" }, { "chips": ["gfx10"], - "map": {"at": 197176, "to": "mm"}, - "name": "CP_PFP_LOAD_CONTROL", - "type_ref": "CP_PFP_LOAD_CONTROL" + "map": {"at": 163892, "to": "mm"}, + "name": "PA_SC_SCREEN_SCISSOR_BR", + "type_ref": "PA_SC_SCREEN_SCISSOR_BR" }, { "chips": ["gfx10"], - "map": {"at": 197568, "to": "mm"}, - "name": "CP_PFP_METADATA_BASE_ADDR", - "type_ref": "CP_MES_MTVEC_HI" + "map": {"at": 163896, "to": "mm"}, + "name": "DB_DFSM_CONTROL", + "type_ref": "DB_DFSM_CONTROL" }, { "chips": ["gfx10"], - "map": {"at": 197572, "to": "mm"}, - "name": "CP_PFP_METADATA_BASE_ADDR_HI", - "type_ref": "CPC_SUSPEND_CTX_SAVE_BASE_ADDR_HI" + "map": {"at": 163900, "to": "mm"}, + "name": "DB_RESERVED_REG_2", + "type_ref": "DB_RESERVED_REG_2" }, { "chips": ["gfx10"], - "map": {"at": 196708, "to": "mm"}, - "name": "CP_PIPE_STATS_ADDR_HI", - "type_ref": "CP_PIPE_STATS_ADDR_HI" + "map": {"at": 163904, "to": "mm"}, + "name": "DB_Z_INFO", + "type_ref": "DB_Z_INFO" }, { "chips": ["gfx10"], - "map": {"at": 196704, "to": "mm"}, - "name": "CP_PIPE_STATS_ADDR_LO", - "type_ref": "CP_PIPE_STATS_ADDR_LO" + "map": {"at": 163908, "to": "mm"}, + "name": "DB_STENCIL_INFO", + "type_ref": "DB_STENCIL_INFO" }, { "chips": ["gfx10"], - "map": {"at": 196852, "to": "mm"}, - "name": "CP_PIPE_STATS_CONTROL", - "type_ref": "CP_PIPE_STATS_CONTROL" + "map": {"at": 163912, "to": "mm"}, + "name": "DB_Z_READ_BASE", + "type_ref": "DB_HTILE_DATA_BASE" }, { "chips": ["gfx10"], - "map": {"at": 196848, "to": "mm"}, - "name": "CP_PIPE_STATS_DOORBELL", - "type_ref": "CP_EOP_DONE_DOORBELL" + "map": {"at": 163916, "to": "mm"}, + "name": "DB_STENCIL_READ_BASE", + "type_ref": "DB_HTILE_DATA_BASE" }, { "chips": ["gfx10"], - "map": {"at": 197560, "to": "mm"}, - "name": "CP_PRED_NOT_VISIBLE", - "type_ref": "CP_PRED_NOT_VISIBLE" + "map": {"at": 163920, "to": "mm"}, + "name": "DB_Z_WRITE_BASE", + "type_ref": "DB_HTILE_DATA_BASE" }, { "chips": ["gfx10"], - "map": {"at": 197620, "to": "mm"}, - "name": "CP_SAMPLE_STATUS", - "type_ref": "CP_SAMPLE_STATUS" + "map": {"at": 163924, "to": "mm"}, + "name": "DB_STENCIL_WRITE_BASE", + "type_ref": "DB_HTILE_DATA_BASE" }, { "chips": ["gfx10"], - "map": {"at": 197184, "to": "mm"}, - "name": "CP_SCRATCH_DATA", - "type_ref": "CP_MES_SCRATCH_DATA" + "map": {"at": 163928, "to": "mm"}, + "name": "DB_RESERVED_REG_1", + "type_ref": "DB_RESERVED_REG_1" }, { "chips": ["gfx10"], - "map": {"at": 197180, "to": "mm"}, - "name": "CP_SCRATCH_INDEX", - "type_ref": "CP_SCRATCH_INDEX" + "map": {"at": 163932, "to": "mm"}, + "name": "DB_RESERVED_REG_3", + "type_ref": "DB_RESERVED_REG_3" }, { "chips": ["gfx10"], - "map": {"at": 196788, "to": "mm"}, - "name": "CP_SC_PSINVOC_COUNT0_HI", - "type_ref": "CP_SC_PSINVOC_COUNT0_HI" + "map": {"at": 163944, "to": "mm"}, + "name": "DB_Z_READ_BASE_HI", + "type_ref": "DB_Z_READ_BASE_HI" }, { "chips": ["gfx10"], - "map": {"at": 196784, "to": "mm"}, - "name": "CP_SC_PSINVOC_COUNT0_LO", - "type_ref": "CP_SC_PSINVOC_COUNT0_LO" + "map": {"at": 163948, "to": "mm"}, + "name": "DB_STENCIL_READ_BASE_HI", + "type_ref": "DB_Z_READ_BASE_HI" }, { "chips": ["gfx10"], - "map": {"at": 196796, "to": "mm"}, - "name": "CP_SC_PSINVOC_COUNT1_HI", - "type_ref": "CP_ECC_FIRSTOCCURRENCE_RING0" + "map": {"at": 163952, "to": "mm"}, + "name": "DB_Z_WRITE_BASE_HI", + "type_ref": "DB_Z_READ_BASE_HI" }, { "chips": ["gfx10"], - "map": {"at": 196792, "to": "mm"}, - "name": "CP_SC_PSINVOC_COUNT1_LO", - "type_ref": "CP_ECC_FIRSTOCCURRENCE_RING0" + "map": {"at": 163956, "to": "mm"}, + "name": "DB_STENCIL_WRITE_BASE_HI", + "type_ref": "DB_Z_READ_BASE_HI" }, { "chips": ["gfx10"], - "map": {"at": 197052, "to": "mm"}, - "name": "CP_SEM_WAIT_TIMER", - "type_ref": "CP_SEM_WAIT_TIMER" + "map": {"at": 163960, "to": "mm"}, + "name": "DB_HTILE_DATA_BASE_HI", + "type_ref": "DB_Z_READ_BASE_HI" }, { "chips": ["gfx10"], - "map": {"at": 197060, "to": "mm"}, - "name": "CP_SIG_SEM_ADDR_HI", - "type_ref": "CP_SIG_SEM_ADDR_HI" + "map": {"at": 163964, "to": "mm"}, + "name": "DB_RMI_L2_CACHE_CONTROL", + "type_ref": "DB_RMI_L2_CACHE_CONTROL" }, { "chips": ["gfx10"], - "map": {"at": 197056, "to": "mm"}, - "name": "CP_SIG_SEM_ADDR_LO", - "type_ref": "CP_SIG_SEM_ADDR_LO" + "map": {"at": 163968, "to": "mm"}, + "name": "TA_BC_BASE_ADDR", + "type_ref": "TA_BC_BASE_ADDR" }, { "chips": ["gfx10"], - "map": {"at": 196636, "to": "mm"}, - "name": "CP_STREAM_OUT_ADDR_HI", - "type_ref": "CP_STREAM_OUT_ADDR_HI" + "map": {"at": 163972, "to": "mm"}, + "name": "TA_BC_BASE_ADDR_HI", + "type_ref": "TA_BC_BASE_ADDR_HI" }, { "chips": ["gfx10"], - "map": {"at": 196632, "to": "mm"}, - "name": "CP_STREAM_OUT_ADDR_LO", - "type_ref": "CP_STREAM_OUT_ADDR_LO" + "map": {"at": 164328, "to": "mm"}, + "name": "COHER_DEST_BASE_HI_0", + "type_ref": "COHER_DEST_BASE_HI_0" }, { "chips": ["gfx10"], - "map": {"at": 196856, "to": "mm"}, - "name": "CP_STREAM_OUT_CONTROL", - "type_ref": "CP_PIPE_STATS_CONTROL" + "map": {"at": 164332, "to": "mm"}, + "name": "COHER_DEST_BASE_HI_1", + "type_ref": "COHER_DEST_BASE_HI_0" }, { "chips": ["gfx10"], - "map": {"at": 196812, "to": "mm"}, - "name": "CP_STREAM_OUT_DOORBELL", - "type_ref": "CP_EOP_DONE_DOORBELL" + "map": {"at": 164336, "to": "mm"}, + "name": "COHER_DEST_BASE_HI_2", + "type_ref": "COHER_DEST_BASE_HI_0" }, { "chips": ["gfx10"], - "map": {"at": 196860, "to": "mm"}, - "name": "CP_STRMOUT_CNTL", - "type_ref": "CP_STRMOUT_CNTL" + "map": {"at": 164340, "to": "mm"}, + "name": "COHER_DEST_BASE_HI_3", + "type_ref": "COHER_DEST_BASE_HI_0" }, { "chips": ["gfx10"], - "map": {"at": 197452, "to": "mm"}, - "name": "CP_ST_BASE_HI", - "type_ref": "CP_ST_BASE_HI" + "map": {"at": 164344, "to": "mm"}, + "name": "COHER_DEST_BASE_2", + "type_ref": "COHER_DEST_BASE_2" }, { "chips": ["gfx10"], - "map": {"at": 197448, "to": "mm"}, - "name": "CP_ST_BASE_LO", - "type_ref": "CP_ST_BASE_LO" + "map": {"at": 164348, "to": "mm"}, + "name": "COHER_DEST_BASE_3", + "type_ref": "COHER_DEST_BASE_2" }, { "chips": ["gfx10"], - "map": {"at": 197456, "to": "mm"}, - "name": "CP_ST_BUFSZ", - "type_ref": "CP_ST_BUFSZ" + "map": {"at": 164352, "to": "mm"}, + "name": "PA_SC_WINDOW_OFFSET", + "type_ref": "PA_SC_WINDOW_OFFSET" }, { "chips": ["gfx10"], - "map": {"at": 197384, "to": "mm"}, - "name": "CP_ST_CMD_BUFSZ", - "type_ref": "CP_ST_CMD_BUFSZ" + "map": {"at": 164356, "to": "mm"}, + "name": "PA_SC_WINDOW_SCISSOR_TL", + "type_ref": "PA_SC_WINDOW_SCISSOR_TL" }, { "chips": ["gfx10"], - "map": {"at": 196804, "to": "mm"}, - "name": "CP_VGT_CSINVOC_COUNT_HI", - "type_ref": "CP_VGT_CSINVOC_COUNT_HI" + "map": {"at": 164360, "to": "mm"}, + "name": "PA_SC_WINDOW_SCISSOR_BR", + "type_ref": "PA_SC_WINDOW_SCISSOR_BR" }, { "chips": ["gfx10"], - "map": {"at": 196800, "to": "mm"}, - "name": "CP_VGT_CSINVOC_COUNT_LO", - "type_ref": "CP_VGT_CSINVOC_COUNT_LO" + "map": {"at": 164364, "to": "mm"}, + "name": "PA_SC_CLIPRECT_RULE", + "type_ref": "PA_SC_CLIPRECT_RULE" }, { "chips": ["gfx10"], - "map": {"at": 196764, "to": "mm"}, - "name": "CP_VGT_DSINVOC_COUNT_HI", - "type_ref": "CP_VGT_DSINVOC_COUNT_HI" + "map": {"at": 164368, "to": "mm"}, + "name": "PA_SC_CLIPRECT_0_TL", + "type_ref": "PA_SC_CLIPRECT_0_TL" }, { "chips": ["gfx10"], - "map": {"at": 196760, "to": "mm"}, - "name": "CP_VGT_DSINVOC_COUNT_LO", - "type_ref": "CP_VGT_DSINVOC_COUNT_LO" + "map": {"at": 164372, "to": "mm"}, + "name": "PA_SC_CLIPRECT_0_BR", + "type_ref": "PA_SC_WINDOW_SCISSOR_BR" }, { "chips": ["gfx10"], - "map": {"at": 196748, "to": "mm"}, - "name": "CP_VGT_GSINVOC_COUNT_HI", - "type_ref": "CP_VGT_GSINVOC_COUNT_HI" + "map": {"at": 164376, "to": "mm"}, + "name": "PA_SC_CLIPRECT_1_TL", + "type_ref": "PA_SC_CLIPRECT_0_TL" }, { "chips": ["gfx10"], - "map": {"at": 196744, "to": "mm"}, - "name": "CP_VGT_GSINVOC_COUNT_LO", - "type_ref": "CP_VGT_GSINVOC_COUNT_LO" + "map": {"at": 164380, "to": "mm"}, + "name": "PA_SC_CLIPRECT_1_BR", + "type_ref": "PA_SC_WINDOW_SCISSOR_BR" }, { "chips": ["gfx10"], - "map": {"at": 196732, "to": "mm"}, - "name": "CP_VGT_GSPRIM_COUNT_HI", - "type_ref": "CP_VGT_GSPRIM_COUNT_HI" + "map": {"at": 164384, "to": "mm"}, + "name": "PA_SC_CLIPRECT_2_TL", + "type_ref": "PA_SC_CLIPRECT_0_TL" }, { "chips": ["gfx10"], - "map": {"at": 196728, "to": "mm"}, - "name": "CP_VGT_GSPRIM_COUNT_LO", - "type_ref": "CP_VGT_GSPRIM_COUNT_LO" + "map": {"at": 164388, "to": "mm"}, + "name": "PA_SC_CLIPRECT_2_BR", + "type_ref": "PA_SC_WINDOW_SCISSOR_BR" }, { "chips": ["gfx10"], - "map": {"at": 196756, "to": "mm"}, - "name": "CP_VGT_HSINVOC_COUNT_HI", - "type_ref": "CP_VGT_HSINVOC_COUNT_HI" + "map": {"at": 164392, "to": "mm"}, + "name": "PA_SC_CLIPRECT_3_TL", + "type_ref": "PA_SC_CLIPRECT_0_TL" }, { "chips": ["gfx10"], - "map": {"at": 196752, "to": "mm"}, - "name": "CP_VGT_HSINVOC_COUNT_LO", - "type_ref": "CP_VGT_HSINVOC_COUNT_LO" + "map": {"at": 164396, "to": "mm"}, + "name": "PA_SC_CLIPRECT_3_BR", + "type_ref": "PA_SC_WINDOW_SCISSOR_BR" }, { "chips": ["gfx10"], - "map": {"at": 196724, "to": "mm"}, - "name": "CP_VGT_IAPRIM_COUNT_HI", - "type_ref": "CP_VGT_IAPRIM_COUNT_HI" + "map": {"at": 164400, "to": "mm"}, + "name": "PA_SC_EDGERULE", + "type_ref": "PA_SC_EDGERULE" }, { "chips": ["gfx10"], - "map": {"at": 196720, "to": "mm"}, - "name": "CP_VGT_IAPRIM_COUNT_LO", - "type_ref": "CP_VGT_IAPRIM_COUNT_LO" + "map": {"at": 164404, "to": "mm"}, + "name": "PA_SU_HARDWARE_SCREEN_OFFSET", + "type_ref": "PA_SU_HARDWARE_SCREEN_OFFSET" }, { "chips": ["gfx10"], - "map": {"at": 196716, "to": "mm"}, - "name": "CP_VGT_IAVERT_COUNT_HI", - "type_ref": "CP_VGT_IAVERT_COUNT_HI" + "map": {"at": 164408, "to": "mm"}, + "name": "CB_TARGET_MASK", + "type_ref": "CB_TARGET_MASK" }, { "chips": ["gfx10"], - "map": {"at": 196712, "to": "mm"}, - "name": "CP_VGT_IAVERT_COUNT_LO", - "type_ref": "CP_VGT_IAVERT_COUNT_LO" + "map": {"at": 164412, "to": "mm"}, + "name": "CB_SHADER_MASK", + "type_ref": "CB_SHADER_MASK" }, { "chips": ["gfx10"], - "map": {"at": 196740, "to": "mm"}, - "name": "CP_VGT_VSINVOC_COUNT_HI", - "type_ref": "CP_VGT_VSINVOC_COUNT_HI" + "map": {"at": 164416, "to": "mm"}, + "name": "PA_SC_GENERIC_SCISSOR_TL", + "type_ref": "PA_SC_WINDOW_SCISSOR_TL" }, { "chips": ["gfx10"], - "map": {"at": 196736, "to": "mm"}, - "name": "CP_VGT_VSINVOC_COUNT_LO", - "type_ref": "CP_VGT_VSINVOC_COUNT_LO" + "map": {"at": 164420, "to": "mm"}, + "name": "PA_SC_GENERIC_SCISSOR_BR", + "type_ref": "PA_SC_WINDOW_SCISSOR_BR" }, { "chips": ["gfx10"], - "map": {"at": 164712, "to": "mm"}, - "name": "CP_VMID", - "type_ref": "CP_ME0_PIPE0_VMID" + "map": {"at": 164424, "to": "mm"}, + "name": "COHER_DEST_BASE_0", + "type_ref": "COHER_DEST_BASE_2" }, { "chips": ["gfx10"], - "map": {"at": 197072, "to": "mm"}, - "name": "CP_WAIT_REG_MEM_TIMEOUT", - "type_ref": "CP_WAIT_REG_MEM_TIMEOUT" + "map": {"at": 164428, "to": "mm"}, + "name": "COHER_DEST_BASE_1", + "type_ref": "COHER_DEST_BASE_2" }, { "chips": ["gfx10"], - "map": {"at": 197080, "to": "mm"}, - "name": "CP_WAIT_SEM_ADDR_HI", - "type_ref": "CP_SIG_SEM_ADDR_HI" + "map": {"at": 164432, "to": "mm"}, + "name": "PA_SC_VPORT_SCISSOR_0_TL", + "type_ref": "PA_SC_WINDOW_SCISSOR_TL" }, { "chips": ["gfx10"], - "map": {"at": 197076, "to": "mm"}, - "name": "CP_WAIT_SEM_ADDR_LO", - "type_ref": "CP_SIG_SEM_ADDR_LO" + "map": {"at": 164436, "to": "mm"}, + "name": "PA_SC_VPORT_SCISSOR_0_BR", + "type_ref": "PA_SC_WINDOW_SCISSOR_BR" }, { "chips": ["gfx10"], - "map": {"at": 165836, "to": "mm"}, - "name": "CS_COPY_STATE", - "type_ref": "CS_COPY_STATE" + "map": {"at": 164440, "to": "mm"}, + "name": "PA_SC_VPORT_SCISSOR_1_TL", + "type_ref": "PA_SC_WINDOW_SCISSOR_TL" }, { "chips": ["gfx10"], - "map": {"at": 166768, "to": "mm"}, - "name": "DB_ALPHA_TO_MASK", - "type_ref": "DB_ALPHA_TO_MASK" + "map": {"at": 164444, "to": "mm"}, + "name": "PA_SC_VPORT_SCISSOR_1_BR", + "type_ref": "PA_SC_WINDOW_SCISSOR_BR" }, { "chips": ["gfx10"], - "map": {"at": 163844, "to": "mm"}, - "name": "DB_COUNT_CONTROL", - "type_ref": "DB_COUNT_CONTROL" + "map": {"at": 164448, "to": "mm"}, + "name": "PA_SC_VPORT_SCISSOR_2_TL", + "type_ref": "PA_SC_WINDOW_SCISSOR_TL" }, { "chips": ["gfx10"], - "map": {"at": 163876, "to": "mm"}, - "name": "DB_DEPTH_BOUNDS_MAX", - "type_ref": "DB_DEPTH_BOUNDS_MAX" + "map": {"at": 164452, "to": "mm"}, + "name": "PA_SC_VPORT_SCISSOR_2_BR", + "type_ref": "PA_SC_WINDOW_SCISSOR_BR" }, { "chips": ["gfx10"], - "map": {"at": 163872, "to": "mm"}, - "name": "DB_DEPTH_BOUNDS_MIN", - "type_ref": "DB_DEPTH_BOUNDS_MIN" + "map": {"at": 164456, "to": "mm"}, + "name": "PA_SC_VPORT_SCISSOR_3_TL", + "type_ref": "PA_SC_WINDOW_SCISSOR_TL" }, { "chips": ["gfx10"], - "map": {"at": 163884, "to": "mm"}, - "name": "DB_DEPTH_CLEAR", - "type_ref": "DB_DEPTH_CLEAR" + "map": {"at": 164460, "to": "mm"}, + "name": "PA_SC_VPORT_SCISSOR_3_BR", + "type_ref": "PA_SC_WINDOW_SCISSOR_BR" }, { "chips": ["gfx10"], - "map": {"at": 165888, "to": "mm"}, - "name": "DB_DEPTH_CONTROL", - "type_ref": "DB_DEPTH_CONTROL" + "map": {"at": 164464, "to": "mm"}, + "name": "PA_SC_VPORT_SCISSOR_4_TL", + "type_ref": "PA_SC_WINDOW_SCISSOR_TL" }, { "chips": ["gfx10"], - "map": {"at": 163900, "to": "mm"}, - "name": "DB_DEPTH_INFO", - "type_ref": "DB_DEPTH_INFO" + "map": {"at": 164468, "to": "mm"}, + "name": "PA_SC_VPORT_SCISSOR_4_BR", + "type_ref": "PA_SC_WINDOW_SCISSOR_BR" }, { "chips": ["gfx10"], - "map": {"at": 163868, "to": "mm"}, - "name": "DB_DEPTH_SIZE_XY", - "type_ref": "DB_DEPTH_SIZE_XY" + "map": {"at": 164472, "to": "mm"}, + "name": "PA_SC_VPORT_SCISSOR_5_TL", + "type_ref": "PA_SC_WINDOW_SCISSOR_TL" }, { "chips": ["gfx10"], - "map": {"at": 163848, "to": "mm"}, - "name": "DB_DEPTH_VIEW", - "type_ref": "DB_DEPTH_VIEW" + "map": {"at": 164476, "to": "mm"}, + "name": "PA_SC_VPORT_SCISSOR_5_BR", + "type_ref": "PA_SC_WINDOW_SCISSOR_BR" }, { "chips": ["gfx10"], - "map": {"at": 163896, "to": "mm"}, - "name": "DB_DFSM_CONTROL", - "type_ref": "DB_DFSM_CONTROL" + "map": {"at": 164480, "to": "mm"}, + "name": "PA_SC_VPORT_SCISSOR_6_TL", + "type_ref": "PA_SC_WINDOW_SCISSOR_TL" }, { "chips": ["gfx10"], - "map": {"at": 165892, "to": "mm"}, - "name": "DB_EQAA", - "type_ref": "DB_EQAA" + "map": {"at": 164484, "to": "mm"}, + "name": "PA_SC_VPORT_SCISSOR_6_BR", + "type_ref": "PA_SC_WINDOW_SCISSOR_BR" }, { "chips": ["gfx10"], - "map": {"at": 163860, "to": "mm"}, - "name": "DB_HTILE_DATA_BASE", - "type_ref": "CB_COLOR0_BASE" + "map": {"at": 164488, "to": "mm"}, + "name": "PA_SC_VPORT_SCISSOR_7_TL", + "type_ref": "PA_SC_WINDOW_SCISSOR_TL" }, { "chips": ["gfx10"], - "map": {"at": 163960, "to": "mm"}, - "name": "DB_HTILE_DATA_BASE_HI", - "type_ref": "DB_Z_READ_BASE_HI" + "map": {"at": 164492, "to": "mm"}, + "name": "PA_SC_VPORT_SCISSOR_7_BR", + "type_ref": "PA_SC_WINDOW_SCISSOR_BR" }, { "chips": ["gfx10"], - "map": {"at": 166588, "to": "mm"}, - "name": "DB_HTILE_SURFACE", - "type_ref": "DB_HTILE_SURFACE" + "map": {"at": 164496, "to": "mm"}, + "name": "PA_SC_VPORT_SCISSOR_8_TL", + "type_ref": "PA_SC_WINDOW_SCISSOR_TL" }, { "chips": ["gfx10"], - "map": {"at": 200452, "to": "mm"}, - "name": "DB_OCCLUSION_COUNT0_HI", - "type_ref": "DB_ZPASS_COUNT_HI" + "map": {"at": 164500, "to": "mm"}, + "name": "PA_SC_VPORT_SCISSOR_8_BR", + "type_ref": "PA_SC_WINDOW_SCISSOR_BR" }, { "chips": ["gfx10"], - "map": {"at": 200448, "to": "mm"}, - "name": "DB_OCCLUSION_COUNT0_LOW", - "type_ref": "DB_ZPASS_COUNT_LOW" + "map": {"at": 164504, "to": "mm"}, + "name": "PA_SC_VPORT_SCISSOR_9_TL", + "type_ref": "PA_SC_WINDOW_SCISSOR_TL" }, { "chips": ["gfx10"], - "map": {"at": 200460, "to": "mm"}, - "name": "DB_OCCLUSION_COUNT1_HI", - "type_ref": "DB_ZPASS_COUNT_HI" + "map": {"at": 164508, "to": "mm"}, + "name": "PA_SC_VPORT_SCISSOR_9_BR", + "type_ref": "PA_SC_WINDOW_SCISSOR_BR" }, { "chips": ["gfx10"], - "map": {"at": 200456, "to": "mm"}, - "name": "DB_OCCLUSION_COUNT1_LOW", - "type_ref": "DB_ZPASS_COUNT_LOW" + "map": {"at": 164512, "to": "mm"}, + "name": "PA_SC_VPORT_SCISSOR_10_TL", + "type_ref": "PA_SC_WINDOW_SCISSOR_TL" }, { "chips": ["gfx10"], - "map": {"at": 200468, "to": "mm"}, - "name": "DB_OCCLUSION_COUNT2_HI", - "type_ref": "DB_ZPASS_COUNT_HI" + "map": {"at": 164516, "to": "mm"}, + "name": "PA_SC_VPORT_SCISSOR_10_BR", + "type_ref": "PA_SC_WINDOW_SCISSOR_BR" }, { "chips": ["gfx10"], - "map": {"at": 200464, "to": "mm"}, - "name": "DB_OCCLUSION_COUNT2_LOW", - "type_ref": "DB_ZPASS_COUNT_LOW" + "map": {"at": 164520, "to": "mm"}, + "name": "PA_SC_VPORT_SCISSOR_11_TL", + "type_ref": "PA_SC_WINDOW_SCISSOR_TL" }, { "chips": ["gfx10"], - "map": {"at": 200476, "to": "mm"}, - "name": "DB_OCCLUSION_COUNT3_HI", - "type_ref": "DB_ZPASS_COUNT_HI" + "map": {"at": 164524, "to": "mm"}, + "name": "PA_SC_VPORT_SCISSOR_11_BR", + "type_ref": "PA_SC_WINDOW_SCISSOR_BR" }, { "chips": ["gfx10"], - "map": {"at": 200472, "to": "mm"}, - "name": "DB_OCCLUSION_COUNT3_LOW", - "type_ref": "DB_ZPASS_COUNT_LOW" + "map": {"at": 164528, "to": "mm"}, + "name": "PA_SC_VPORT_SCISSOR_12_TL", + "type_ref": "PA_SC_WINDOW_SCISSOR_TL" }, { "chips": ["gfx10"], - "map": {"at": 217348, "to": "mm"}, - "name": "DB_PERFCOUNTER0_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 164532, "to": "mm"}, + "name": "PA_SC_VPORT_SCISSOR_12_BR", + "type_ref": "PA_SC_WINDOW_SCISSOR_BR" }, { "chips": ["gfx10"], - "map": {"at": 217344, "to": "mm"}, - "name": "DB_PERFCOUNTER0_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 164536, "to": "mm"}, + "name": "PA_SC_VPORT_SCISSOR_13_TL", + "type_ref": "PA_SC_WINDOW_SCISSOR_TL" }, { "chips": ["gfx10"], - "map": {"at": 225536, "to": "mm"}, - "name": "DB_PERFCOUNTER0_SELECT", - "type_ref": "DB_PERFCOUNTER0_SELECT" + "map": {"at": 164540, "to": "mm"}, + "name": "PA_SC_VPORT_SCISSOR_13_BR", + "type_ref": "PA_SC_WINDOW_SCISSOR_BR" }, { "chips": ["gfx10"], - "map": {"at": 225540, "to": "mm"}, - "name": "DB_PERFCOUNTER0_SELECT1", - "type_ref": "DB_PERFCOUNTER0_SELECT1" + "map": {"at": 164544, "to": "mm"}, + "name": "PA_SC_VPORT_SCISSOR_14_TL", + "type_ref": "PA_SC_WINDOW_SCISSOR_TL" }, { "chips": ["gfx10"], - "map": {"at": 217356, "to": "mm"}, - "name": "DB_PERFCOUNTER1_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 164548, "to": "mm"}, + "name": "PA_SC_VPORT_SCISSOR_14_BR", + "type_ref": "PA_SC_WINDOW_SCISSOR_BR" }, { "chips": ["gfx10"], - "map": {"at": 217352, "to": "mm"}, - "name": "DB_PERFCOUNTER1_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 164552, "to": "mm"}, + "name": "PA_SC_VPORT_SCISSOR_15_TL", + "type_ref": "PA_SC_WINDOW_SCISSOR_TL" }, { "chips": ["gfx10"], - "map": {"at": 225544, "to": "mm"}, - "name": "DB_PERFCOUNTER1_SELECT", - "type_ref": "DB_PERFCOUNTER0_SELECT" + "map": {"at": 164556, "to": "mm"}, + "name": "PA_SC_VPORT_SCISSOR_15_BR", + "type_ref": "PA_SC_WINDOW_SCISSOR_BR" }, { "chips": ["gfx10"], - "map": {"at": 225548, "to": "mm"}, - "name": "DB_PERFCOUNTER1_SELECT1", - "type_ref": "DB_PERFCOUNTER0_SELECT1" + "map": {"at": 164560, "to": "mm"}, + "name": "PA_SC_VPORT_ZMIN_0", + "type_ref": "PA_SC_VPORT_ZMIN_0" }, { "chips": ["gfx10"], - "map": {"at": 217364, "to": "mm"}, - "name": "DB_PERFCOUNTER2_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 164564, "to": "mm"}, + "name": "PA_SC_VPORT_ZMAX_0", + "type_ref": "PA_SC_VPORT_ZMAX_0" }, { "chips": ["gfx10"], - "map": {"at": 217360, "to": "mm"}, - "name": "DB_PERFCOUNTER2_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 164568, "to": "mm"}, + "name": "PA_SC_VPORT_ZMIN_1", + "type_ref": "PA_SC_VPORT_ZMIN_0" }, { "chips": ["gfx10"], - "map": {"at": 225552, "to": "mm"}, - "name": "DB_PERFCOUNTER2_SELECT", - "type_ref": "DB_PERFCOUNTER0_SELECT" + "map": {"at": 164572, "to": "mm"}, + "name": "PA_SC_VPORT_ZMAX_1", + "type_ref": "PA_SC_VPORT_ZMAX_0" }, { "chips": ["gfx10"], - "map": {"at": 217372, "to": "mm"}, - "name": "DB_PERFCOUNTER3_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 164576, "to": "mm"}, + "name": "PA_SC_VPORT_ZMIN_2", + "type_ref": "PA_SC_VPORT_ZMIN_0" }, { "chips": ["gfx10"], - "map": {"at": 217368, "to": "mm"}, - "name": "DB_PERFCOUNTER3_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 164580, "to": "mm"}, + "name": "PA_SC_VPORT_ZMAX_2", + "type_ref": "PA_SC_VPORT_ZMAX_0" }, { "chips": ["gfx10"], - "map": {"at": 225560, "to": "mm"}, - "name": "DB_PERFCOUNTER3_SELECT", - "type_ref": "DB_PERFCOUNTER0_SELECT" + "map": {"at": 164584, "to": "mm"}, + "name": "PA_SC_VPORT_ZMIN_3", + "type_ref": "PA_SC_VPORT_ZMIN_0" }, { "chips": ["gfx10"], - "map": {"at": 166600, "to": "mm"}, - "name": "DB_PRELOAD_CONTROL", - "type_ref": "DB_PRELOAD_CONTROL" + "map": {"at": 164588, "to": "mm"}, + "name": "PA_SC_VPORT_ZMAX_3", + "type_ref": "PA_SC_VPORT_ZMAX_0" }, { "chips": ["gfx10"], - "map": {"at": 163840, "to": "mm"}, - "name": "DB_RENDER_CONTROL", - "type_ref": "DB_RENDER_CONTROL" + "map": {"at": 164592, "to": "mm"}, + "name": "PA_SC_VPORT_ZMIN_4", + "type_ref": "PA_SC_VPORT_ZMIN_0" }, { "chips": ["gfx10"], - "map": {"at": 163852, "to": "mm"}, - "name": "DB_RENDER_OVERRIDE", - "type_ref": "DB_RENDER_OVERRIDE" + "map": {"at": 164596, "to": "mm"}, + "name": "PA_SC_VPORT_ZMAX_4", + "type_ref": "PA_SC_VPORT_ZMAX_0" }, { "chips": ["gfx10"], - "map": {"at": 163856, "to": "mm"}, - "name": "DB_RENDER_OVERRIDE2", - "type_ref": "DB_RENDER_OVERRIDE2" + "map": {"at": 164600, "to": "mm"}, + "name": "PA_SC_VPORT_ZMIN_5", + "type_ref": "PA_SC_VPORT_ZMIN_0" }, { "chips": ["gfx10"], - "map": {"at": 163964, "to": "mm"}, - "name": "DB_RMI_L2_CACHE_CONTROL", - "type_ref": "DB_RMI_L2_CACHE_CONTROL" + "map": {"at": 164604, "to": "mm"}, + "name": "PA_SC_VPORT_ZMAX_5", + "type_ref": "PA_SC_VPORT_ZMAX_0" }, { "chips": ["gfx10"], - "map": {"at": 165900, "to": "mm"}, - "name": "DB_SHADER_CONTROL", - "type_ref": "DB_SHADER_CONTROL" + "map": {"at": 164608, "to": "mm"}, + "name": "PA_SC_VPORT_ZMIN_6", + "type_ref": "PA_SC_VPORT_ZMIN_0" }, { "chips": ["gfx10"], - "map": {"at": 166592, "to": "mm"}, - "name": "DB_SRESULTS_COMPARE_STATE0", - "type_ref": "DB_SRESULTS_COMPARE_STATE0" + "map": {"at": 164612, "to": "mm"}, + "name": "PA_SC_VPORT_ZMAX_6", + "type_ref": "PA_SC_VPORT_ZMAX_0" }, { "chips": ["gfx10"], - "map": {"at": 166596, "to": "mm"}, - "name": "DB_SRESULTS_COMPARE_STATE1", - "type_ref": "DB_SRESULTS_COMPARE_STATE1" + "map": {"at": 164616, "to": "mm"}, + "name": "PA_SC_VPORT_ZMIN_7", + "type_ref": "PA_SC_VPORT_ZMIN_0" }, { "chips": ["gfx10"], - "map": {"at": 164912, "to": "mm"}, - "name": "DB_STENCILREFMASK", - "type_ref": "DB_STENCILREFMASK" + "map": {"at": 164620, "to": "mm"}, + "name": "PA_SC_VPORT_ZMAX_7", + "type_ref": "PA_SC_VPORT_ZMAX_0" }, { "chips": ["gfx10"], - "map": {"at": 164916, "to": "mm"}, - "name": "DB_STENCILREFMASK_BF", - "type_ref": "DB_STENCILREFMASK_BF" + "map": {"at": 164624, "to": "mm"}, + "name": "PA_SC_VPORT_ZMIN_8", + "type_ref": "PA_SC_VPORT_ZMIN_0" }, { "chips": ["gfx10"], - "map": {"at": 163880, "to": "mm"}, - "name": "DB_STENCIL_CLEAR", - "type_ref": "DB_STENCIL_CLEAR" + "map": {"at": 164628, "to": "mm"}, + "name": "PA_SC_VPORT_ZMAX_8", + "type_ref": "PA_SC_VPORT_ZMAX_0" }, { "chips": ["gfx10"], - "map": {"at": 164908, "to": "mm"}, - "name": "DB_STENCIL_CONTROL", - "type_ref": "DB_STENCIL_CONTROL" + "map": {"at": 164632, "to": "mm"}, + "name": "PA_SC_VPORT_ZMIN_9", + "type_ref": "PA_SC_VPORT_ZMIN_0" }, { "chips": ["gfx10"], - "map": {"at": 163908, "to": "mm"}, - "name": "DB_STENCIL_INFO", - "type_ref": "DB_STENCIL_INFO" + "map": {"at": 164636, "to": "mm"}, + "name": "PA_SC_VPORT_ZMAX_9", + "type_ref": "PA_SC_VPORT_ZMAX_0" }, { "chips": ["gfx10"], - "map": {"at": 163916, "to": "mm"}, - "name": "DB_STENCIL_READ_BASE", - "type_ref": "CB_COLOR0_BASE" + "map": {"at": 164640, "to": "mm"}, + "name": "PA_SC_VPORT_ZMIN_10", + "type_ref": "PA_SC_VPORT_ZMIN_0" }, { "chips": ["gfx10"], - "map": {"at": 163948, "to": "mm"}, - "name": "DB_STENCIL_READ_BASE_HI", - "type_ref": "DB_Z_READ_BASE_HI" + "map": {"at": 164644, "to": "mm"}, + "name": "PA_SC_VPORT_ZMAX_10", + "type_ref": "PA_SC_VPORT_ZMAX_0" }, { "chips": ["gfx10"], - "map": {"at": 163924, "to": "mm"}, - "name": "DB_STENCIL_WRITE_BASE", - "type_ref": "CB_COLOR0_BASE" + "map": {"at": 164648, "to": "mm"}, + "name": "PA_SC_VPORT_ZMIN_11", + "type_ref": "PA_SC_VPORT_ZMIN_0" }, { "chips": ["gfx10"], - "map": {"at": 163956, "to": "mm"}, - "name": "DB_STENCIL_WRITE_BASE_HI", - "type_ref": "DB_Z_READ_BASE_HI" + "map": {"at": 164652, "to": "mm"}, + "name": "PA_SC_VPORT_ZMAX_11", + "type_ref": "PA_SC_VPORT_ZMAX_0" }, { "chips": ["gfx10"], - "map": {"at": 200700, "to": "mm"}, - "name": "DB_ZPASS_COUNT_HI", - "type_ref": "DB_ZPASS_COUNT_HI" - }, - { - "chips": ["gfx10"], - "map": {"at": 200696, "to": "mm"}, - "name": "DB_ZPASS_COUNT_LOW", - "type_ref": "DB_ZPASS_COUNT_LOW" + "map": {"at": 164656, "to": "mm"}, + "name": "PA_SC_VPORT_ZMIN_12", + "type_ref": "PA_SC_VPORT_ZMIN_0" }, { "chips": ["gfx10"], - "map": {"at": 163904, "to": "mm"}, - "name": "DB_Z_INFO", - "type_ref": "DB_Z_INFO" + "map": {"at": 164660, "to": "mm"}, + "name": "PA_SC_VPORT_ZMAX_12", + "type_ref": "PA_SC_VPORT_ZMAX_0" }, { "chips": ["gfx10"], - "map": {"at": 163912, "to": "mm"}, - "name": "DB_Z_READ_BASE", - "type_ref": "CB_COLOR0_BASE" + "map": {"at": 164664, "to": "mm"}, + "name": "PA_SC_VPORT_ZMIN_13", + "type_ref": "PA_SC_VPORT_ZMIN_0" }, { "chips": ["gfx10"], - "map": {"at": 163944, "to": "mm"}, - "name": "DB_Z_READ_BASE_HI", - "type_ref": "DB_Z_READ_BASE_HI" + "map": {"at": 164668, "to": "mm"}, + "name": "PA_SC_VPORT_ZMAX_13", + "type_ref": "PA_SC_VPORT_ZMAX_0" }, { "chips": ["gfx10"], - "map": {"at": 163920, "to": "mm"}, - "name": "DB_Z_WRITE_BASE", - "type_ref": "CB_COLOR0_BASE" + "map": {"at": 164672, "to": "mm"}, + "name": "PA_SC_VPORT_ZMIN_14", + "type_ref": "PA_SC_VPORT_ZMIN_0" }, { "chips": ["gfx10"], - "map": {"at": 163952, "to": "mm"}, - "name": "DB_Z_WRITE_BASE_HI", - "type_ref": "DB_Z_READ_BASE_HI" + "map": {"at": 164676, "to": "mm"}, + "name": "PA_SC_VPORT_ZMAX_14", + "type_ref": "PA_SC_VPORT_ZMAX_0" }, { "chips": ["gfx10"], - "map": {"at": 218244, "to": "mm"}, - "name": "GCR_PERFCOUNTER0_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 164680, "to": "mm"}, + "name": "PA_SC_VPORT_ZMIN_15", + "type_ref": "PA_SC_VPORT_ZMIN_0" }, { "chips": ["gfx10"], - "map": {"at": 218240, "to": "mm"}, - "name": "GCR_PERFCOUNTER0_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 164684, "to": "mm"}, + "name": "PA_SC_VPORT_ZMAX_15", + "type_ref": "PA_SC_VPORT_ZMAX_0" }, { "chips": ["gfx10"], - "map": {"at": 226688, "to": "mm"}, - "name": "GCR_PERFCOUNTER0_SELECT", - "type_ref": "GCR_PERFCOUNTER0_SELECT" + "map": {"at": 164688, "to": "mm"}, + "name": "PA_SC_RASTER_CONFIG", + "type_ref": "PA_SC_RASTER_CONFIG" }, { "chips": ["gfx10"], - "map": {"at": 226692, "to": "mm"}, - "name": "GCR_PERFCOUNTER0_SELECT1", - "type_ref": "GCR_PERFCOUNTER0_SELECT1" + "map": {"at": 164692, "to": "mm"}, + "name": "PA_SC_RASTER_CONFIG_1", + "type_ref": "PA_SC_RASTER_CONFIG_1" }, { "chips": ["gfx10"], - "map": {"at": 218252, "to": "mm"}, - "name": "GCR_PERFCOUNTER1_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 164696, "to": "mm"}, + "name": "PA_SC_SCREEN_EXTENT_CONTROL", + "type_ref": "PA_SC_SCREEN_EXTENT_CONTROL" }, { "chips": ["gfx10"], - "map": {"at": 218248, "to": "mm"}, - "name": "GCR_PERFCOUNTER1_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 164700, "to": "mm"}, + "name": "PA_SC_TILE_STEERING_OVERRIDE", + "type_ref": "PA_SC_TILE_STEERING_OVERRIDE" }, { "chips": ["gfx10"], - "map": {"at": 226696, "to": "mm"}, - "name": "GCR_PERFCOUNTER1_SELECT", - "type_ref": "GCR_PERFCOUNTER1_SELECT" + "map": {"at": 164704, "to": "mm"}, + "name": "CP_PERFMON_CNTX_CNTL", + "type_ref": "CP_PERFMON_CNTX_CNTL" }, { "chips": ["gfx10"], - "map": {"at": 200752, "to": "mm"}, - "name": "GDS_ATOM_BASE", - "type_ref": "GDS_ATOM_BASE" + "map": {"at": 164708, "to": "mm"}, + "name": "CP_PIPEID", + "type_ref": "CP_PIPEID" }, { "chips": ["gfx10"], - "map": {"at": 200744, "to": "mm"}, - "name": "GDS_ATOM_CNTL", - "type_ref": "GDS_ATOM_CNTL" + "map": {"at": 164712, "to": "mm"}, + "name": "CP_VMID", + "type_ref": "CP_VMID" }, { "chips": ["gfx10"], - "map": {"at": 200748, "to": "mm"}, - "name": "GDS_ATOM_COMPLETE", - "type_ref": "GDS_ATOM_COMPLETE" + "map": {"at": 164768, "to": "mm"}, + "name": "PA_SC_RIGHT_VERT_GRID", + "type_ref": "PA_SC_RIGHT_VERT_GRID" }, { "chips": ["gfx10"], - "map": {"at": 200768, "to": "mm"}, - "name": "GDS_ATOM_DST", - "type_ref": "GDS_ATOM_DST" + "map": {"at": 164772, "to": "mm"}, + "name": "PA_SC_LEFT_VERT_GRID", + "type_ref": "PA_SC_RIGHT_VERT_GRID" }, { "chips": ["gfx10"], - "map": {"at": 200760, "to": "mm"}, - "name": "GDS_ATOM_OFFSET0", - "type_ref": "GDS_ATOM_OFFSET0" + "map": {"at": 164776, "to": "mm"}, + "name": "PA_SC_HORIZ_GRID", + "type_ref": "PA_SC_HORIZ_GRID" }, { "chips": ["gfx10"], - "map": {"at": 200764, "to": "mm"}, - "name": "GDS_ATOM_OFFSET1", - "type_ref": "GDS_ATOM_OFFSET1" + "map": {"at": 164864, "to": "mm"}, + "name": "VGT_MAX_VTX_INDX", + "type_ref": "VGT_MAX_VTX_INDX" }, { "chips": ["gfx10"], - "map": {"at": 200772, "to": "mm"}, - "name": "GDS_ATOM_OP", - "type_ref": "GDS_ATOM_OP" + "map": {"at": 164868, "to": "mm"}, + "name": "VGT_MIN_VTX_INDX", + "type_ref": "VGT_MIN_VTX_INDX" }, { "chips": ["gfx10"], - "map": {"at": 200792, "to": "mm"}, - "name": "GDS_ATOM_READ0", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 164872, "to": "mm"}, + "name": "VGT_INDX_OFFSET", + "type_ref": "VGT_INDX_OFFSET" }, { "chips": ["gfx10"], - "map": {"at": 200796, "to": "mm"}, - "name": "GDS_ATOM_READ0_U", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 164876, "to": "mm"}, + "name": "VGT_MULTI_PRIM_IB_RESET_INDX", + "type_ref": "VGT_MULTI_PRIM_IB_RESET_INDX" }, { "chips": ["gfx10"], - "map": {"at": 200800, "to": "mm"}, - "name": "GDS_ATOM_READ1", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 164880, "to": "mm"}, + "name": "CB_RMI_GL2_CACHE_CONTROL", + "type_ref": "CB_RMI_GL2_CACHE_CONTROL" }, { "chips": ["gfx10"], - "map": {"at": 200804, "to": "mm"}, - "name": "GDS_ATOM_READ1_U", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 164884, "to": "mm"}, + "name": "CB_BLEND_RED", + "type_ref": "CB_BLEND_RED" }, { "chips": ["gfx10"], - "map": {"at": 200756, "to": "mm"}, - "name": "GDS_ATOM_SIZE", - "type_ref": "GDS_ATOM_SIZE" + "map": {"at": 164888, "to": "mm"}, + "name": "CB_BLEND_GREEN", + "type_ref": "CB_BLEND_GREEN" }, { "chips": ["gfx10"], - "map": {"at": 200776, "to": "mm"}, - "name": "GDS_ATOM_SRC0", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 164892, "to": "mm"}, + "name": "CB_BLEND_BLUE", + "type_ref": "CB_BLEND_BLUE" }, { "chips": ["gfx10"], - "map": {"at": 200780, "to": "mm"}, - "name": "GDS_ATOM_SRC0_U", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 164896, "to": "mm"}, + "name": "CB_BLEND_ALPHA", + "type_ref": "CB_BLEND_ALPHA" }, { "chips": ["gfx10"], - "map": {"at": 200784, "to": "mm"}, - "name": "GDS_ATOM_SRC1", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 164900, "to": "mm"}, + "name": "CB_DCC_CONTROL", + "type_ref": "CB_DCC_CONTROL" }, { "chips": ["gfx10"], - "map": {"at": 200788, "to": "mm"}, - "name": "GDS_ATOM_SRC1_U", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 164904, "to": "mm"}, + "name": "CB_COVERAGE_OUT_CONTROL", + "type_ref": "CB_COVERAGE_OUT_CONTROL" }, { "chips": ["gfx10"], - "map": {"at": 200812, "to": "mm"}, - "name": "GDS_GWS_RESOURCE", - "type_ref": "GDS_GWS_RESOURCE" + "map": {"at": 164908, "to": "mm"}, + "name": "DB_STENCIL_CONTROL", + "type_ref": "DB_STENCIL_CONTROL" }, { "chips": ["gfx10"], - "map": {"at": 200816, "to": "mm"}, - "name": "GDS_GWS_RESOURCE_CNT", - "type_ref": "GDS_GWS_RESOURCE_CNT" + "map": {"at": 164912, "to": "mm"}, + "name": "DB_STENCILREFMASK", + "type_ref": "DB_STENCILREFMASK" }, { "chips": ["gfx10"], - "map": {"at": 200808, "to": "mm"}, - "name": "GDS_GWS_RESOURCE_CNTL", - "type_ref": "GDS_GWS_RESOURCE_CNTL" + "map": {"at": 164916, "to": "mm"}, + "name": "DB_STENCILREFMASK_BF", + "type_ref": "DB_STENCILREFMASK_BF" }, { "chips": ["gfx10"], - "map": {"at": 200828, "to": "mm"}, - "name": "GDS_OA_ADDRESS", - "type_ref": "GDS_OA_ADDRESS" + "map": {"at": 164924, "to": "mm"}, + "name": "PA_CL_VPORT_XSCALE", + "type_ref": "PA_CL_VPORT_XSCALE" }, { "chips": ["gfx10"], - "map": {"at": 200820, "to": "mm"}, - "name": "GDS_OA_CNTL", - "type_ref": "GDS_OA_CNTL" + "map": {"at": 164928, "to": "mm"}, + "name": "PA_CL_VPORT_XOFFSET", + "type_ref": "PA_CL_VPORT_XOFFSET" }, { "chips": ["gfx10"], - "map": {"at": 200824, "to": "mm"}, - "name": "GDS_OA_COUNTER", - "type_ref": "GDS_OA_COUNTER" + "map": {"at": 164932, "to": "mm"}, + "name": "PA_CL_VPORT_YSCALE", + "type_ref": "PA_CL_VPORT_YSCALE" }, { "chips": ["gfx10"], - "map": {"at": 200832, "to": "mm"}, - "name": "GDS_OA_INCDEC", - "type_ref": "GDS_OA_INCDEC" + "map": {"at": 164936, "to": "mm"}, + "name": "PA_CL_VPORT_YOFFSET", + "type_ref": "PA_CL_VPORT_YOFFSET" }, { "chips": ["gfx10"], - "map": {"at": 200836, "to": "mm"}, - "name": "GDS_OA_RING_SIZE", - "type_ref": "GDS_OA_RING_SIZE" + "map": {"at": 164940, "to": "mm"}, + "name": "PA_CL_VPORT_ZSCALE", + "type_ref": "PA_CL_VPORT_ZSCALE" }, { "chips": ["gfx10"], - "map": {"at": 215556, "to": "mm"}, - "name": "GDS_PERFCOUNTER0_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 164944, "to": "mm"}, + "name": "PA_CL_VPORT_ZOFFSET", + "type_ref": "PA_CL_VPORT_ZOFFSET" }, { "chips": ["gfx10"], - "map": {"at": 215552, "to": "mm"}, - "name": "GDS_PERFCOUNTER0_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 164948, "to": "mm"}, + "name": "PA_CL_VPORT_XSCALE_1", + "type_ref": "PA_CL_VPORT_XSCALE" }, { "chips": ["gfx10"], - "map": {"at": 223744, "to": "mm"}, - "name": "GDS_PERFCOUNTER0_SELECT", - "type_ref": "DB_PERFCOUNTER0_SELECT" + "map": {"at": 164952, "to": "mm"}, + "name": "PA_CL_VPORT_XOFFSET_1", + "type_ref": "PA_CL_VPORT_XOFFSET" }, { "chips": ["gfx10"], - "map": {"at": 223760, "to": "mm"}, - "name": "GDS_PERFCOUNTER0_SELECT1", - "type_ref": "DB_PERFCOUNTER0_SELECT1" + "map": {"at": 164956, "to": "mm"}, + "name": "PA_CL_VPORT_YSCALE_1", + "type_ref": "PA_CL_VPORT_YSCALE" }, { "chips": ["gfx10"], - "map": {"at": 215564, "to": "mm"}, - "name": "GDS_PERFCOUNTER1_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 164960, "to": "mm"}, + "name": "PA_CL_VPORT_YOFFSET_1", + "type_ref": "PA_CL_VPORT_YOFFSET" }, { "chips": ["gfx10"], - "map": {"at": 215560, "to": "mm"}, - "name": "GDS_PERFCOUNTER1_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 164964, "to": "mm"}, + "name": "PA_CL_VPORT_ZSCALE_1", + "type_ref": "PA_CL_VPORT_ZSCALE" }, { "chips": ["gfx10"], - "map": {"at": 223748, "to": "mm"}, - "name": "GDS_PERFCOUNTER1_SELECT", - "type_ref": "DB_PERFCOUNTER0_SELECT" + "map": {"at": 164968, "to": "mm"}, + "name": "PA_CL_VPORT_ZOFFSET_1", + "type_ref": "PA_CL_VPORT_ZOFFSET" }, { "chips": ["gfx10"], - "map": {"at": 215572, "to": "mm"}, - "name": "GDS_PERFCOUNTER2_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 164972, "to": "mm"}, + "name": "PA_CL_VPORT_XSCALE_2", + "type_ref": "PA_CL_VPORT_XSCALE" }, { "chips": ["gfx10"], - "map": {"at": 215568, "to": "mm"}, - "name": "GDS_PERFCOUNTER2_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 164976, "to": "mm"}, + "name": "PA_CL_VPORT_XOFFSET_2", + "type_ref": "PA_CL_VPORT_XOFFSET" }, { "chips": ["gfx10"], - "map": {"at": 223752, "to": "mm"}, - "name": "GDS_PERFCOUNTER2_SELECT", - "type_ref": "DB_PERFCOUNTER0_SELECT" + "map": {"at": 164980, "to": "mm"}, + "name": "PA_CL_VPORT_YSCALE_2", + "type_ref": "PA_CL_VPORT_YSCALE" }, { "chips": ["gfx10"], - "map": {"at": 215580, "to": "mm"}, - "name": "GDS_PERFCOUNTER3_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 164984, "to": "mm"}, + "name": "PA_CL_VPORT_YOFFSET_2", + "type_ref": "PA_CL_VPORT_YOFFSET" }, { "chips": ["gfx10"], - "map": {"at": 215576, "to": "mm"}, - "name": "GDS_PERFCOUNTER3_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 164988, "to": "mm"}, + "name": "PA_CL_VPORT_ZSCALE_2", + "type_ref": "PA_CL_VPORT_ZSCALE" }, { "chips": ["gfx10"], - "map": {"at": 223756, "to": "mm"}, - "name": "GDS_PERFCOUNTER3_SELECT", - "type_ref": "DB_PERFCOUNTER0_SELECT" + "map": {"at": 164992, "to": "mm"}, + "name": "PA_CL_VPORT_ZOFFSET_2", + "type_ref": "PA_CL_VPORT_ZOFFSET" }, { "chips": ["gfx10"], - "map": {"at": 200704, "to": "mm"}, - "name": "GDS_RD_ADDR", - "type_ref": "GDS_RD_ADDR" + "map": {"at": 164996, "to": "mm"}, + "name": "PA_CL_VPORT_XSCALE_3", + "type_ref": "PA_CL_VPORT_XSCALE" }, { "chips": ["gfx10"], - "map": {"at": 200712, "to": "mm"}, - "name": "GDS_RD_BURST_ADDR", - "type_ref": "GDS_RD_BURST_ADDR" + "map": {"at": 165000, "to": "mm"}, + "name": "PA_CL_VPORT_XOFFSET_3", + "type_ref": "PA_CL_VPORT_XOFFSET" }, { "chips": ["gfx10"], - "map": {"at": 200716, "to": "mm"}, - "name": "GDS_RD_BURST_COUNT", - "type_ref": "GDS_RD_BURST_COUNT" + "map": {"at": 165004, "to": "mm"}, + "name": "PA_CL_VPORT_YSCALE_3", + "type_ref": "PA_CL_VPORT_YSCALE" }, { "chips": ["gfx10"], - "map": {"at": 200720, "to": "mm"}, - "name": "GDS_RD_BURST_DATA", - "type_ref": "GDS_RD_BURST_DATA" + "map": {"at": 165008, "to": "mm"}, + "name": "PA_CL_VPORT_YOFFSET_3", + "type_ref": "PA_CL_VPORT_YOFFSET" }, { "chips": ["gfx10"], - "map": {"at": 200708, "to": "mm"}, - "name": "GDS_RD_DATA", - "type_ref": "GDS_RD_DATA" + "map": {"at": 165012, "to": "mm"}, + "name": "PA_CL_VPORT_ZSCALE_3", + "type_ref": "PA_CL_VPORT_ZSCALE" }, { "chips": ["gfx10"], - "map": {"at": 200740, "to": "mm"}, - "name": "GDS_WRITE_COMPLETE", - "type_ref": "GDS_WRITE_COMPLETE" + "map": {"at": 165016, "to": "mm"}, + "name": "PA_CL_VPORT_ZOFFSET_3", + "type_ref": "PA_CL_VPORT_ZOFFSET" }, { "chips": ["gfx10"], - "map": {"at": 200724, "to": "mm"}, - "name": "GDS_WR_ADDR", - "type_ref": "GDS_WR_ADDR" + "map": {"at": 165020, "to": "mm"}, + "name": "PA_CL_VPORT_XSCALE_4", + "type_ref": "PA_CL_VPORT_XSCALE" }, { "chips": ["gfx10"], - "map": {"at": 200732, "to": "mm"}, - "name": "GDS_WR_BURST_ADDR", - "type_ref": "GDS_WR_ADDR" + "map": {"at": 165024, "to": "mm"}, + "name": "PA_CL_VPORT_XOFFSET_4", + "type_ref": "PA_CL_VPORT_XOFFSET" }, { "chips": ["gfx10"], - "map": {"at": 200736, "to": "mm"}, - "name": "GDS_WR_BURST_DATA", - "type_ref": "GDS_WR_DATA" + "map": {"at": 165028, "to": "mm"}, + "name": "PA_CL_VPORT_YSCALE_4", + "type_ref": "PA_CL_VPORT_YSCALE" }, { "chips": ["gfx10"], - "map": {"at": 200728, "to": "mm"}, - "name": "GDS_WR_DATA", - "type_ref": "GDS_WR_DATA" + "map": {"at": 165032, "to": "mm"}, + "name": "PA_CL_VPORT_YOFFSET_4", + "type_ref": "PA_CL_VPORT_YOFFSET" }, { "chips": ["gfx10"], - "map": {"at": 199020, "to": "mm"}, - "name": "GE_CNTL", - "type_ref": "GE_CNTL" + "map": {"at": 165036, "to": "mm"}, + "name": "PA_CL_VPORT_ZSCALE_4", + "type_ref": "PA_CL_VPORT_ZSCALE" }, { "chips": ["gfx10"], - "map": {"at": 198980, "to": "mm"}, - "name": "GE_DMA_FIRST_INDEX", - "type_ref": "GE_DMA_FIRST_INDEX" + "map": {"at": 165040, "to": "mm"}, + "name": "PA_CL_VPORT_ZOFFSET_4", + "type_ref": "PA_CL_VPORT_ZOFFSET" }, { "chips": ["gfx10"], - "map": {"at": 198952, "to": "mm"}, - "name": "GE_INDX_OFFSET", - "type_ref": "GE_INDX_OFFSET" + "map": {"at": 165044, "to": "mm"}, + "name": "PA_CL_VPORT_XSCALE_5", + "type_ref": "PA_CL_VPORT_XSCALE" }, { "chips": ["gfx10"], - "map": {"at": 165884, "to": "mm"}, - "name": "GE_MAX_OUTPUT_PER_SUBGROUP", - "type_ref": "GE_MAX_OUTPUT_PER_SUBGROUP" + "map": {"at": 165048, "to": "mm"}, + "name": "PA_CL_VPORT_XOFFSET_5", + "type_ref": "PA_CL_VPORT_XOFFSET" }, { "chips": ["gfx10"], - "map": {"at": 199012, "to": "mm"}, - "name": "GE_MAX_VTX_INDX", - "type_ref": "GE_MAX_VTX_INDX" + "map": {"at": 165052, "to": "mm"}, + "name": "PA_CL_VPORT_YSCALE_5", + "type_ref": "PA_CL_VPORT_YSCALE" }, { "chips": ["gfx10"], - "map": {"at": 198948, "to": "mm"}, - "name": "GE_MIN_VTX_INDX", - "type_ref": "GE_MIN_VTX_INDX" + "map": {"at": 165056, "to": "mm"}, + "name": "PA_CL_VPORT_YOFFSET_5", + "type_ref": "PA_CL_VPORT_YOFFSET" }, { "chips": ["gfx10"], - "map": {"at": 198956, "to": "mm"}, - "name": "GE_MULTI_PRIM_IB_RESET_EN", - "type_ref": "GE_MULTI_PRIM_IB_RESET_EN" + "map": {"at": 165060, "to": "mm"}, + "name": "PA_CL_VPORT_ZSCALE_5", + "type_ref": "PA_CL_VPORT_ZSCALE" }, { "chips": ["gfx10"], - "map": {"at": 166732, "to": "mm"}, - "name": "GE_NGG_SUBGRP_CNTL", - "type_ref": "GE_NGG_SUBGRP_CNTL" + "map": {"at": 165064, "to": "mm"}, + "name": "PA_CL_VPORT_ZOFFSET_5", + "type_ref": "PA_CL_VPORT_ZOFFSET" }, { "chips": ["gfx10"], - "map": {"at": 199040, "to": "mm"}, - "name": "GE_PC_ALLOC", - "type_ref": "GE_PC_ALLOC" + "map": {"at": 165068, "to": "mm"}, + "name": "PA_CL_VPORT_XSCALE_6", + "type_ref": "PA_CL_VPORT_XSCALE" }, { "chips": ["gfx10"], - "map": {"at": 213508, "to": "mm"}, - "name": "GE_PERFCOUNTER0_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 165072, "to": "mm"}, + "name": "PA_CL_VPORT_XOFFSET_6", + "type_ref": "PA_CL_VPORT_XOFFSET" }, { "chips": ["gfx10"], - "map": {"at": 213504, "to": "mm"}, - "name": "GE_PERFCOUNTER0_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 165076, "to": "mm"}, + "name": "PA_CL_VPORT_YSCALE_6", + "type_ref": "PA_CL_VPORT_YSCALE" }, { "chips": ["gfx10"], - "map": {"at": 221696, "to": "mm"}, - "name": "GE_PERFCOUNTER0_SELECT", - "type_ref": "GE_PERFCOUNTER0_SELECT" + "map": {"at": 165080, "to": "mm"}, + "name": "PA_CL_VPORT_YOFFSET_6", + "type_ref": "PA_CL_VPORT_YOFFSET" }, { "chips": ["gfx10"], - "map": {"at": 221700, "to": "mm"}, - "name": "GE_PERFCOUNTER0_SELECT1", - "type_ref": "GE_PERFCOUNTER0_SELECT1" + "map": {"at": 165084, "to": "mm"}, + "name": "PA_CL_VPORT_ZSCALE_6", + "type_ref": "PA_CL_VPORT_ZSCALE" }, { "chips": ["gfx10"], - "map": {"at": 213588, "to": "mm"}, - "name": "GE_PERFCOUNTER10_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 165088, "to": "mm"}, + "name": "PA_CL_VPORT_ZOFFSET_6", + "type_ref": "PA_CL_VPORT_ZOFFSET" }, { "chips": ["gfx10"], - "map": {"at": 213584, "to": "mm"}, - "name": "GE_PERFCOUNTER10_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 165092, "to": "mm"}, + "name": "PA_CL_VPORT_XSCALE_7", + "type_ref": "PA_CL_VPORT_XSCALE" }, { "chips": ["gfx10"], - "map": {"at": 221776, "to": "mm"}, - "name": "GE_PERFCOUNTER10_SELECT", - "type_ref": "GE_PERFCOUNTER4_SELECT" + "map": {"at": 165096, "to": "mm"}, + "name": "PA_CL_VPORT_XOFFSET_7", + "type_ref": "PA_CL_VPORT_XOFFSET" }, { "chips": ["gfx10"], - "map": {"at": 213596, "to": "mm"}, - "name": "GE_PERFCOUNTER11_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 165100, "to": "mm"}, + "name": "PA_CL_VPORT_YSCALE_7", + "type_ref": "PA_CL_VPORT_YSCALE" }, { "chips": ["gfx10"], - "map": {"at": 213592, "to": "mm"}, - "name": "GE_PERFCOUNTER11_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 165104, "to": "mm"}, + "name": "PA_CL_VPORT_YOFFSET_7", + "type_ref": "PA_CL_VPORT_YOFFSET" }, { "chips": ["gfx10"], - "map": {"at": 221784, "to": "mm"}, - "name": "GE_PERFCOUNTER11_SELECT", - "type_ref": "GE_PERFCOUNTER4_SELECT" + "map": {"at": 165108, "to": "mm"}, + "name": "PA_CL_VPORT_ZSCALE_7", + "type_ref": "PA_CL_VPORT_ZSCALE" }, { "chips": ["gfx10"], - "map": {"at": 213516, "to": "mm"}, - "name": "GE_PERFCOUNTER1_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 165112, "to": "mm"}, + "name": "PA_CL_VPORT_ZOFFSET_7", + "type_ref": "PA_CL_VPORT_ZOFFSET" }, { "chips": ["gfx10"], - "map": {"at": 213512, "to": "mm"}, - "name": "GE_PERFCOUNTER1_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 165116, "to": "mm"}, + "name": "PA_CL_VPORT_XSCALE_8", + "type_ref": "PA_CL_VPORT_XSCALE" }, { "chips": ["gfx10"], - "map": {"at": 221704, "to": "mm"}, - "name": "GE_PERFCOUNTER1_SELECT", - "type_ref": "GE_PERFCOUNTER0_SELECT" + "map": {"at": 165120, "to": "mm"}, + "name": "PA_CL_VPORT_XOFFSET_8", + "type_ref": "PA_CL_VPORT_XOFFSET" }, { "chips": ["gfx10"], - "map": {"at": 221708, "to": "mm"}, - "name": "GE_PERFCOUNTER1_SELECT1", - "type_ref": "GE_PERFCOUNTER0_SELECT1" + "map": {"at": 165124, "to": "mm"}, + "name": "PA_CL_VPORT_YSCALE_8", + "type_ref": "PA_CL_VPORT_YSCALE" }, { "chips": ["gfx10"], - "map": {"at": 213524, "to": "mm"}, - "name": "GE_PERFCOUNTER2_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 165128, "to": "mm"}, + "name": "PA_CL_VPORT_YOFFSET_8", + "type_ref": "PA_CL_VPORT_YOFFSET" }, { "chips": ["gfx10"], - "map": {"at": 213520, "to": "mm"}, - "name": "GE_PERFCOUNTER2_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 165132, "to": "mm"}, + "name": "PA_CL_VPORT_ZSCALE_8", + "type_ref": "PA_CL_VPORT_ZSCALE" }, { "chips": ["gfx10"], - "map": {"at": 221712, "to": "mm"}, - "name": "GE_PERFCOUNTER2_SELECT", - "type_ref": "GE_PERFCOUNTER0_SELECT" + "map": {"at": 165136, "to": "mm"}, + "name": "PA_CL_VPORT_ZOFFSET_8", + "type_ref": "PA_CL_VPORT_ZOFFSET" }, { "chips": ["gfx10"], - "map": {"at": 221716, "to": "mm"}, - "name": "GE_PERFCOUNTER2_SELECT1", - "type_ref": "GE_PERFCOUNTER0_SELECT1" + "map": {"at": 165140, "to": "mm"}, + "name": "PA_CL_VPORT_XSCALE_9", + "type_ref": "PA_CL_VPORT_XSCALE" }, { "chips": ["gfx10"], - "map": {"at": 213532, "to": "mm"}, - "name": "GE_PERFCOUNTER3_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 165144, "to": "mm"}, + "name": "PA_CL_VPORT_XOFFSET_9", + "type_ref": "PA_CL_VPORT_XOFFSET" }, { "chips": ["gfx10"], - "map": {"at": 213528, "to": "mm"}, - "name": "GE_PERFCOUNTER3_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 165148, "to": "mm"}, + "name": "PA_CL_VPORT_YSCALE_9", + "type_ref": "PA_CL_VPORT_YSCALE" }, { "chips": ["gfx10"], - "map": {"at": 221720, "to": "mm"}, - "name": "GE_PERFCOUNTER3_SELECT", - "type_ref": "GE_PERFCOUNTER0_SELECT" + "map": {"at": 165152, "to": "mm"}, + "name": "PA_CL_VPORT_YOFFSET_9", + "type_ref": "PA_CL_VPORT_YOFFSET" }, { "chips": ["gfx10"], - "map": {"at": 221724, "to": "mm"}, - "name": "GE_PERFCOUNTER3_SELECT1", - "type_ref": "GE_PERFCOUNTER0_SELECT1" + "map": {"at": 165156, "to": "mm"}, + "name": "PA_CL_VPORT_ZSCALE_9", + "type_ref": "PA_CL_VPORT_ZSCALE" }, { "chips": ["gfx10"], - "map": {"at": 213540, "to": "mm"}, - "name": "GE_PERFCOUNTER4_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 165160, "to": "mm"}, + "name": "PA_CL_VPORT_ZOFFSET_9", + "type_ref": "PA_CL_VPORT_ZOFFSET" }, { "chips": ["gfx10"], - "map": {"at": 213536, "to": "mm"}, - "name": "GE_PERFCOUNTER4_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 165164, "to": "mm"}, + "name": "PA_CL_VPORT_XSCALE_10", + "type_ref": "PA_CL_VPORT_XSCALE" }, { "chips": ["gfx10"], - "map": {"at": 221728, "to": "mm"}, - "name": "GE_PERFCOUNTER4_SELECT", - "type_ref": "GE_PERFCOUNTER4_SELECT" + "map": {"at": 165168, "to": "mm"}, + "name": "PA_CL_VPORT_XOFFSET_10", + "type_ref": "PA_CL_VPORT_XOFFSET" }, { "chips": ["gfx10"], - "map": {"at": 213548, "to": "mm"}, - "name": "GE_PERFCOUNTER5_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 165172, "to": "mm"}, + "name": "PA_CL_VPORT_YSCALE_10", + "type_ref": "PA_CL_VPORT_YSCALE" }, { "chips": ["gfx10"], - "map": {"at": 213544, "to": "mm"}, - "name": "GE_PERFCOUNTER5_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 165176, "to": "mm"}, + "name": "PA_CL_VPORT_YOFFSET_10", + "type_ref": "PA_CL_VPORT_YOFFSET" }, { "chips": ["gfx10"], - "map": {"at": 221736, "to": "mm"}, - "name": "GE_PERFCOUNTER5_SELECT", - "type_ref": "GE_PERFCOUNTER4_SELECT" + "map": {"at": 165180, "to": "mm"}, + "name": "PA_CL_VPORT_ZSCALE_10", + "type_ref": "PA_CL_VPORT_ZSCALE" }, { "chips": ["gfx10"], - "map": {"at": 213556, "to": "mm"}, - "name": "GE_PERFCOUNTER6_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 165184, "to": "mm"}, + "name": "PA_CL_VPORT_ZOFFSET_10", + "type_ref": "PA_CL_VPORT_ZOFFSET" }, { "chips": ["gfx10"], - "map": {"at": 213552, "to": "mm"}, - "name": "GE_PERFCOUNTER6_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 165188, "to": "mm"}, + "name": "PA_CL_VPORT_XSCALE_11", + "type_ref": "PA_CL_VPORT_XSCALE" }, { "chips": ["gfx10"], - "map": {"at": 221744, "to": "mm"}, - "name": "GE_PERFCOUNTER6_SELECT", - "type_ref": "GE_PERFCOUNTER4_SELECT" + "map": {"at": 165192, "to": "mm"}, + "name": "PA_CL_VPORT_XOFFSET_11", + "type_ref": "PA_CL_VPORT_XOFFSET" }, { "chips": ["gfx10"], - "map": {"at": 213564, "to": "mm"}, - "name": "GE_PERFCOUNTER7_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 165196, "to": "mm"}, + "name": "PA_CL_VPORT_YSCALE_11", + "type_ref": "PA_CL_VPORT_YSCALE" }, { "chips": ["gfx10"], - "map": {"at": 213560, "to": "mm"}, - "name": "GE_PERFCOUNTER7_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 165200, "to": "mm"}, + "name": "PA_CL_VPORT_YOFFSET_11", + "type_ref": "PA_CL_VPORT_YOFFSET" }, { "chips": ["gfx10"], - "map": {"at": 221752, "to": "mm"}, - "name": "GE_PERFCOUNTER7_SELECT", - "type_ref": "GE_PERFCOUNTER4_SELECT" + "map": {"at": 165204, "to": "mm"}, + "name": "PA_CL_VPORT_ZSCALE_11", + "type_ref": "PA_CL_VPORT_ZSCALE" }, { "chips": ["gfx10"], - "map": {"at": 213572, "to": "mm"}, - "name": "GE_PERFCOUNTER8_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 165208, "to": "mm"}, + "name": "PA_CL_VPORT_ZOFFSET_11", + "type_ref": "PA_CL_VPORT_ZOFFSET" }, { "chips": ["gfx10"], - "map": {"at": 213568, "to": "mm"}, - "name": "GE_PERFCOUNTER8_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 165212, "to": "mm"}, + "name": "PA_CL_VPORT_XSCALE_12", + "type_ref": "PA_CL_VPORT_XSCALE" }, { "chips": ["gfx10"], - "map": {"at": 221760, "to": "mm"}, - "name": "GE_PERFCOUNTER8_SELECT", - "type_ref": "GE_PERFCOUNTER4_SELECT" + "map": {"at": 165216, "to": "mm"}, + "name": "PA_CL_VPORT_XOFFSET_12", + "type_ref": "PA_CL_VPORT_XOFFSET" }, { "chips": ["gfx10"], - "map": {"at": 213580, "to": "mm"}, - "name": "GE_PERFCOUNTER9_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 165220, "to": "mm"}, + "name": "PA_CL_VPORT_YSCALE_12", + "type_ref": "PA_CL_VPORT_YSCALE" }, { "chips": ["gfx10"], - "map": {"at": 213576, "to": "mm"}, - "name": "GE_PERFCOUNTER9_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 165224, "to": "mm"}, + "name": "PA_CL_VPORT_YOFFSET_12", + "type_ref": "PA_CL_VPORT_YOFFSET" }, { "chips": ["gfx10"], - "map": {"at": 221768, "to": "mm"}, - "name": "GE_PERFCOUNTER9_SELECT", - "type_ref": "GE_PERFCOUNTER4_SELECT" + "map": {"at": 165228, "to": "mm"}, + "name": "PA_CL_VPORT_ZSCALE_12", + "type_ref": "PA_CL_VPORT_ZSCALE" }, { "chips": ["gfx10"], - "map": {"at": 199036, "to": "mm"}, - "name": "GE_STEREO_CNTL", - "type_ref": "GE_STEREO_CNTL" + "map": {"at": 165232, "to": "mm"}, + "name": "PA_CL_VPORT_ZOFFSET_12", + "type_ref": "PA_CL_VPORT_ZOFFSET" }, { "chips": ["gfx10"], - "map": {"at": 199024, "to": "mm"}, - "name": "GE_USER_VGPR1", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 165236, "to": "mm"}, + "name": "PA_CL_VPORT_XSCALE_13", + "type_ref": "PA_CL_VPORT_XSCALE" }, { "chips": ["gfx10"], - "map": {"at": 199028, "to": "mm"}, - "name": "GE_USER_VGPR2", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 165240, "to": "mm"}, + "name": "PA_CL_VPORT_XOFFSET_13", + "type_ref": "PA_CL_VPORT_XOFFSET" }, { "chips": ["gfx10"], - "map": {"at": 199032, "to": "mm"}, - "name": "GE_USER_VGPR3", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 165244, "to": "mm"}, + "name": "PA_CL_VPORT_YSCALE_13", + "type_ref": "PA_CL_VPORT_YSCALE" }, { "chips": ["gfx10"], - "map": {"at": 199048, "to": "mm"}, - "name": "GE_USER_VGPR_EN", - "type_ref": "GE_USER_VGPR_EN" + "map": {"at": 165248, "to": "mm"}, + "name": "PA_CL_VPORT_YOFFSET_13", + "type_ref": "PA_CL_VPORT_YOFFSET" }, { "chips": ["gfx10"], - "map": {"at": 165840, "to": "mm"}, - "name": "GFX_COPY_STATE", - "type_ref": "CS_COPY_STATE" + "map": {"at": 165252, "to": "mm"}, + "name": "PA_CL_VPORT_ZSCALE_13", + "type_ref": "PA_CL_VPORT_ZSCALE" }, { "chips": ["gfx10"], - "map": {"at": 218884, "to": "mm"}, - "name": "GL1A_PERFCOUNTER0_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 165256, "to": "mm"}, + "name": "PA_CL_VPORT_ZOFFSET_13", + "type_ref": "PA_CL_VPORT_ZOFFSET" }, { "chips": ["gfx10"], - "map": {"at": 218880, "to": "mm"}, - "name": "GL1A_PERFCOUNTER0_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 165260, "to": "mm"}, + "name": "PA_CL_VPORT_XSCALE_14", + "type_ref": "PA_CL_VPORT_XSCALE" }, { "chips": ["gfx10"], - "map": {"at": 227072, "to": "mm"}, - "name": "GL1A_PERFCOUNTER0_SELECT", - "type_ref": "GL1A_PERFCOUNTER0_SELECT" + "map": {"at": 165264, "to": "mm"}, + "name": "PA_CL_VPORT_XOFFSET_14", + "type_ref": "PA_CL_VPORT_XOFFSET" }, { "chips": ["gfx10"], - "map": {"at": 227076, "to": "mm"}, - "name": "GL1A_PERFCOUNTER0_SELECT1", - "type_ref": "GL1A_PERFCOUNTER0_SELECT1" + "map": {"at": 165268, "to": "mm"}, + "name": "PA_CL_VPORT_YSCALE_14", + "type_ref": "PA_CL_VPORT_YSCALE" }, { "chips": ["gfx10"], - "map": {"at": 218892, "to": "mm"}, - "name": "GL1A_PERFCOUNTER1_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 165272, "to": "mm"}, + "name": "PA_CL_VPORT_YOFFSET_14", + "type_ref": "PA_CL_VPORT_YOFFSET" }, { "chips": ["gfx10"], - "map": {"at": 218888, "to": "mm"}, - "name": "GL1A_PERFCOUNTER1_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 165276, "to": "mm"}, + "name": "PA_CL_VPORT_ZSCALE_14", + "type_ref": "PA_CL_VPORT_ZSCALE" }, { "chips": ["gfx10"], - "map": {"at": 227080, "to": "mm"}, - "name": "GL1A_PERFCOUNTER1_SELECT", - "type_ref": "GL1A_PERFCOUNTER1_SELECT" + "map": {"at": 165280, "to": "mm"}, + "name": "PA_CL_VPORT_ZOFFSET_14", + "type_ref": "PA_CL_VPORT_ZOFFSET" }, { "chips": ["gfx10"], - "map": {"at": 218900, "to": "mm"}, - "name": "GL1A_PERFCOUNTER2_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 165284, "to": "mm"}, + "name": "PA_CL_VPORT_XSCALE_15", + "type_ref": "PA_CL_VPORT_XSCALE" }, { "chips": ["gfx10"], - "map": {"at": 218896, "to": "mm"}, - "name": "GL1A_PERFCOUNTER2_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 165288, "to": "mm"}, + "name": "PA_CL_VPORT_XOFFSET_15", + "type_ref": "PA_CL_VPORT_XOFFSET" }, { "chips": ["gfx10"], - "map": {"at": 227084, "to": "mm"}, - "name": "GL1A_PERFCOUNTER2_SELECT", - "type_ref": "GL1A_PERFCOUNTER1_SELECT" + "map": {"at": 165292, "to": "mm"}, + "name": "PA_CL_VPORT_YSCALE_15", + "type_ref": "PA_CL_VPORT_YSCALE" }, { "chips": ["gfx10"], - "map": {"at": 218908, "to": "mm"}, - "name": "GL1A_PERFCOUNTER3_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 165296, "to": "mm"}, + "name": "PA_CL_VPORT_YOFFSET_15", + "type_ref": "PA_CL_VPORT_YOFFSET" }, { "chips": ["gfx10"], - "map": {"at": 218904, "to": "mm"}, - "name": "GL1A_PERFCOUNTER3_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 165300, "to": "mm"}, + "name": "PA_CL_VPORT_ZSCALE_15", + "type_ref": "PA_CL_VPORT_ZSCALE" }, { "chips": ["gfx10"], - "map": {"at": 227088, "to": "mm"}, - "name": "GL1A_PERFCOUNTER3_SELECT", - "type_ref": "GL1A_PERFCOUNTER1_SELECT" + "map": {"at": 165304, "to": "mm"}, + "name": "PA_CL_VPORT_ZOFFSET_15", + "type_ref": "PA_CL_VPORT_ZOFFSET" }, { "chips": ["gfx10"], - "map": {"at": 216740, "to": "mm"}, - "name": "GL1CG_PERFCOUNTER0_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 165308, "to": "mm"}, + "name": "PA_CL_UCP_0_X", + "type_ref": "PA_CL_UCP_0_X" }, { "chips": ["gfx10"], - "map": {"at": 216736, "to": "mm"}, - "name": "GL1CG_PERFCOUNTER0_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 165312, "to": "mm"}, + "name": "PA_CL_UCP_0_Y", + "type_ref": "PA_CL_UCP_0_X" }, { "chips": ["gfx10"], - "map": {"at": 224920, "to": "mm"}, - "name": "GL1CG_PERFCOUNTER0_SELECT", - "type_ref": "GL1CG_PERFCOUNTER0_SELECT" + "map": {"at": 165316, "to": "mm"}, + "name": "PA_CL_UCP_0_Z", + "type_ref": "PA_CL_UCP_0_X" }, { "chips": ["gfx10"], - "map": {"at": 224924, "to": "mm"}, - "name": "GL1CG_PERFCOUNTER0_SELECT1", - "type_ref": "GL1CG_PERFCOUNTER0_SELECT1" + "map": {"at": 165320, "to": "mm"}, + "name": "PA_CL_UCP_0_W", + "type_ref": "PA_CL_UCP_0_X" }, { "chips": ["gfx10"], - "map": {"at": 216748, "to": "mm"}, - "name": "GL1CG_PERFCOUNTER1_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 165324, "to": "mm"}, + "name": "PA_CL_UCP_1_X", + "type_ref": "PA_CL_UCP_0_X" }, { "chips": ["gfx10"], - "map": {"at": 216744, "to": "mm"}, - "name": "GL1CG_PERFCOUNTER1_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 165328, "to": "mm"}, + "name": "PA_CL_UCP_1_Y", + "type_ref": "PA_CL_UCP_0_X" }, { "chips": ["gfx10"], - "map": {"at": 224928, "to": "mm"}, - "name": "GL1CG_PERFCOUNTER1_SELECT", - "type_ref": "GL1CG_PERFCOUNTER1_SELECT" + "map": {"at": 165332, "to": "mm"}, + "name": "PA_CL_UCP_1_Z", + "type_ref": "PA_CL_UCP_0_X" }, { "chips": ["gfx10"], - "map": {"at": 216756, "to": "mm"}, - "name": "GL1CG_PERFCOUNTER2_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 165336, "to": "mm"}, + "name": "PA_CL_UCP_1_W", + "type_ref": "PA_CL_UCP_0_X" }, { "chips": ["gfx10"], - "map": {"at": 216752, "to": "mm"}, - "name": "GL1CG_PERFCOUNTER2_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 165340, "to": "mm"}, + "name": "PA_CL_UCP_2_X", + "type_ref": "PA_CL_UCP_0_X" }, { "chips": ["gfx10"], - "map": {"at": 224932, "to": "mm"}, - "name": "GL1CG_PERFCOUNTER2_SELECT", - "type_ref": "GL1CG_PERFCOUNTER1_SELECT" + "map": {"at": 165344, "to": "mm"}, + "name": "PA_CL_UCP_2_Y", + "type_ref": "PA_CL_UCP_0_X" }, { "chips": ["gfx10"], - "map": {"at": 216764, "to": "mm"}, - "name": "GL1CG_PERFCOUNTER3_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 165348, "to": "mm"}, + "name": "PA_CL_UCP_2_Z", + "type_ref": "PA_CL_UCP_0_X" }, { "chips": ["gfx10"], - "map": {"at": 216760, "to": "mm"}, - "name": "GL1CG_PERFCOUNTER3_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 165352, "to": "mm"}, + "name": "PA_CL_UCP_2_W", + "type_ref": "PA_CL_UCP_0_X" }, { "chips": ["gfx10"], - "map": {"at": 224936, "to": "mm"}, - "name": "GL1CG_PERFCOUNTER3_SELECT", - "type_ref": "GL1CG_PERFCOUNTER1_SELECT" + "map": {"at": 165356, "to": "mm"}, + "name": "PA_CL_UCP_3_X", + "type_ref": "PA_CL_UCP_0_X" }, { "chips": ["gfx10"], - "map": {"at": 216708, "to": "mm"}, - "name": "GL1C_PERFCOUNTER0_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 165360, "to": "mm"}, + "name": "PA_CL_UCP_3_Y", + "type_ref": "PA_CL_UCP_0_X" }, { "chips": ["gfx10"], - "map": {"at": 216704, "to": "mm"}, - "name": "GL1C_PERFCOUNTER0_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 165364, "to": "mm"}, + "name": "PA_CL_UCP_3_Z", + "type_ref": "PA_CL_UCP_0_X" }, { "chips": ["gfx10"], - "map": {"at": 224896, "to": "mm"}, - "name": "GL1C_PERFCOUNTER0_SELECT", - "type_ref": "GL1C_PERFCOUNTER0_SELECT" + "map": {"at": 165368, "to": "mm"}, + "name": "PA_CL_UCP_3_W", + "type_ref": "PA_CL_UCP_0_X" }, { "chips": ["gfx10"], - "map": {"at": 224900, "to": "mm"}, - "name": "GL1C_PERFCOUNTER0_SELECT1", - "type_ref": "GL1C_PERFCOUNTER0_SELECT1" + "map": {"at": 165372, "to": "mm"}, + "name": "PA_CL_UCP_4_X", + "type_ref": "PA_CL_UCP_0_X" }, { "chips": ["gfx10"], - "map": {"at": 216716, "to": "mm"}, - "name": "GL1C_PERFCOUNTER1_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 165376, "to": "mm"}, + "name": "PA_CL_UCP_4_Y", + "type_ref": "PA_CL_UCP_0_X" }, { "chips": ["gfx10"], - "map": {"at": 216712, "to": "mm"}, - "name": "GL1C_PERFCOUNTER1_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 165380, "to": "mm"}, + "name": "PA_CL_UCP_4_Z", + "type_ref": "PA_CL_UCP_0_X" }, { "chips": ["gfx10"], - "map": {"at": 224904, "to": "mm"}, - "name": "GL1C_PERFCOUNTER1_SELECT", - "type_ref": "GL1C_PERFCOUNTER1_SELECT" + "map": {"at": 165384, "to": "mm"}, + "name": "PA_CL_UCP_4_W", + "type_ref": "PA_CL_UCP_0_X" }, { "chips": ["gfx10"], - "map": {"at": 216724, "to": "mm"}, - "name": "GL1C_PERFCOUNTER2_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 165388, "to": "mm"}, + "name": "PA_CL_UCP_5_X", + "type_ref": "PA_CL_UCP_0_X" }, { "chips": ["gfx10"], - "map": {"at": 216720, "to": "mm"}, - "name": "GL1C_PERFCOUNTER2_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 165392, "to": "mm"}, + "name": "PA_CL_UCP_5_Y", + "type_ref": "PA_CL_UCP_0_X" }, { "chips": ["gfx10"], - "map": {"at": 224908, "to": "mm"}, - "name": "GL1C_PERFCOUNTER2_SELECT", - "type_ref": "GL1C_PERFCOUNTER1_SELECT" + "map": {"at": 165396, "to": "mm"}, + "name": "PA_CL_UCP_5_Z", + "type_ref": "PA_CL_UCP_0_X" }, { "chips": ["gfx10"], - "map": {"at": 216732, "to": "mm"}, - "name": "GL1C_PERFCOUNTER3_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 165400, "to": "mm"}, + "name": "PA_CL_UCP_5_W", + "type_ref": "PA_CL_UCP_0_X" }, { "chips": ["gfx10"], - "map": {"at": 216728, "to": "mm"}, - "name": "GL1C_PERFCOUNTER3_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 165404, "to": "mm"}, + "name": "PA_CL_PROG_NEAR_CLIP_Z", + "type_ref": "PA_CL_UCP_0_X" }, { "chips": ["gfx10"], - "map": {"at": 224912, "to": "mm"}, - "name": "GL1C_PERFCOUNTER3_SELECT", - "type_ref": "GL1C_PERFCOUNTER1_SELECT" + "map": {"at": 165444, "to": "mm"}, + "name": "SPI_PS_INPUT_CNTL_0", + "type_ref": "SPI_PS_INPUT_CNTL_0" }, { "chips": ["gfx10"], - "map": {"at": 216644, "to": "mm"}, - "name": "GL2A_PERFCOUNTER0_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 165448, "to": "mm"}, + "name": "SPI_PS_INPUT_CNTL_1", + "type_ref": "SPI_PS_INPUT_CNTL_0" }, { "chips": ["gfx10"], - "map": {"at": 216640, "to": "mm"}, - "name": "GL2A_PERFCOUNTER0_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 165452, "to": "mm"}, + "name": "SPI_PS_INPUT_CNTL_2", + "type_ref": "SPI_PS_INPUT_CNTL_0" }, { "chips": ["gfx10"], - "map": {"at": 224832, "to": "mm"}, - "name": "GL2A_PERFCOUNTER0_SELECT", - "type_ref": "GL2A_PERFCOUNTER0_SELECT" + "map": {"at": 165456, "to": "mm"}, + "name": "SPI_PS_INPUT_CNTL_3", + "type_ref": "SPI_PS_INPUT_CNTL_0" }, { "chips": ["gfx10"], - "map": {"at": 224836, "to": "mm"}, - "name": "GL2A_PERFCOUNTER0_SELECT1", - "type_ref": "GL2A_PERFCOUNTER0_SELECT1" + "map": {"at": 165460, "to": "mm"}, + "name": "SPI_PS_INPUT_CNTL_4", + "type_ref": "SPI_PS_INPUT_CNTL_0" }, { "chips": ["gfx10"], - "map": {"at": 216652, "to": "mm"}, - "name": "GL2A_PERFCOUNTER1_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 165464, "to": "mm"}, + "name": "SPI_PS_INPUT_CNTL_5", + "type_ref": "SPI_PS_INPUT_CNTL_0" }, { "chips": ["gfx10"], - "map": {"at": 216648, "to": "mm"}, - "name": "GL2A_PERFCOUNTER1_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 165468, "to": "mm"}, + "name": "SPI_PS_INPUT_CNTL_6", + "type_ref": "SPI_PS_INPUT_CNTL_0" }, { "chips": ["gfx10"], - "map": {"at": 224840, "to": "mm"}, - "name": "GL2A_PERFCOUNTER1_SELECT", - "type_ref": "GL2A_PERFCOUNTER0_SELECT" + "map": {"at": 165472, "to": "mm"}, + "name": "SPI_PS_INPUT_CNTL_7", + "type_ref": "SPI_PS_INPUT_CNTL_0" }, { "chips": ["gfx10"], - "map": {"at": 224844, "to": "mm"}, - "name": "GL2A_PERFCOUNTER1_SELECT1", - "type_ref": "GL2A_PERFCOUNTER0_SELECT1" + "map": {"at": 165476, "to": "mm"}, + "name": "SPI_PS_INPUT_CNTL_8", + "type_ref": "SPI_PS_INPUT_CNTL_0" }, { "chips": ["gfx10"], - "map": {"at": 216660, "to": "mm"}, - "name": "GL2A_PERFCOUNTER2_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 165480, "to": "mm"}, + "name": "SPI_PS_INPUT_CNTL_9", + "type_ref": "SPI_PS_INPUT_CNTL_0" }, { "chips": ["gfx10"], - "map": {"at": 216656, "to": "mm"}, - "name": "GL2A_PERFCOUNTER2_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 165484, "to": "mm"}, + "name": "SPI_PS_INPUT_CNTL_10", + "type_ref": "SPI_PS_INPUT_CNTL_0" }, { "chips": ["gfx10"], - "map": {"at": 224848, "to": "mm"}, - "name": "GL2A_PERFCOUNTER2_SELECT", - "type_ref": "GL2A_PERFCOUNTER2_SELECT" + "map": {"at": 165488, "to": "mm"}, + "name": "SPI_PS_INPUT_CNTL_11", + "type_ref": "SPI_PS_INPUT_CNTL_0" }, { "chips": ["gfx10"], - "map": {"at": 216668, "to": "mm"}, - "name": "GL2A_PERFCOUNTER3_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 165492, "to": "mm"}, + "name": "SPI_PS_INPUT_CNTL_12", + "type_ref": "SPI_PS_INPUT_CNTL_0" }, { "chips": ["gfx10"], - "map": {"at": 216664, "to": "mm"}, - "name": "GL2A_PERFCOUNTER3_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 165496, "to": "mm"}, + "name": "SPI_PS_INPUT_CNTL_13", + "type_ref": "SPI_PS_INPUT_CNTL_0" }, { "chips": ["gfx10"], - "map": {"at": 224852, "to": "mm"}, - "name": "GL2A_PERFCOUNTER3_SELECT", - "type_ref": "GL2A_PERFCOUNTER2_SELECT" + "map": {"at": 165500, "to": "mm"}, + "name": "SPI_PS_INPUT_CNTL_14", + "type_ref": "SPI_PS_INPUT_CNTL_0" }, { "chips": ["gfx10"], - "map": {"at": 216580, "to": "mm"}, - "name": "GL2C_PERFCOUNTER0_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 165504, "to": "mm"}, + "name": "SPI_PS_INPUT_CNTL_15", + "type_ref": "SPI_PS_INPUT_CNTL_0" }, { "chips": ["gfx10"], - "map": {"at": 216576, "to": "mm"}, - "name": "GL2C_PERFCOUNTER0_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 165508, "to": "mm"}, + "name": "SPI_PS_INPUT_CNTL_16", + "type_ref": "SPI_PS_INPUT_CNTL_0" }, { "chips": ["gfx10"], - "map": {"at": 224768, "to": "mm"}, - "name": "GL2C_PERFCOUNTER0_SELECT", - "type_ref": "GL2C_PERFCOUNTER0_SELECT" + "map": {"at": 165512, "to": "mm"}, + "name": "SPI_PS_INPUT_CNTL_17", + "type_ref": "SPI_PS_INPUT_CNTL_0" }, { "chips": ["gfx10"], - "map": {"at": 224772, "to": "mm"}, - "name": "GL2C_PERFCOUNTER0_SELECT1", - "type_ref": "GL2C_PERFCOUNTER0_SELECT1" + "map": {"at": 165516, "to": "mm"}, + "name": "SPI_PS_INPUT_CNTL_18", + "type_ref": "SPI_PS_INPUT_CNTL_0" }, { "chips": ["gfx10"], - "map": {"at": 216588, "to": "mm"}, - "name": "GL2C_PERFCOUNTER1_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 165520, "to": "mm"}, + "name": "SPI_PS_INPUT_CNTL_19", + "type_ref": "SPI_PS_INPUT_CNTL_0" }, { "chips": ["gfx10"], - "map": {"at": 216584, "to": "mm"}, - "name": "GL2C_PERFCOUNTER1_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 165524, "to": "mm"}, + "name": "SPI_PS_INPUT_CNTL_20", + "type_ref": "SPI_PS_INPUT_CNTL_20" }, { "chips": ["gfx10"], - "map": {"at": 224776, "to": "mm"}, - "name": "GL2C_PERFCOUNTER1_SELECT", - "type_ref": "GL2C_PERFCOUNTER0_SELECT" + "map": {"at": 165528, "to": "mm"}, + "name": "SPI_PS_INPUT_CNTL_21", + "type_ref": "SPI_PS_INPUT_CNTL_20" }, { "chips": ["gfx10"], - "map": {"at": 224780, "to": "mm"}, - "name": "GL2C_PERFCOUNTER1_SELECT1", - "type_ref": "GL2C_PERFCOUNTER0_SELECT1" + "map": {"at": 165532, "to": "mm"}, + "name": "SPI_PS_INPUT_CNTL_22", + "type_ref": "SPI_PS_INPUT_CNTL_20" }, { "chips": ["gfx10"], - "map": {"at": 216596, "to": "mm"}, - "name": "GL2C_PERFCOUNTER2_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 165536, "to": "mm"}, + "name": "SPI_PS_INPUT_CNTL_23", + "type_ref": "SPI_PS_INPUT_CNTL_20" }, { "chips": ["gfx10"], - "map": {"at": 216592, "to": "mm"}, - "name": "GL2C_PERFCOUNTER2_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 165540, "to": "mm"}, + "name": "SPI_PS_INPUT_CNTL_24", + "type_ref": "SPI_PS_INPUT_CNTL_20" }, { "chips": ["gfx10"], - "map": {"at": 224784, "to": "mm"}, - "name": "GL2C_PERFCOUNTER2_SELECT", - "type_ref": "GL2C_PERFCOUNTER2_SELECT" + "map": {"at": 165544, "to": "mm"}, + "name": "SPI_PS_INPUT_CNTL_25", + "type_ref": "SPI_PS_INPUT_CNTL_20" }, { "chips": ["gfx10"], - "map": {"at": 216604, "to": "mm"}, - "name": "GL2C_PERFCOUNTER3_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 165548, "to": "mm"}, + "name": "SPI_PS_INPUT_CNTL_26", + "type_ref": "SPI_PS_INPUT_CNTL_20" }, { "chips": ["gfx10"], - "map": {"at": 216600, "to": "mm"}, - "name": "GL2C_PERFCOUNTER3_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 165552, "to": "mm"}, + "name": "SPI_PS_INPUT_CNTL_27", + "type_ref": "SPI_PS_INPUT_CNTL_20" }, { "chips": ["gfx10"], - "map": {"at": 224788, "to": "mm"}, - "name": "GL2C_PERFCOUNTER3_SELECT", - "type_ref": "GL2C_PERFCOUNTER2_SELECT" + "map": {"at": 165556, "to": "mm"}, + "name": "SPI_PS_INPUT_CNTL_28", + "type_ref": "SPI_PS_INPUT_CNTL_20" }, { "chips": ["gfx10"], - "map": {"at": 198656, "to": "mm"}, - "name": "GRBM_GFX_INDEX", - "type_ref": "GRBM_GFX_INDEX" + "map": {"at": 165560, "to": "mm"}, + "name": "SPI_PS_INPUT_CNTL_29", + "type_ref": "SPI_PS_INPUT_CNTL_20" }, { "chips": ["gfx10"], - "map": {"at": 213252, "to": "mm"}, - "name": "GRBM_PERFCOUNTER0_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 165564, "to": "mm"}, + "name": "SPI_PS_INPUT_CNTL_30", + "type_ref": "SPI_PS_INPUT_CNTL_20" }, { "chips": ["gfx10"], - "map": {"at": 213248, "to": "mm"}, - "name": "GRBM_PERFCOUNTER0_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 165568, "to": "mm"}, + "name": "SPI_PS_INPUT_CNTL_31", + "type_ref": "SPI_PS_INPUT_CNTL_20" }, { "chips": ["gfx10"], - "map": {"at": 221440, "to": "mm"}, - "name": "GRBM_PERFCOUNTER0_SELECT", - "type_ref": "GRBM_PERFCOUNTER0_SELECT" + "map": {"at": 165572, "to": "mm"}, + "name": "SPI_VS_OUT_CONFIG", + "type_ref": "SPI_VS_OUT_CONFIG" }, { "chips": ["gfx10"], - "map": {"at": 221492, "to": "mm"}, - "name": "GRBM_PERFCOUNTER0_SELECT_HI", - "type_ref": "GRBM_PERFCOUNTER0_SELECT_HI" + "map": {"at": 165580, "to": "mm"}, + "name": "SPI_PS_INPUT_ENA", + "type_ref": "SPI_PS_INPUT_ENA" }, { "chips": ["gfx10"], - "map": {"at": 213264, "to": "mm"}, - "name": "GRBM_PERFCOUNTER1_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 165584, "to": "mm"}, + "name": "SPI_PS_INPUT_ADDR", + "type_ref": "SPI_PS_INPUT_ENA" }, { "chips": ["gfx10"], - "map": {"at": 213260, "to": "mm"}, - "name": "GRBM_PERFCOUNTER1_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 165588, "to": "mm"}, + "name": "SPI_INTERP_CONTROL_0", + "type_ref": "SPI_INTERP_CONTROL_0" }, { "chips": ["gfx10"], - "map": {"at": 221444, "to": "mm"}, - "name": "GRBM_PERFCOUNTER1_SELECT", - "type_ref": "GRBM_PERFCOUNTER0_SELECT" + "map": {"at": 165592, "to": "mm"}, + "name": "SPI_PS_IN_CONTROL", + "type_ref": "SPI_PS_IN_CONTROL" }, { "chips": ["gfx10"], - "map": {"at": 221496, "to": "mm"}, - "name": "GRBM_PERFCOUNTER1_SELECT_HI", - "type_ref": "GRBM_PERFCOUNTER0_SELECT_HI" + "map": {"at": 165600, "to": "mm"}, + "name": "SPI_BARYC_CNTL", + "type_ref": "SPI_BARYC_CNTL" }, { "chips": ["gfx10"], - "map": {"at": 213272, "to": "mm"}, - "name": "GRBM_SE0_PERFCOUNTER_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 165608, "to": "mm"}, + "name": "SPI_TMPRING_SIZE", + "type_ref": "COMPUTE_TMPRING_SIZE" }, { "chips": ["gfx10"], - "map": {"at": 213268, "to": "mm"}, - "name": "GRBM_SE0_PERFCOUNTER_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 165640, "to": "mm"}, + "name": "SPI_SHADER_IDX_FORMAT", + "type_ref": "SPI_SHADER_IDX_FORMAT" }, { "chips": ["gfx10"], - "map": {"at": 221448, "to": "mm"}, - "name": "GRBM_SE0_PERFCOUNTER_SELECT", - "type_ref": "GRBM_SE0_PERFCOUNTER_SELECT" + "map": {"at": 165644, "to": "mm"}, + "name": "SPI_SHADER_POS_FORMAT", + "type_ref": "SPI_SHADER_POS_FORMAT" }, { "chips": ["gfx10"], - "map": {"at": 213280, "to": "mm"}, - "name": "GRBM_SE1_PERFCOUNTER_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 165648, "to": "mm"}, + "name": "SPI_SHADER_Z_FORMAT", + "type_ref": "SPI_SHADER_Z_FORMAT" }, { "chips": ["gfx10"], - "map": {"at": 213276, "to": "mm"}, - "name": "GRBM_SE1_PERFCOUNTER_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 165652, "to": "mm"}, + "name": "SPI_SHADER_COL_FORMAT", + "type_ref": "SPI_SHADER_COL_FORMAT" }, { "chips": ["gfx10"], - "map": {"at": 221452, "to": "mm"}, - "name": "GRBM_SE1_PERFCOUNTER_SELECT", - "type_ref": "GRBM_SE1_PERFCOUNTER_SELECT" + "map": {"at": 165716, "to": "mm"}, + "name": "SX_PS_DOWNCONVERT", + "type_ref": "SX_PS_DOWNCONVERT" }, { "chips": ["gfx10"], - "map": {"at": 213288, "to": "mm"}, - "name": "GRBM_SE2_PERFCOUNTER_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 165720, "to": "mm"}, + "name": "SX_BLEND_OPT_EPSILON", + "type_ref": "SX_BLEND_OPT_EPSILON" }, { "chips": ["gfx10"], - "map": {"at": 213284, "to": "mm"}, - "name": "GRBM_SE2_PERFCOUNTER_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 165724, "to": "mm"}, + "name": "SX_BLEND_OPT_CONTROL", + "type_ref": "SX_BLEND_OPT_CONTROL" }, { "chips": ["gfx10"], - "map": {"at": 221456, "to": "mm"}, - "name": "GRBM_SE2_PERFCOUNTER_SELECT", - "type_ref": "GRBM_SE2_PERFCOUNTER_SELECT" + "map": {"at": 165728, "to": "mm"}, + "name": "SX_MRT0_BLEND_OPT", + "type_ref": "SX_MRT0_BLEND_OPT" }, { "chips": ["gfx10"], - "map": {"at": 213296, "to": "mm"}, - "name": "GRBM_SE3_PERFCOUNTER_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 165732, "to": "mm"}, + "name": "SX_MRT1_BLEND_OPT", + "type_ref": "SX_MRT0_BLEND_OPT" }, { "chips": ["gfx10"], - "map": {"at": 213292, "to": "mm"}, - "name": "GRBM_SE3_PERFCOUNTER_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 165736, "to": "mm"}, + "name": "SX_MRT2_BLEND_OPT", + "type_ref": "SX_MRT0_BLEND_OPT" }, { "chips": ["gfx10"], - "map": {"at": 221460, "to": "mm"}, - "name": "GRBM_SE3_PERFCOUNTER_SELECT", - "type_ref": "GRBM_SE3_PERFCOUNTER_SELECT" + "map": {"at": 165740, "to": "mm"}, + "name": "SX_MRT3_BLEND_OPT", + "type_ref": "SX_MRT0_BLEND_OPT" }, { "chips": ["gfx10"], - "map": {"at": 196864, "to": "mm"}, - "name": "GUI_SCRATCH_REG0", - "type_ref": "SCRATCH_REG0" + "map": {"at": 165744, "to": "mm"}, + "name": "SX_MRT4_BLEND_OPT", + "type_ref": "SX_MRT0_BLEND_OPT" }, { "chips": ["gfx10"], - "map": {"at": 196868, "to": "mm"}, - "name": "GUI_SCRATCH_REG1", - "type_ref": "SCRATCH_REG1" + "map": {"at": 165748, "to": "mm"}, + "name": "SX_MRT5_BLEND_OPT", + "type_ref": "SX_MRT0_BLEND_OPT" }, { "chips": ["gfx10"], - "map": {"at": 196872, "to": "mm"}, - "name": "GUI_SCRATCH_REG2", - "type_ref": "SCRATCH_REG2" + "map": {"at": 165752, "to": "mm"}, + "name": "SX_MRT6_BLEND_OPT", + "type_ref": "SX_MRT0_BLEND_OPT" }, { "chips": ["gfx10"], - "map": {"at": 196876, "to": "mm"}, - "name": "GUI_SCRATCH_REG3", - "type_ref": "SCRATCH_REG3" + "map": {"at": 165756, "to": "mm"}, + "name": "SX_MRT7_BLEND_OPT", + "type_ref": "SX_MRT0_BLEND_OPT" }, { "chips": ["gfx10"], - "map": {"at": 196880, "to": "mm"}, - "name": "GUI_SCRATCH_REG4", - "type_ref": "SCRATCH_REG4" + "map": {"at": 165760, "to": "mm"}, + "name": "CB_BLEND0_CONTROL", + "type_ref": "CB_BLEND0_CONTROL" }, { "chips": ["gfx10"], - "map": {"at": 196884, "to": "mm"}, - "name": "GUI_SCRATCH_REG5", - "type_ref": "SCRATCH_REG5" + "map": {"at": 165764, "to": "mm"}, + "name": "CB_BLEND1_CONTROL", + "type_ref": "CB_BLEND0_CONTROL" }, { "chips": ["gfx10"], - "map": {"at": 196888, "to": "mm"}, - "name": "GUI_SCRATCH_REG6", - "type_ref": "SCRATCH_REG6" + "map": {"at": 165768, "to": "mm"}, + "name": "CB_BLEND2_CONTROL", + "type_ref": "CB_BLEND0_CONTROL" }, { "chips": ["gfx10"], - "map": {"at": 196892, "to": "mm"}, - "name": "GUI_SCRATCH_REG7", - "type_ref": "SCRATCH_REG7" + "map": {"at": 165772, "to": "mm"}, + "name": "CB_BLEND3_CONTROL", + "type_ref": "CB_BLEND0_CONTROL" }, { "chips": ["gfx10"], - "map": {"at": 166512, "to": "mm"}, - "name": "IA_ENHANCE", - "type_ref": "IA_ENHANCE" + "map": {"at": 165776, "to": "mm"}, + "name": "CB_BLEND4_CONTROL", + "type_ref": "CB_BLEND0_CONTROL" }, { "chips": ["gfx10"], - "map": {"at": 166568, "to": "mm"}, - "name": "IA_MULTI_VGT_PARAM", - "type_ref": "IA_MULTI_VGT_PARAM" + "map": {"at": 165780, "to": "mm"}, + "name": "CB_BLEND5_CONTROL", + "type_ref": "CB_BLEND0_CONTROL" }, { "chips": ["gfx10"], - "map": {"at": 199008, "to": "mm"}, - "name": "IA_MULTI_VGT_PARAM_PIPED", - "type_ref": "IA_MULTI_VGT_PARAM_PIPED" + "map": {"at": 165784, "to": "mm"}, + "name": "CB_BLEND6_CONTROL", + "type_ref": "CB_BLEND0_CONTROL" }, { "chips": ["gfx10"], - "map": {"at": 165904, "to": "mm"}, - "name": "PA_CL_CLIP_CNTL", - "type_ref": "PA_CL_CLIP_CNTL" + "map": {"at": 165788, "to": "mm"}, + "name": "CB_BLEND7_CONTROL", + "type_ref": "CB_BLEND0_CONTROL" }, { "chips": ["gfx10"], - "map": {"at": 166896, "to": "mm"}, - "name": "PA_CL_GB_HORZ_CLIP_ADJ", - "type_ref": "PA_CL_GB_VERT_CLIP_ADJ" + "map": {"at": 165836, "to": "mm"}, + "name": "CS_COPY_STATE", + "type_ref": "CS_COPY_STATE" }, { "chips": ["gfx10"], - "map": {"at": 166900, "to": "mm"}, - "name": "PA_CL_GB_HORZ_DISC_ADJ", - "type_ref": "PA_CL_GB_VERT_CLIP_ADJ" + "map": {"at": 165840, "to": "mm"}, + "name": "GFX_COPY_STATE", + "type_ref": "CS_COPY_STATE" }, { "chips": ["gfx10"], - "map": {"at": 166888, "to": "mm"}, - "name": "PA_CL_GB_VERT_CLIP_ADJ", - "type_ref": "PA_CL_GB_VERT_CLIP_ADJ" + "map": {"at": 165844, "to": "mm"}, + "name": "PA_CL_POINT_X_RAD", + "type_ref": "PA_CL_UCP_0_X" }, { "chips": ["gfx10"], - "map": {"at": 166892, "to": "mm"}, - "name": "PA_CL_GB_VERT_DISC_ADJ", - "type_ref": "PA_CL_GB_VERT_CLIP_ADJ" + "map": {"at": 165848, "to": "mm"}, + "name": "PA_CL_POINT_Y_RAD", + "type_ref": "PA_CL_UCP_0_X" }, { "chips": ["gfx10"], - "map": {"at": 165920, "to": "mm"}, - "name": "PA_CL_NANINF_CNTL", - "type_ref": "PA_CL_NANINF_CNTL" + "map": {"at": 165852, "to": "mm"}, + "name": "PA_CL_POINT_SIZE", + "type_ref": "PA_CL_UCP_0_X" }, { "chips": ["gfx10"], - "map": {"at": 165944, "to": "mm"}, - "name": "PA_CL_NGG_CNTL", - "type_ref": "PA_CL_NGG_CNTL" + "map": {"at": 165856, "to": "mm"}, + "name": "PA_CL_POINT_CULL_RAD", + "type_ref": "PA_CL_UCP_0_X" }, { "chips": ["gfx10"], - "map": {"at": 165940, "to": "mm"}, - "name": "PA_CL_OBJPRIM_ID_CNTL", - "type_ref": "PA_CL_OBJPRIM_ID_CNTL" + "map": {"at": 165860, "to": "mm"}, + "name": "VGT_DMA_BASE_HI", + "type_ref": "VGT_DMA_BASE_HI" }, { "chips": ["gfx10"], - "map": {"at": 165856, "to": "mm"}, - "name": "PA_CL_POINT_CULL_RAD", - "type_ref": "PA_CL_GB_VERT_CLIP_ADJ" + "map": {"at": 165864, "to": "mm"}, + "name": "VGT_DMA_BASE", + "type_ref": "VGT_DMA_BASE" }, { "chips": ["gfx10"], - "map": {"at": 165852, "to": "mm"}, - "name": "PA_CL_POINT_SIZE", - "type_ref": "PA_CL_GB_VERT_CLIP_ADJ" + "map": {"at": 165872, "to": "mm"}, + "name": "VGT_DRAW_INITIATOR", + "type_ref": "VGT_DRAW_INITIATOR" }, { "chips": ["gfx10"], - "map": {"at": 165844, "to": "mm"}, - "name": "PA_CL_POINT_X_RAD", - "type_ref": "PA_CL_GB_VERT_CLIP_ADJ" + "map": {"at": 165876, "to": "mm"}, + "name": "VGT_IMMED_DATA", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 165848, "to": "mm"}, - "name": "PA_CL_POINT_Y_RAD", - "type_ref": "PA_CL_GB_VERT_CLIP_ADJ" + "map": {"at": 165880, "to": "mm"}, + "name": "VGT_EVENT_ADDRESS_REG", + "type_ref": "VGT_EVENT_ADDRESS_REG" }, { "chips": ["gfx10"], - "map": {"at": 165404, "to": "mm"}, - "name": "PA_CL_PROG_NEAR_CLIP_Z", - "type_ref": "PA_CL_GB_VERT_CLIP_ADJ" + "map": {"at": 165884, "to": "mm"}, + "name": "GE_MAX_OUTPUT_PER_SUBGROUP", + "type_ref": "GE_MAX_OUTPUT_PER_SUBGROUP" }, { "chips": ["gfx10"], - "map": {"at": 165320, "to": "mm"}, - "name": "PA_CL_UCP_0_W", - "type_ref": "PA_CL_GB_VERT_CLIP_ADJ" + "map": {"at": 165888, "to": "mm"}, + "name": "DB_DEPTH_CONTROL", + "type_ref": "DB_DEPTH_CONTROL" }, { "chips": ["gfx10"], - "map": {"at": 165308, "to": "mm"}, - "name": "PA_CL_UCP_0_X", - "type_ref": "PA_CL_GB_VERT_CLIP_ADJ" + "map": {"at": 165892, "to": "mm"}, + "name": "DB_EQAA", + "type_ref": "DB_EQAA" }, { "chips": ["gfx10"], - "map": {"at": 165312, "to": "mm"}, - "name": "PA_CL_UCP_0_Y", - "type_ref": "PA_CL_GB_VERT_CLIP_ADJ" + "map": {"at": 165896, "to": "mm"}, + "name": "CB_COLOR_CONTROL", + "type_ref": "CB_COLOR_CONTROL" }, { "chips": ["gfx10"], - "map": {"at": 165316, "to": "mm"}, - "name": "PA_CL_UCP_0_Z", - "type_ref": "PA_CL_GB_VERT_CLIP_ADJ" + "map": {"at": 165900, "to": "mm"}, + "name": "DB_SHADER_CONTROL", + "type_ref": "DB_SHADER_CONTROL" }, { "chips": ["gfx10"], - "map": {"at": 165336, "to": "mm"}, - "name": "PA_CL_UCP_1_W", - "type_ref": "PA_CL_GB_VERT_CLIP_ADJ" + "map": {"at": 165904, "to": "mm"}, + "name": "PA_CL_CLIP_CNTL", + "type_ref": "PA_CL_CLIP_CNTL" }, { "chips": ["gfx10"], - "map": {"at": 165324, "to": "mm"}, - "name": "PA_CL_UCP_1_X", - "type_ref": "PA_CL_GB_VERT_CLIP_ADJ" + "map": {"at": 165908, "to": "mm"}, + "name": "PA_SU_SC_MODE_CNTL", + "type_ref": "PA_SU_SC_MODE_CNTL" }, { "chips": ["gfx10"], - "map": {"at": 165328, "to": "mm"}, - "name": "PA_CL_UCP_1_Y", - "type_ref": "PA_CL_GB_VERT_CLIP_ADJ" + "map": {"at": 165912, "to": "mm"}, + "name": "PA_CL_VTE_CNTL", + "type_ref": "PA_CL_VTE_CNTL" }, { "chips": ["gfx10"], - "map": {"at": 165332, "to": "mm"}, - "name": "PA_CL_UCP_1_Z", - "type_ref": "PA_CL_GB_VERT_CLIP_ADJ" + "map": {"at": 165916, "to": "mm"}, + "name": "PA_CL_VS_OUT_CNTL", + "type_ref": "PA_CL_VS_OUT_CNTL" }, { "chips": ["gfx10"], - "map": {"at": 165352, "to": "mm"}, - "name": "PA_CL_UCP_2_W", - "type_ref": "PA_CL_GB_VERT_CLIP_ADJ" + "map": {"at": 165920, "to": "mm"}, + "name": "PA_CL_NANINF_CNTL", + "type_ref": "PA_CL_NANINF_CNTL" }, { "chips": ["gfx10"], - "map": {"at": 165340, "to": "mm"}, - "name": "PA_CL_UCP_2_X", - "type_ref": "PA_CL_GB_VERT_CLIP_ADJ" + "map": {"at": 165924, "to": "mm"}, + "name": "PA_SU_LINE_STIPPLE_CNTL", + "type_ref": "PA_SU_LINE_STIPPLE_CNTL" }, { "chips": ["gfx10"], - "map": {"at": 165344, "to": "mm"}, - "name": "PA_CL_UCP_2_Y", - "type_ref": "PA_CL_GB_VERT_CLIP_ADJ" + "map": {"at": 165928, "to": "mm"}, + "name": "PA_SU_LINE_STIPPLE_SCALE", + "type_ref": "PA_SU_LINE_STIPPLE_SCALE" }, { "chips": ["gfx10"], - "map": {"at": 165348, "to": "mm"}, - "name": "PA_CL_UCP_2_Z", - "type_ref": "PA_CL_GB_VERT_CLIP_ADJ" + "map": {"at": 165932, "to": "mm"}, + "name": "PA_SU_PRIM_FILTER_CNTL", + "type_ref": "PA_SU_PRIM_FILTER_CNTL" }, { "chips": ["gfx10"], - "map": {"at": 165368, "to": "mm"}, - "name": "PA_CL_UCP_3_W", - "type_ref": "PA_CL_GB_VERT_CLIP_ADJ" + "map": {"at": 165936, "to": "mm"}, + "name": "PA_SU_SMALL_PRIM_FILTER_CNTL", + "type_ref": "PA_SU_SMALL_PRIM_FILTER_CNTL" }, { "chips": ["gfx10"], - "map": {"at": 165356, "to": "mm"}, - "name": "PA_CL_UCP_3_X", - "type_ref": "PA_CL_GB_VERT_CLIP_ADJ" + "map": {"at": 165940, "to": "mm"}, + "name": "PA_CL_OBJPRIM_ID_CNTL", + "type_ref": "PA_CL_OBJPRIM_ID_CNTL" }, { "chips": ["gfx10"], - "map": {"at": 165360, "to": "mm"}, - "name": "PA_CL_UCP_3_Y", - "type_ref": "PA_CL_GB_VERT_CLIP_ADJ" + "map": {"at": 165944, "to": "mm"}, + "name": "PA_CL_NGG_CNTL", + "type_ref": "PA_CL_NGG_CNTL" }, { "chips": ["gfx10"], - "map": {"at": 165364, "to": "mm"}, - "name": "PA_CL_UCP_3_Z", - "type_ref": "PA_CL_GB_VERT_CLIP_ADJ" + "map": {"at": 165948, "to": "mm"}, + "name": "PA_SU_OVER_RASTERIZATION_CNTL", + "type_ref": "PA_SU_OVER_RASTERIZATION_CNTL" }, { "chips": ["gfx10"], - "map": {"at": 165384, "to": "mm"}, - "name": "PA_CL_UCP_4_W", - "type_ref": "PA_CL_GB_VERT_CLIP_ADJ" + "map": {"at": 165952, "to": "mm"}, + "name": "PA_STEREO_CNTL", + "type_ref": "PA_STEREO_CNTL" }, { "chips": ["gfx10"], - "map": {"at": 165372, "to": "mm"}, - "name": "PA_CL_UCP_4_X", - "type_ref": "PA_CL_GB_VERT_CLIP_ADJ" + "map": {"at": 165956, "to": "mm"}, + "name": "PA_STATE_STEREO_X", + "type_ref": "PA_STATE_STEREO_X" }, { "chips": ["gfx10"], - "map": {"at": 165376, "to": "mm"}, - "name": "PA_CL_UCP_4_Y", - "type_ref": "PA_CL_GB_VERT_CLIP_ADJ" + "map": {"at": 166400, "to": "mm"}, + "name": "PA_SU_POINT_SIZE", + "type_ref": "PA_SU_POINT_SIZE" }, { "chips": ["gfx10"], - "map": {"at": 165380, "to": "mm"}, - "name": "PA_CL_UCP_4_Z", - "type_ref": "PA_CL_GB_VERT_CLIP_ADJ" + "map": {"at": 166404, "to": "mm"}, + "name": "PA_SU_POINT_MINMAX", + "type_ref": "PA_SU_POINT_MINMAX" }, { "chips": ["gfx10"], - "map": {"at": 165400, "to": "mm"}, - "name": "PA_CL_UCP_5_W", - "type_ref": "PA_CL_GB_VERT_CLIP_ADJ" + "map": {"at": 166408, "to": "mm"}, + "name": "PA_SU_LINE_CNTL", + "type_ref": "PA_SU_LINE_CNTL" }, { "chips": ["gfx10"], - "map": {"at": 165388, "to": "mm"}, - "name": "PA_CL_UCP_5_X", - "type_ref": "PA_CL_GB_VERT_CLIP_ADJ" + "map": {"at": 166412, "to": "mm"}, + "name": "PA_SC_LINE_STIPPLE", + "type_ref": "PA_SC_LINE_STIPPLE" }, { "chips": ["gfx10"], - "map": {"at": 165392, "to": "mm"}, - "name": "PA_CL_UCP_5_Y", - "type_ref": "PA_CL_GB_VERT_CLIP_ADJ" + "map": {"at": 166416, "to": "mm"}, + "name": "VGT_OUTPUT_PATH_CNTL", + "type_ref": "VGT_OUTPUT_PATH_CNTL" }, { "chips": ["gfx10"], - "map": {"at": 165396, "to": "mm"}, - "name": "PA_CL_UCP_5_Z", - "type_ref": "PA_CL_GB_VERT_CLIP_ADJ" + "map": {"at": 166420, "to": "mm"}, + "name": "VGT_HOS_CNTL", + "type_ref": "VGT_HOS_CNTL" }, { "chips": ["gfx10"], - "map": {"at": 164928, "to": "mm"}, - "name": "PA_CL_VPORT_XOFFSET", - "type_ref": "PA_CL_VPORT_XOFFSET" + "map": {"at": 166424, "to": "mm"}, + "name": "VGT_HOS_MAX_TESS_LEVEL", + "type_ref": "VGT_HOS_MAX_TESS_LEVEL" }, { "chips": ["gfx10"], - "map": {"at": 164952, "to": "mm"}, - "name": "PA_CL_VPORT_XOFFSET_1", - "type_ref": "PA_CL_VPORT_XOFFSET" + "map": {"at": 166428, "to": "mm"}, + "name": "VGT_HOS_MIN_TESS_LEVEL", + "type_ref": "VGT_HOS_MIN_TESS_LEVEL" }, { "chips": ["gfx10"], - "map": {"at": 165168, "to": "mm"}, - "name": "PA_CL_VPORT_XOFFSET_10", - "type_ref": "PA_CL_VPORT_XOFFSET" + "map": {"at": 166432, "to": "mm"}, + "name": "VGT_HOS_REUSE_DEPTH", + "type_ref": "VGT_HOS_REUSE_DEPTH" }, { "chips": ["gfx10"], - "map": {"at": 165192, "to": "mm"}, - "name": "PA_CL_VPORT_XOFFSET_11", - "type_ref": "PA_CL_VPORT_XOFFSET" + "map": {"at": 166436, "to": "mm"}, + "name": "VGT_GROUP_PRIM_TYPE", + "type_ref": "VGT_GROUP_PRIM_TYPE" }, { "chips": ["gfx10"], - "map": {"at": 165216, "to": "mm"}, - "name": "PA_CL_VPORT_XOFFSET_12", - "type_ref": "PA_CL_VPORT_XOFFSET" + "map": {"at": 166440, "to": "mm"}, + "name": "VGT_GROUP_FIRST_DECR", + "type_ref": "VGT_GROUP_FIRST_DECR" }, { "chips": ["gfx10"], - "map": {"at": 165240, "to": "mm"}, - "name": "PA_CL_VPORT_XOFFSET_13", - "type_ref": "PA_CL_VPORT_XOFFSET" + "map": {"at": 166444, "to": "mm"}, + "name": "VGT_GROUP_DECR", + "type_ref": "VGT_GROUP_DECR" }, { "chips": ["gfx10"], - "map": {"at": 165264, "to": "mm"}, - "name": "PA_CL_VPORT_XOFFSET_14", - "type_ref": "PA_CL_VPORT_XOFFSET" + "map": {"at": 166448, "to": "mm"}, + "name": "VGT_GROUP_VECT_0_CNTL", + "type_ref": "VGT_GROUP_VECT_0_CNTL" + }, + { + "chips": ["gfx10"], + "map": {"at": 166452, "to": "mm"}, + "name": "VGT_GROUP_VECT_1_CNTL", + "type_ref": "VGT_GROUP_VECT_0_CNTL" + }, + { + "chips": ["gfx10"], + "map": {"at": 166456, "to": "mm"}, + "name": "VGT_GROUP_VECT_0_FMT_CNTL", + "type_ref": "VGT_GROUP_VECT_0_FMT_CNTL" + }, + { + "chips": ["gfx10"], + "map": {"at": 166460, "to": "mm"}, + "name": "VGT_GROUP_VECT_1_FMT_CNTL", + "type_ref": "VGT_GROUP_VECT_0_FMT_CNTL" + }, + { + "chips": ["gfx10"], + "map": {"at": 166464, "to": "mm"}, + "name": "VGT_GS_MODE", + "type_ref": "VGT_GS_MODE" + }, + { + "chips": ["gfx10"], + "map": {"at": 166468, "to": "mm"}, + "name": "VGT_GS_ONCHIP_CNTL", + "type_ref": "VGT_GS_ONCHIP_CNTL" + }, + { + "chips": ["gfx10"], + "map": {"at": 166472, "to": "mm"}, + "name": "PA_SC_MODE_CNTL_0", + "type_ref": "PA_SC_MODE_CNTL_0" + }, + { + "chips": ["gfx10"], + "map": {"at": 166476, "to": "mm"}, + "name": "PA_SC_MODE_CNTL_1", + "type_ref": "PA_SC_MODE_CNTL_1" + }, + { + "chips": ["gfx10"], + "map": {"at": 166480, "to": "mm"}, + "name": "VGT_ENHANCE", + "type_ref": "VGT_ENHANCE" + }, + { + "chips": ["gfx10"], + "map": {"at": 166484, "to": "mm"}, + "name": "VGT_GS_PER_ES", + "type_ref": "VGT_GS_PER_ES" + }, + { + "chips": ["gfx10"], + "map": {"at": 166488, "to": "mm"}, + "name": "VGT_ES_PER_GS", + "type_ref": "VGT_ES_PER_GS" + }, + { + "chips": ["gfx10"], + "map": {"at": 166492, "to": "mm"}, + "name": "VGT_GS_PER_VS", + "type_ref": "VGT_GS_PER_VS" + }, + { + "chips": ["gfx10"], + "map": {"at": 166496, "to": "mm"}, + "name": "VGT_GSVS_RING_OFFSET_1", + "type_ref": "VGT_GSVS_RING_OFFSET_1" + }, + { + "chips": ["gfx10"], + "map": {"at": 166500, "to": "mm"}, + "name": "VGT_GSVS_RING_OFFSET_2", + "type_ref": "VGT_GSVS_RING_OFFSET_1" + }, + { + "chips": ["gfx10"], + "map": {"at": 166504, "to": "mm"}, + "name": "VGT_GSVS_RING_OFFSET_3", + "type_ref": "VGT_GSVS_RING_OFFSET_1" + }, + { + "chips": ["gfx10"], + "map": {"at": 166508, "to": "mm"}, + "name": "VGT_GS_OUT_PRIM_TYPE", + "type_ref": "VGT_GS_OUT_PRIM_TYPE" + }, + { + "chips": ["gfx10"], + "map": {"at": 166512, "to": "mm"}, + "name": "IA_ENHANCE", + "type_ref": "VGT_ENHANCE" + }, + { + "chips": ["gfx10"], + "map": {"at": 166516, "to": "mm"}, + "name": "VGT_DMA_SIZE", + "type_ref": "VGT_DMA_SIZE" + }, + { + "chips": ["gfx10"], + "map": {"at": 166520, "to": "mm"}, + "name": "VGT_DMA_MAX_SIZE", + "type_ref": "VGT_DMA_MAX_SIZE" + }, + { + "chips": ["gfx10"], + "map": {"at": 166524, "to": "mm"}, + "name": "VGT_DMA_INDEX_TYPE", + "type_ref": "VGT_DMA_INDEX_TYPE" + }, + { + "chips": ["gfx10"], + "map": {"at": 166528, "to": "mm"}, + "name": "WD_ENHANCE", + "type_ref": "VGT_ENHANCE" + }, + { + "chips": ["gfx10"], + "map": {"at": 166532, "to": "mm"}, + "name": "VGT_PRIMITIVEID_EN", + "type_ref": "VGT_PRIMITIVEID_EN" + }, + { + "chips": ["gfx10"], + "map": {"at": 166536, "to": "mm"}, + "name": "VGT_DMA_NUM_INSTANCES", + "type_ref": "VGT_DMA_NUM_INSTANCES" + }, + { + "chips": ["gfx10"], + "map": {"at": 166540, "to": "mm"}, + "name": "VGT_PRIMITIVEID_RESET", + "type_ref": "VGT_PRIMITIVEID_RESET" + }, + { + "chips": ["gfx10"], + "map": {"at": 166544, "to": "mm"}, + "name": "VGT_EVENT_INITIATOR", + "type_ref": "VGT_EVENT_INITIATOR" + }, + { + "chips": ["gfx10"], + "map": {"at": 166548, "to": "mm"}, + "name": "VGT_MULTI_PRIM_IB_RESET_EN", + "type_ref": "VGT_MULTI_PRIM_IB_RESET_EN" + }, + { + "chips": ["gfx10"], + "map": {"at": 166552, "to": "mm"}, + "name": "VGT_DRAW_PAYLOAD_CNTL", + "type_ref": "VGT_DRAW_PAYLOAD_CNTL" + }, + { + "chips": ["gfx10"], + "map": {"at": 166560, "to": "mm"}, + "name": "VGT_INSTANCE_STEP_RATE_0", + "type_ref": "VGT_INSTANCE_STEP_RATE_0" + }, + { + "chips": ["gfx10"], + "map": {"at": 166564, "to": "mm"}, + "name": "VGT_INSTANCE_STEP_RATE_1", + "type_ref": "VGT_INSTANCE_STEP_RATE_0" + }, + { + "chips": ["gfx10"], + "map": {"at": 166568, "to": "mm"}, + "name": "IA_MULTI_VGT_PARAM", + "type_ref": "IA_MULTI_VGT_PARAM" + }, + { + "chips": ["gfx10"], + "map": {"at": 166572, "to": "mm"}, + "name": "VGT_ESGS_RING_ITEMSIZE", + "type_ref": "VGT_ESGS_RING_ITEMSIZE" + }, + { + "chips": ["gfx10"], + "map": {"at": 166576, "to": "mm"}, + "name": "VGT_GSVS_RING_ITEMSIZE", + "type_ref": "VGT_ESGS_RING_ITEMSIZE" + }, + { + "chips": ["gfx10"], + "map": {"at": 166580, "to": "mm"}, + "name": "VGT_REUSE_OFF", + "type_ref": "VGT_REUSE_OFF" + }, + { + "chips": ["gfx10"], + "map": {"at": 166584, "to": "mm"}, + "name": "VGT_VTX_CNT_EN", + "type_ref": "VGT_VTX_CNT_EN" + }, + { + "chips": ["gfx10"], + "map": {"at": 166588, "to": "mm"}, + "name": "DB_HTILE_SURFACE", + "type_ref": "DB_HTILE_SURFACE" + }, + { + "chips": ["gfx10"], + "map": {"at": 166592, "to": "mm"}, + "name": "DB_SRESULTS_COMPARE_STATE0", + "type_ref": "DB_SRESULTS_COMPARE_STATE0" + }, + { + "chips": ["gfx10"], + "map": {"at": 166596, "to": "mm"}, + "name": "DB_SRESULTS_COMPARE_STATE1", + "type_ref": "DB_SRESULTS_COMPARE_STATE1" + }, + { + "chips": ["gfx10"], + "map": {"at": 166600, "to": "mm"}, + "name": "DB_PRELOAD_CONTROL", + "type_ref": "DB_PRELOAD_CONTROL" + }, + { + "chips": ["gfx10"], + "map": {"at": 166608, "to": "mm"}, + "name": "VGT_STRMOUT_BUFFER_SIZE_0", + "type_ref": "COMPUTE_DIM_X" + }, + { + "chips": ["gfx10"], + "map": {"at": 166612, "to": "mm"}, + "name": "VGT_STRMOUT_VTX_STRIDE_0", + "type_ref": "VGT_STRMOUT_VTX_STRIDE_0" + }, + { + "chips": ["gfx10"], + "map": {"at": 166620, "to": "mm"}, + "name": "VGT_STRMOUT_BUFFER_OFFSET_0", + "type_ref": "VGT_STRMOUT_BUFFER_OFFSET_0" + }, + { + "chips": ["gfx10"], + "map": {"at": 166624, "to": "mm"}, + "name": "VGT_STRMOUT_BUFFER_SIZE_1", + "type_ref": "COMPUTE_DIM_X" + }, + { + "chips": ["gfx10"], + "map": {"at": 166628, "to": "mm"}, + "name": "VGT_STRMOUT_VTX_STRIDE_1", + "type_ref": "VGT_STRMOUT_VTX_STRIDE_0" + }, + { + "chips": ["gfx10"], + "map": {"at": 166636, "to": "mm"}, + "name": "VGT_STRMOUT_BUFFER_OFFSET_1", + "type_ref": "VGT_STRMOUT_BUFFER_OFFSET_0" + }, + { + "chips": ["gfx10"], + "map": {"at": 166640, "to": "mm"}, + "name": "VGT_STRMOUT_BUFFER_SIZE_2", + "type_ref": "COMPUTE_DIM_X" + }, + { + "chips": ["gfx10"], + "map": {"at": 166644, "to": "mm"}, + "name": "VGT_STRMOUT_VTX_STRIDE_2", + "type_ref": "VGT_STRMOUT_VTX_STRIDE_0" + }, + { + "chips": ["gfx10"], + "map": {"at": 166652, "to": "mm"}, + "name": "VGT_STRMOUT_BUFFER_OFFSET_2", + "type_ref": "VGT_STRMOUT_BUFFER_OFFSET_0" + }, + { + "chips": ["gfx10"], + "map": {"at": 166656, "to": "mm"}, + "name": "VGT_STRMOUT_BUFFER_SIZE_3", + "type_ref": "COMPUTE_DIM_X" + }, + { + "chips": ["gfx10"], + "map": {"at": 166660, "to": "mm"}, + "name": "VGT_STRMOUT_VTX_STRIDE_3", + "type_ref": "VGT_STRMOUT_VTX_STRIDE_0" + }, + { + "chips": ["gfx10"], + "map": {"at": 166668, "to": "mm"}, + "name": "VGT_STRMOUT_BUFFER_OFFSET_3", + "type_ref": "VGT_STRMOUT_BUFFER_OFFSET_0" + }, + { + "chips": ["gfx10"], + "map": {"at": 166696, "to": "mm"}, + "name": "VGT_STRMOUT_DRAW_OPAQUE_OFFSET", + "type_ref": "VGT_STRMOUT_BUFFER_OFFSET_0" + }, + { + "chips": ["gfx10"], + "map": {"at": 166700, "to": "mm"}, + "name": "VGT_STRMOUT_DRAW_OPAQUE_BUFFER_FILLED_SIZE", + "type_ref": "COMPUTE_DIM_X" + }, + { + "chips": ["gfx10"], + "map": {"at": 166704, "to": "mm"}, + "name": "VGT_STRMOUT_DRAW_OPAQUE_VERTEX_STRIDE", + "type_ref": "VGT_STRMOUT_DRAW_OPAQUE_VERTEX_STRIDE" + }, + { + "chips": ["gfx10"], + "map": {"at": 166712, "to": "mm"}, + "name": "VGT_GS_MAX_VERT_OUT", + "type_ref": "VGT_GS_MAX_VERT_OUT" + }, + { + "chips": ["gfx10"], + "map": {"at": 166732, "to": "mm"}, + "name": "GE_NGG_SUBGRP_CNTL", + "type_ref": "GE_NGG_SUBGRP_CNTL" + }, + { + "chips": ["gfx10"], + "map": {"at": 166736, "to": "mm"}, + "name": "VGT_TESS_DISTRIBUTION", + "type_ref": "VGT_TESS_DISTRIBUTION" + }, + { + "chips": ["gfx10"], + "map": {"at": 166740, "to": "mm"}, + "name": "VGT_SHADER_STAGES_EN", + "type_ref": "VGT_SHADER_STAGES_EN" + }, + { + "chips": ["gfx10"], + "map": {"at": 166744, "to": "mm"}, + "name": "VGT_LS_HS_CONFIG", + "type_ref": "VGT_LS_HS_CONFIG" + }, + { + "chips": ["gfx10"], + "map": {"at": 166748, "to": "mm"}, + "name": "VGT_GS_VERT_ITEMSIZE", + "type_ref": "VGT_ESGS_RING_ITEMSIZE" + }, + { + "chips": ["gfx10"], + "map": {"at": 166752, "to": "mm"}, + "name": "VGT_GS_VERT_ITEMSIZE_1", + "type_ref": "VGT_ESGS_RING_ITEMSIZE" + }, + { + "chips": ["gfx10"], + "map": {"at": 166756, "to": "mm"}, + "name": "VGT_GS_VERT_ITEMSIZE_2", + "type_ref": "VGT_ESGS_RING_ITEMSIZE" + }, + { + "chips": ["gfx10"], + "map": {"at": 166760, "to": "mm"}, + "name": "VGT_GS_VERT_ITEMSIZE_3", + "type_ref": "VGT_ESGS_RING_ITEMSIZE" + }, + { + "chips": ["gfx10"], + "map": {"at": 166764, "to": "mm"}, + "name": "VGT_TF_PARAM", + "type_ref": "VGT_TF_PARAM" + }, + { + "chips": ["gfx10"], + "map": {"at": 166768, "to": "mm"}, + "name": "DB_ALPHA_TO_MASK", + "type_ref": "DB_ALPHA_TO_MASK" + }, + { + "chips": ["gfx10"], + "map": {"at": 166772, "to": "mm"}, + "name": "VGT_DISPATCH_DRAW_INDEX", + "type_ref": "VGT_DISPATCH_DRAW_INDEX" + }, + { + "chips": ["gfx10"], + "map": {"at": 166776, "to": "mm"}, + "name": "PA_SU_POLY_OFFSET_DB_FMT_CNTL", + "type_ref": "PA_SU_POLY_OFFSET_DB_FMT_CNTL" + }, + { + "chips": ["gfx10"], + "map": {"at": 166780, "to": "mm"}, + "name": "PA_SU_POLY_OFFSET_CLAMP", + "type_ref": "PA_SU_POLY_OFFSET_CLAMP" + }, + { + "chips": ["gfx10"], + "map": {"at": 166784, "to": "mm"}, + "name": "PA_SU_POLY_OFFSET_FRONT_SCALE", + "type_ref": "PA_SU_POLY_OFFSET_FRONT_SCALE" + }, + { + "chips": ["gfx10"], + "map": {"at": 166788, "to": "mm"}, + "name": "PA_SU_POLY_OFFSET_FRONT_OFFSET", + "type_ref": "VGT_STRMOUT_BUFFER_OFFSET_0" + }, + { + "chips": ["gfx10"], + "map": {"at": 166792, "to": "mm"}, + "name": "PA_SU_POLY_OFFSET_BACK_SCALE", + "type_ref": "PA_SU_POLY_OFFSET_FRONT_SCALE" + }, + { + "chips": ["gfx10"], + "map": {"at": 166796, "to": "mm"}, + "name": "PA_SU_POLY_OFFSET_BACK_OFFSET", + "type_ref": "VGT_STRMOUT_BUFFER_OFFSET_0" + }, + { + "chips": ["gfx10"], + "map": {"at": 166800, "to": "mm"}, + "name": "VGT_GS_INSTANCE_CNT", + "type_ref": "VGT_GS_INSTANCE_CNT" + }, + { + "chips": ["gfx10"], + "map": {"at": 166804, "to": "mm"}, + "name": "VGT_STRMOUT_CONFIG", + "type_ref": "VGT_STRMOUT_CONFIG" + }, + { + "chips": ["gfx10"], + "map": {"at": 166808, "to": "mm"}, + "name": "VGT_STRMOUT_BUFFER_CONFIG", + "type_ref": "VGT_STRMOUT_BUFFER_CONFIG" + }, + { + "chips": ["gfx10"], + "map": {"at": 166812, "to": "mm"}, + "name": "VGT_DMA_EVENT_INITIATOR", + "type_ref": "VGT_EVENT_INITIATOR" + }, + { + "chips": ["gfx10"], + "map": {"at": 166868, "to": "mm"}, + "name": "PA_SC_CENTROID_PRIORITY_0", + "type_ref": "PA_SC_CENTROID_PRIORITY_0" + }, + { + "chips": ["gfx10"], + "map": {"at": 166872, "to": "mm"}, + "name": "PA_SC_CENTROID_PRIORITY_1", + "type_ref": "PA_SC_CENTROID_PRIORITY_1" + }, + { + "chips": ["gfx10"], + "map": {"at": 166876, "to": "mm"}, + "name": "PA_SC_LINE_CNTL", + "type_ref": "PA_SC_LINE_CNTL" + }, + { + "chips": ["gfx10"], + "map": {"at": 166880, "to": "mm"}, + "name": "PA_SC_AA_CONFIG", + "type_ref": "PA_SC_AA_CONFIG" + }, + { + "chips": ["gfx10"], + "map": {"at": 166884, "to": "mm"}, + "name": "PA_SU_VTX_CNTL", + "type_ref": "PA_SU_VTX_CNTL" + }, + { + "chips": ["gfx10"], + "map": {"at": 166888, "to": "mm"}, + "name": "PA_CL_GB_VERT_CLIP_ADJ", + "type_ref": "PA_CL_UCP_0_X" + }, + { + "chips": ["gfx10"], + "map": {"at": 166892, "to": "mm"}, + "name": "PA_CL_GB_VERT_DISC_ADJ", + "type_ref": "PA_CL_UCP_0_X" + }, + { + "chips": ["gfx10"], + "map": {"at": 166896, "to": "mm"}, + "name": "PA_CL_GB_HORZ_CLIP_ADJ", + "type_ref": "PA_CL_UCP_0_X" + }, + { + "chips": ["gfx10"], + "map": {"at": 166900, "to": "mm"}, + "name": "PA_CL_GB_HORZ_DISC_ADJ", + "type_ref": "PA_CL_UCP_0_X" + }, + { + "chips": ["gfx10"], + "map": {"at": 166904, "to": "mm"}, + "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_0", + "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_0" + }, + { + "chips": ["gfx10"], + "map": {"at": 166908, "to": "mm"}, + "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_1", + "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_1" + }, + { + "chips": ["gfx10"], + "map": {"at": 166912, "to": "mm"}, + "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_2", + "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_2" + }, + { + "chips": ["gfx10"], + "map": {"at": 166916, "to": "mm"}, + "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_3", + "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_3" + }, + { + "chips": ["gfx10"], + "map": {"at": 166920, "to": "mm"}, + "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X1Y0_0", + "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_0" + }, + { + "chips": ["gfx10"], + "map": {"at": 166924, "to": "mm"}, + "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X1Y0_1", + "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_1" + }, + { + "chips": ["gfx10"], + "map": {"at": 166928, "to": "mm"}, + "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X1Y0_2", + "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_2" + }, + { + "chips": ["gfx10"], + "map": {"at": 166932, "to": "mm"}, + "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X1Y0_3", + "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_3" + }, + { + "chips": ["gfx10"], + "map": {"at": 166936, "to": "mm"}, + "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y1_0", + "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_0" + }, + { + "chips": ["gfx10"], + "map": {"at": 166940, "to": "mm"}, + "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y1_1", + "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_1" + }, + { + "chips": ["gfx10"], + "map": {"at": 166944, "to": "mm"}, + "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y1_2", + "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_2" + }, + { + "chips": ["gfx10"], + "map": {"at": 166948, "to": "mm"}, + "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y1_3", + "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_3" + }, + { + "chips": ["gfx10"], + "map": {"at": 166952, "to": "mm"}, + "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X1Y1_0", + "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_0" + }, + { + "chips": ["gfx10"], + "map": {"at": 166956, "to": "mm"}, + "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X1Y1_1", + "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_1" + }, + { + "chips": ["gfx10"], + "map": {"at": 166960, "to": "mm"}, + "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X1Y1_2", + "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_2" + }, + { + "chips": ["gfx10"], + "map": {"at": 166964, "to": "mm"}, + "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X1Y1_3", + "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_3" + }, + { + "chips": ["gfx10"], + "map": {"at": 166968, "to": "mm"}, + "name": "PA_SC_AA_MASK_X0Y0_X1Y0", + "type_ref": "PA_SC_AA_MASK_X0Y0_X1Y0" + }, + { + "chips": ["gfx10"], + "map": {"at": 166972, "to": "mm"}, + "name": "PA_SC_AA_MASK_X0Y1_X1Y1", + "type_ref": "PA_SC_AA_MASK_X0Y1_X1Y1" + }, + { + "chips": ["gfx10"], + "map": {"at": 166976, "to": "mm"}, + "name": "PA_SC_SHADER_CONTROL", + "type_ref": "PA_SC_SHADER_CONTROL" + }, + { + "chips": ["gfx10"], + "map": {"at": 166980, "to": "mm"}, + "name": "PA_SC_BINNER_CNTL_0", + "type_ref": "PA_SC_BINNER_CNTL_0" + }, + { + "chips": ["gfx10"], + "map": {"at": 166984, "to": "mm"}, + "name": "PA_SC_BINNER_CNTL_1", + "type_ref": "PA_SC_BINNER_CNTL_1" + }, + { + "chips": ["gfx10"], + "map": {"at": 166988, "to": "mm"}, + "name": "PA_SC_CONSERVATIVE_RASTERIZATION_CNTL", + "type_ref": "PA_SC_CONSERVATIVE_RASTERIZATION_CNTL" + }, + { + "chips": ["gfx10"], + "map": {"at": 166992, "to": "mm"}, + "name": "PA_SC_NGG_MODE_CNTL", + "type_ref": "PA_SC_NGG_MODE_CNTL" + }, + { + "chips": ["gfx10"], + "map": {"at": 167000, "to": "mm"}, + "name": "VGT_VERTEX_REUSE_BLOCK_CNTL", + "type_ref": "VGT_VERTEX_REUSE_BLOCK_CNTL" + }, + { + "chips": ["gfx10"], + "map": {"at": 167004, "to": "mm"}, + "name": "VGT_OUT_DEALLOC_CNTL", + "type_ref": "VGT_OUT_DEALLOC_CNTL" + }, + { + "chips": ["gfx10"], + "map": {"at": 167008, "to": "mm"}, + "name": "CB_COLOR0_BASE", + "type_ref": "DB_HTILE_DATA_BASE" + }, + { + "chips": ["gfx10"], + "map": {"at": 167012, "to": "mm"}, + "name": "CB_COLOR0_PITCH", + "type_ref": "CB_COLOR0_PITCH" + }, + { + "chips": ["gfx10"], + "map": {"at": 167016, "to": "mm"}, + "name": "CB_COLOR0_SLICE", + "type_ref": "CB_COLOR0_SLICE" + }, + { + "chips": ["gfx10"], + "map": {"at": 167020, "to": "mm"}, + "name": "CB_COLOR0_VIEW", + "type_ref": "CB_COLOR0_VIEW" + }, + { + "chips": ["gfx10"], + "map": {"at": 167024, "to": "mm"}, + "name": "CB_COLOR0_INFO", + "type_ref": "CB_COLOR0_INFO" + }, + { + "chips": ["gfx10"], + "map": {"at": 167028, "to": "mm"}, + "name": "CB_COLOR0_ATTRIB", + "type_ref": "CB_COLOR0_ATTRIB" }, { "chips": ["gfx10"], - "map": {"at": 165288, "to": "mm"}, - "name": "PA_CL_VPORT_XOFFSET_15", - "type_ref": "PA_CL_VPORT_XOFFSET" + "map": {"at": 167032, "to": "mm"}, + "name": "CB_COLOR0_DCC_CONTROL", + "type_ref": "CB_COLOR0_DCC_CONTROL" }, { "chips": ["gfx10"], - "map": {"at": 164976, "to": "mm"}, - "name": "PA_CL_VPORT_XOFFSET_2", - "type_ref": "PA_CL_VPORT_XOFFSET" + "map": {"at": 167036, "to": "mm"}, + "name": "CB_COLOR0_CMASK", + "type_ref": "DB_HTILE_DATA_BASE" }, { "chips": ["gfx10"], - "map": {"at": 165000, "to": "mm"}, - "name": "PA_CL_VPORT_XOFFSET_3", - "type_ref": "PA_CL_VPORT_XOFFSET" + "map": {"at": 167040, "to": "mm"}, + "name": "CB_COLOR0_CMASK_SLICE", + "type_ref": "CB_COLOR0_CMASK_SLICE" }, { "chips": ["gfx10"], - "map": {"at": 165024, "to": "mm"}, - "name": "PA_CL_VPORT_XOFFSET_4", - "type_ref": "PA_CL_VPORT_XOFFSET" + "map": {"at": 167044, "to": "mm"}, + "name": "CB_COLOR0_FMASK", + "type_ref": "DB_HTILE_DATA_BASE" }, { "chips": ["gfx10"], - "map": {"at": 165048, "to": "mm"}, - "name": "PA_CL_VPORT_XOFFSET_5", - "type_ref": "PA_CL_VPORT_XOFFSET" + "map": {"at": 167048, "to": "mm"}, + "name": "CB_COLOR0_FMASK_SLICE", + "type_ref": "CB_COLOR0_SLICE" }, { "chips": ["gfx10"], - "map": {"at": 165072, "to": "mm"}, - "name": "PA_CL_VPORT_XOFFSET_6", - "type_ref": "PA_CL_VPORT_XOFFSET" + "map": {"at": 167052, "to": "mm"}, + "name": "CB_COLOR0_CLEAR_WORD0", + "type_ref": "CB_COLOR0_CLEAR_WORD0" }, { "chips": ["gfx10"], - "map": {"at": 165096, "to": "mm"}, - "name": "PA_CL_VPORT_XOFFSET_7", - "type_ref": "PA_CL_VPORT_XOFFSET" + "map": {"at": 167056, "to": "mm"}, + "name": "CB_COLOR0_CLEAR_WORD1", + "type_ref": "CB_COLOR0_CLEAR_WORD1" }, { "chips": ["gfx10"], - "map": {"at": 165120, "to": "mm"}, - "name": "PA_CL_VPORT_XOFFSET_8", - "type_ref": "PA_CL_VPORT_XOFFSET" + "map": {"at": 167060, "to": "mm"}, + "name": "CB_COLOR0_DCC_BASE", + "type_ref": "DB_HTILE_DATA_BASE" }, { "chips": ["gfx10"], - "map": {"at": 165144, "to": "mm"}, - "name": "PA_CL_VPORT_XOFFSET_9", - "type_ref": "PA_CL_VPORT_XOFFSET" + "map": {"at": 167068, "to": "mm"}, + "name": "CB_COLOR1_BASE", + "type_ref": "DB_HTILE_DATA_BASE" }, { "chips": ["gfx10"], - "map": {"at": 164924, "to": "mm"}, - "name": "PA_CL_VPORT_XSCALE", - "type_ref": "PA_CL_VPORT_XSCALE" + "map": {"at": 167072, "to": "mm"}, + "name": "CB_COLOR1_PITCH", + "type_ref": "CB_COLOR0_PITCH" }, { "chips": ["gfx10"], - "map": {"at": 164948, "to": "mm"}, - "name": "PA_CL_VPORT_XSCALE_1", - "type_ref": "PA_CL_VPORT_XSCALE" + "map": {"at": 167076, "to": "mm"}, + "name": "CB_COLOR1_SLICE", + "type_ref": "CB_COLOR0_SLICE" }, { "chips": ["gfx10"], - "map": {"at": 165164, "to": "mm"}, - "name": "PA_CL_VPORT_XSCALE_10", - "type_ref": "PA_CL_VPORT_XSCALE" + "map": {"at": 167080, "to": "mm"}, + "name": "CB_COLOR1_VIEW", + "type_ref": "CB_COLOR0_VIEW" }, { "chips": ["gfx10"], - "map": {"at": 165188, "to": "mm"}, - "name": "PA_CL_VPORT_XSCALE_11", - "type_ref": "PA_CL_VPORT_XSCALE" + "map": {"at": 167084, "to": "mm"}, + "name": "CB_COLOR1_INFO", + "type_ref": "CB_COLOR0_INFO" }, { "chips": ["gfx10"], - "map": {"at": 165212, "to": "mm"}, - "name": "PA_CL_VPORT_XSCALE_12", - "type_ref": "PA_CL_VPORT_XSCALE" + "map": {"at": 167088, "to": "mm"}, + "name": "CB_COLOR1_ATTRIB", + "type_ref": "CB_COLOR0_ATTRIB" }, { "chips": ["gfx10"], - "map": {"at": 165236, "to": "mm"}, - "name": "PA_CL_VPORT_XSCALE_13", - "type_ref": "PA_CL_VPORT_XSCALE" + "map": {"at": 167092, "to": "mm"}, + "name": "CB_COLOR1_DCC_CONTROL", + "type_ref": "CB_COLOR0_DCC_CONTROL" }, { "chips": ["gfx10"], - "map": {"at": 165260, "to": "mm"}, - "name": "PA_CL_VPORT_XSCALE_14", - "type_ref": "PA_CL_VPORT_XSCALE" + "map": {"at": 167096, "to": "mm"}, + "name": "CB_COLOR1_CMASK", + "type_ref": "DB_HTILE_DATA_BASE" }, { "chips": ["gfx10"], - "map": {"at": 165284, "to": "mm"}, - "name": "PA_CL_VPORT_XSCALE_15", - "type_ref": "PA_CL_VPORT_XSCALE" + "map": {"at": 167100, "to": "mm"}, + "name": "CB_COLOR1_CMASK_SLICE", + "type_ref": "CB_COLOR0_CMASK_SLICE" }, { "chips": ["gfx10"], - "map": {"at": 164972, "to": "mm"}, - "name": "PA_CL_VPORT_XSCALE_2", - "type_ref": "PA_CL_VPORT_XSCALE" + "map": {"at": 167104, "to": "mm"}, + "name": "CB_COLOR1_FMASK", + "type_ref": "DB_HTILE_DATA_BASE" }, { "chips": ["gfx10"], - "map": {"at": 164996, "to": "mm"}, - "name": "PA_CL_VPORT_XSCALE_3", - "type_ref": "PA_CL_VPORT_XSCALE" + "map": {"at": 167108, "to": "mm"}, + "name": "CB_COLOR1_FMASK_SLICE", + "type_ref": "CB_COLOR0_SLICE" }, { "chips": ["gfx10"], - "map": {"at": 165020, "to": "mm"}, - "name": "PA_CL_VPORT_XSCALE_4", - "type_ref": "PA_CL_VPORT_XSCALE" + "map": {"at": 167112, "to": "mm"}, + "name": "CB_COLOR1_CLEAR_WORD0", + "type_ref": "CB_COLOR0_CLEAR_WORD0" }, { "chips": ["gfx10"], - "map": {"at": 165044, "to": "mm"}, - "name": "PA_CL_VPORT_XSCALE_5", - "type_ref": "PA_CL_VPORT_XSCALE" + "map": {"at": 167116, "to": "mm"}, + "name": "CB_COLOR1_CLEAR_WORD1", + "type_ref": "CB_COLOR0_CLEAR_WORD1" }, { "chips": ["gfx10"], - "map": {"at": 165068, "to": "mm"}, - "name": "PA_CL_VPORT_XSCALE_6", - "type_ref": "PA_CL_VPORT_XSCALE" + "map": {"at": 167120, "to": "mm"}, + "name": "CB_COLOR1_DCC_BASE", + "type_ref": "DB_HTILE_DATA_BASE" }, { "chips": ["gfx10"], - "map": {"at": 165092, "to": "mm"}, - "name": "PA_CL_VPORT_XSCALE_7", - "type_ref": "PA_CL_VPORT_XSCALE" + "map": {"at": 167128, "to": "mm"}, + "name": "CB_COLOR2_BASE", + "type_ref": "DB_HTILE_DATA_BASE" }, { "chips": ["gfx10"], - "map": {"at": 165116, "to": "mm"}, - "name": "PA_CL_VPORT_XSCALE_8", - "type_ref": "PA_CL_VPORT_XSCALE" + "map": {"at": 167132, "to": "mm"}, + "name": "CB_COLOR2_PITCH", + "type_ref": "CB_COLOR0_PITCH" }, { "chips": ["gfx10"], - "map": {"at": 165140, "to": "mm"}, - "name": "PA_CL_VPORT_XSCALE_9", - "type_ref": "PA_CL_VPORT_XSCALE" + "map": {"at": 167136, "to": "mm"}, + "name": "CB_COLOR2_SLICE", + "type_ref": "CB_COLOR0_SLICE" }, { "chips": ["gfx10"], - "map": {"at": 164936, "to": "mm"}, - "name": "PA_CL_VPORT_YOFFSET", - "type_ref": "PA_CL_VPORT_YOFFSET" + "map": {"at": 167140, "to": "mm"}, + "name": "CB_COLOR2_VIEW", + "type_ref": "CB_COLOR0_VIEW" }, { "chips": ["gfx10"], - "map": {"at": 164960, "to": "mm"}, - "name": "PA_CL_VPORT_YOFFSET_1", - "type_ref": "PA_CL_VPORT_YOFFSET" + "map": {"at": 167144, "to": "mm"}, + "name": "CB_COLOR2_INFO", + "type_ref": "CB_COLOR0_INFO" }, { "chips": ["gfx10"], - "map": {"at": 165176, "to": "mm"}, - "name": "PA_CL_VPORT_YOFFSET_10", - "type_ref": "PA_CL_VPORT_YOFFSET" + "map": {"at": 167148, "to": "mm"}, + "name": "CB_COLOR2_ATTRIB", + "type_ref": "CB_COLOR0_ATTRIB" }, { "chips": ["gfx10"], - "map": {"at": 165200, "to": "mm"}, - "name": "PA_CL_VPORT_YOFFSET_11", - "type_ref": "PA_CL_VPORT_YOFFSET" + "map": {"at": 167152, "to": "mm"}, + "name": "CB_COLOR2_DCC_CONTROL", + "type_ref": "CB_COLOR0_DCC_CONTROL" }, { "chips": ["gfx10"], - "map": {"at": 165224, "to": "mm"}, - "name": "PA_CL_VPORT_YOFFSET_12", - "type_ref": "PA_CL_VPORT_YOFFSET" + "map": {"at": 167156, "to": "mm"}, + "name": "CB_COLOR2_CMASK", + "type_ref": "DB_HTILE_DATA_BASE" }, { "chips": ["gfx10"], - "map": {"at": 165248, "to": "mm"}, - "name": "PA_CL_VPORT_YOFFSET_13", - "type_ref": "PA_CL_VPORT_YOFFSET" + "map": {"at": 167160, "to": "mm"}, + "name": "CB_COLOR2_CMASK_SLICE", + "type_ref": "CB_COLOR0_CMASK_SLICE" }, { "chips": ["gfx10"], - "map": {"at": 165272, "to": "mm"}, - "name": "PA_CL_VPORT_YOFFSET_14", - "type_ref": "PA_CL_VPORT_YOFFSET" + "map": {"at": 167164, "to": "mm"}, + "name": "CB_COLOR2_FMASK", + "type_ref": "DB_HTILE_DATA_BASE" }, { "chips": ["gfx10"], - "map": {"at": 165296, "to": "mm"}, - "name": "PA_CL_VPORT_YOFFSET_15", - "type_ref": "PA_CL_VPORT_YOFFSET" + "map": {"at": 167168, "to": "mm"}, + "name": "CB_COLOR2_FMASK_SLICE", + "type_ref": "CB_COLOR0_SLICE" }, { "chips": ["gfx10"], - "map": {"at": 164984, "to": "mm"}, - "name": "PA_CL_VPORT_YOFFSET_2", - "type_ref": "PA_CL_VPORT_YOFFSET" + "map": {"at": 167172, "to": "mm"}, + "name": "CB_COLOR2_CLEAR_WORD0", + "type_ref": "CB_COLOR0_CLEAR_WORD0" }, { "chips": ["gfx10"], - "map": {"at": 165008, "to": "mm"}, - "name": "PA_CL_VPORT_YOFFSET_3", - "type_ref": "PA_CL_VPORT_YOFFSET" + "map": {"at": 167176, "to": "mm"}, + "name": "CB_COLOR2_CLEAR_WORD1", + "type_ref": "CB_COLOR0_CLEAR_WORD1" }, { "chips": ["gfx10"], - "map": {"at": 165032, "to": "mm"}, - "name": "PA_CL_VPORT_YOFFSET_4", - "type_ref": "PA_CL_VPORT_YOFFSET" + "map": {"at": 167180, "to": "mm"}, + "name": "CB_COLOR2_DCC_BASE", + "type_ref": "DB_HTILE_DATA_BASE" }, { "chips": ["gfx10"], - "map": {"at": 165056, "to": "mm"}, - "name": "PA_CL_VPORT_YOFFSET_5", - "type_ref": "PA_CL_VPORT_YOFFSET" + "map": {"at": 167188, "to": "mm"}, + "name": "CB_COLOR3_BASE", + "type_ref": "DB_HTILE_DATA_BASE" }, { "chips": ["gfx10"], - "map": {"at": 165080, "to": "mm"}, - "name": "PA_CL_VPORT_YOFFSET_6", - "type_ref": "PA_CL_VPORT_YOFFSET" + "map": {"at": 167192, "to": "mm"}, + "name": "CB_COLOR3_PITCH", + "type_ref": "CB_COLOR0_PITCH" }, { "chips": ["gfx10"], - "map": {"at": 165104, "to": "mm"}, - "name": "PA_CL_VPORT_YOFFSET_7", - "type_ref": "PA_CL_VPORT_YOFFSET" + "map": {"at": 167196, "to": "mm"}, + "name": "CB_COLOR3_SLICE", + "type_ref": "CB_COLOR0_SLICE" }, { "chips": ["gfx10"], - "map": {"at": 165128, "to": "mm"}, - "name": "PA_CL_VPORT_YOFFSET_8", - "type_ref": "PA_CL_VPORT_YOFFSET" + "map": {"at": 167200, "to": "mm"}, + "name": "CB_COLOR3_VIEW", + "type_ref": "CB_COLOR0_VIEW" }, { "chips": ["gfx10"], - "map": {"at": 165152, "to": "mm"}, - "name": "PA_CL_VPORT_YOFFSET_9", - "type_ref": "PA_CL_VPORT_YOFFSET" + "map": {"at": 167204, "to": "mm"}, + "name": "CB_COLOR3_INFO", + "type_ref": "CB_COLOR0_INFO" }, { "chips": ["gfx10"], - "map": {"at": 164932, "to": "mm"}, - "name": "PA_CL_VPORT_YSCALE", - "type_ref": "PA_CL_VPORT_YSCALE" + "map": {"at": 167208, "to": "mm"}, + "name": "CB_COLOR3_ATTRIB", + "type_ref": "CB_COLOR0_ATTRIB" }, { "chips": ["gfx10"], - "map": {"at": 164956, "to": "mm"}, - "name": "PA_CL_VPORT_YSCALE_1", - "type_ref": "PA_CL_VPORT_YSCALE" + "map": {"at": 167212, "to": "mm"}, + "name": "CB_COLOR3_DCC_CONTROL", + "type_ref": "CB_COLOR0_DCC_CONTROL" }, { "chips": ["gfx10"], - "map": {"at": 165172, "to": "mm"}, - "name": "PA_CL_VPORT_YSCALE_10", - "type_ref": "PA_CL_VPORT_YSCALE" + "map": {"at": 167216, "to": "mm"}, + "name": "CB_COLOR3_CMASK", + "type_ref": "DB_HTILE_DATA_BASE" }, { "chips": ["gfx10"], - "map": {"at": 165196, "to": "mm"}, - "name": "PA_CL_VPORT_YSCALE_11", - "type_ref": "PA_CL_VPORT_YSCALE" + "map": {"at": 167220, "to": "mm"}, + "name": "CB_COLOR3_CMASK_SLICE", + "type_ref": "CB_COLOR0_CMASK_SLICE" }, { "chips": ["gfx10"], - "map": {"at": 165220, "to": "mm"}, - "name": "PA_CL_VPORT_YSCALE_12", - "type_ref": "PA_CL_VPORT_YSCALE" + "map": {"at": 167224, "to": "mm"}, + "name": "CB_COLOR3_FMASK", + "type_ref": "DB_HTILE_DATA_BASE" }, { "chips": ["gfx10"], - "map": {"at": 165244, "to": "mm"}, - "name": "PA_CL_VPORT_YSCALE_13", - "type_ref": "PA_CL_VPORT_YSCALE" + "map": {"at": 167228, "to": "mm"}, + "name": "CB_COLOR3_FMASK_SLICE", + "type_ref": "CB_COLOR0_SLICE" }, { "chips": ["gfx10"], - "map": {"at": 165268, "to": "mm"}, - "name": "PA_CL_VPORT_YSCALE_14", - "type_ref": "PA_CL_VPORT_YSCALE" + "map": {"at": 167232, "to": "mm"}, + "name": "CB_COLOR3_CLEAR_WORD0", + "type_ref": "CB_COLOR0_CLEAR_WORD0" }, { "chips": ["gfx10"], - "map": {"at": 165292, "to": "mm"}, - "name": "PA_CL_VPORT_YSCALE_15", - "type_ref": "PA_CL_VPORT_YSCALE" + "map": {"at": 167236, "to": "mm"}, + "name": "CB_COLOR3_CLEAR_WORD1", + "type_ref": "CB_COLOR0_CLEAR_WORD1" + }, + { + "chips": ["gfx10"], + "map": {"at": 167240, "to": "mm"}, + "name": "CB_COLOR3_DCC_BASE", + "type_ref": "DB_HTILE_DATA_BASE" + }, + { + "chips": ["gfx10"], + "map": {"at": 167248, "to": "mm"}, + "name": "CB_COLOR4_BASE", + "type_ref": "DB_HTILE_DATA_BASE" + }, + { + "chips": ["gfx10"], + "map": {"at": 167252, "to": "mm"}, + "name": "CB_COLOR4_PITCH", + "type_ref": "CB_COLOR0_PITCH" }, { "chips": ["gfx10"], - "map": {"at": 164980, "to": "mm"}, - "name": "PA_CL_VPORT_YSCALE_2", - "type_ref": "PA_CL_VPORT_YSCALE" + "map": {"at": 167256, "to": "mm"}, + "name": "CB_COLOR4_SLICE", + "type_ref": "CB_COLOR0_SLICE" }, { "chips": ["gfx10"], - "map": {"at": 165004, "to": "mm"}, - "name": "PA_CL_VPORT_YSCALE_3", - "type_ref": "PA_CL_VPORT_YSCALE" + "map": {"at": 167260, "to": "mm"}, + "name": "CB_COLOR4_VIEW", + "type_ref": "CB_COLOR0_VIEW" }, { "chips": ["gfx10"], - "map": {"at": 165028, "to": "mm"}, - "name": "PA_CL_VPORT_YSCALE_4", - "type_ref": "PA_CL_VPORT_YSCALE" + "map": {"at": 167264, "to": "mm"}, + "name": "CB_COLOR4_INFO", + "type_ref": "CB_COLOR0_INFO" }, { "chips": ["gfx10"], - "map": {"at": 165052, "to": "mm"}, - "name": "PA_CL_VPORT_YSCALE_5", - "type_ref": "PA_CL_VPORT_YSCALE" + "map": {"at": 167268, "to": "mm"}, + "name": "CB_COLOR4_ATTRIB", + "type_ref": "CB_COLOR0_ATTRIB" }, { "chips": ["gfx10"], - "map": {"at": 165076, "to": "mm"}, - "name": "PA_CL_VPORT_YSCALE_6", - "type_ref": "PA_CL_VPORT_YSCALE" + "map": {"at": 167272, "to": "mm"}, + "name": "CB_COLOR4_DCC_CONTROL", + "type_ref": "CB_COLOR0_DCC_CONTROL" }, { "chips": ["gfx10"], - "map": {"at": 165100, "to": "mm"}, - "name": "PA_CL_VPORT_YSCALE_7", - "type_ref": "PA_CL_VPORT_YSCALE" + "map": {"at": 167276, "to": "mm"}, + "name": "CB_COLOR4_CMASK", + "type_ref": "DB_HTILE_DATA_BASE" }, { "chips": ["gfx10"], - "map": {"at": 165124, "to": "mm"}, - "name": "PA_CL_VPORT_YSCALE_8", - "type_ref": "PA_CL_VPORT_YSCALE" + "map": {"at": 167280, "to": "mm"}, + "name": "CB_COLOR4_CMASK_SLICE", + "type_ref": "CB_COLOR0_CMASK_SLICE" }, { "chips": ["gfx10"], - "map": {"at": 165148, "to": "mm"}, - "name": "PA_CL_VPORT_YSCALE_9", - "type_ref": "PA_CL_VPORT_YSCALE" + "map": {"at": 167284, "to": "mm"}, + "name": "CB_COLOR4_FMASK", + "type_ref": "DB_HTILE_DATA_BASE" }, { "chips": ["gfx10"], - "map": {"at": 164944, "to": "mm"}, - "name": "PA_CL_VPORT_ZOFFSET", - "type_ref": "PA_CL_VPORT_ZOFFSET" + "map": {"at": 167288, "to": "mm"}, + "name": "CB_COLOR4_FMASK_SLICE", + "type_ref": "CB_COLOR0_SLICE" }, { "chips": ["gfx10"], - "map": {"at": 164968, "to": "mm"}, - "name": "PA_CL_VPORT_ZOFFSET_1", - "type_ref": "PA_CL_VPORT_ZOFFSET" + "map": {"at": 167292, "to": "mm"}, + "name": "CB_COLOR4_CLEAR_WORD0", + "type_ref": "CB_COLOR0_CLEAR_WORD0" }, { "chips": ["gfx10"], - "map": {"at": 165184, "to": "mm"}, - "name": "PA_CL_VPORT_ZOFFSET_10", - "type_ref": "PA_CL_VPORT_ZOFFSET" + "map": {"at": 167296, "to": "mm"}, + "name": "CB_COLOR4_CLEAR_WORD1", + "type_ref": "CB_COLOR0_CLEAR_WORD1" }, { "chips": ["gfx10"], - "map": {"at": 165208, "to": "mm"}, - "name": "PA_CL_VPORT_ZOFFSET_11", - "type_ref": "PA_CL_VPORT_ZOFFSET" + "map": {"at": 167300, "to": "mm"}, + "name": "CB_COLOR4_DCC_BASE", + "type_ref": "DB_HTILE_DATA_BASE" }, { "chips": ["gfx10"], - "map": {"at": 165232, "to": "mm"}, - "name": "PA_CL_VPORT_ZOFFSET_12", - "type_ref": "PA_CL_VPORT_ZOFFSET" + "map": {"at": 167308, "to": "mm"}, + "name": "CB_COLOR5_BASE", + "type_ref": "DB_HTILE_DATA_BASE" }, { "chips": ["gfx10"], - "map": {"at": 165256, "to": "mm"}, - "name": "PA_CL_VPORT_ZOFFSET_13", - "type_ref": "PA_CL_VPORT_ZOFFSET" + "map": {"at": 167312, "to": "mm"}, + "name": "CB_COLOR5_PITCH", + "type_ref": "CB_COLOR0_PITCH" }, { "chips": ["gfx10"], - "map": {"at": 165280, "to": "mm"}, - "name": "PA_CL_VPORT_ZOFFSET_14", - "type_ref": "PA_CL_VPORT_ZOFFSET" + "map": {"at": 167316, "to": "mm"}, + "name": "CB_COLOR5_SLICE", + "type_ref": "CB_COLOR0_SLICE" }, { "chips": ["gfx10"], - "map": {"at": 165304, "to": "mm"}, - "name": "PA_CL_VPORT_ZOFFSET_15", - "type_ref": "PA_CL_VPORT_ZOFFSET" + "map": {"at": 167320, "to": "mm"}, + "name": "CB_COLOR5_VIEW", + "type_ref": "CB_COLOR0_VIEW" }, { "chips": ["gfx10"], - "map": {"at": 164992, "to": "mm"}, - "name": "PA_CL_VPORT_ZOFFSET_2", - "type_ref": "PA_CL_VPORT_ZOFFSET" + "map": {"at": 167324, "to": "mm"}, + "name": "CB_COLOR5_INFO", + "type_ref": "CB_COLOR0_INFO" }, { "chips": ["gfx10"], - "map": {"at": 165016, "to": "mm"}, - "name": "PA_CL_VPORT_ZOFFSET_3", - "type_ref": "PA_CL_VPORT_ZOFFSET" + "map": {"at": 167328, "to": "mm"}, + "name": "CB_COLOR5_ATTRIB", + "type_ref": "CB_COLOR0_ATTRIB" }, { "chips": ["gfx10"], - "map": {"at": 165040, "to": "mm"}, - "name": "PA_CL_VPORT_ZOFFSET_4", - "type_ref": "PA_CL_VPORT_ZOFFSET" + "map": {"at": 167332, "to": "mm"}, + "name": "CB_COLOR5_DCC_CONTROL", + "type_ref": "CB_COLOR0_DCC_CONTROL" }, { "chips": ["gfx10"], - "map": {"at": 165064, "to": "mm"}, - "name": "PA_CL_VPORT_ZOFFSET_5", - "type_ref": "PA_CL_VPORT_ZOFFSET" + "map": {"at": 167336, "to": "mm"}, + "name": "CB_COLOR5_CMASK", + "type_ref": "DB_HTILE_DATA_BASE" }, { "chips": ["gfx10"], - "map": {"at": 165088, "to": "mm"}, - "name": "PA_CL_VPORT_ZOFFSET_6", - "type_ref": "PA_CL_VPORT_ZOFFSET" + "map": {"at": 167340, "to": "mm"}, + "name": "CB_COLOR5_CMASK_SLICE", + "type_ref": "CB_COLOR0_CMASK_SLICE" }, { "chips": ["gfx10"], - "map": {"at": 165112, "to": "mm"}, - "name": "PA_CL_VPORT_ZOFFSET_7", - "type_ref": "PA_CL_VPORT_ZOFFSET" + "map": {"at": 167344, "to": "mm"}, + "name": "CB_COLOR5_FMASK", + "type_ref": "DB_HTILE_DATA_BASE" }, { "chips": ["gfx10"], - "map": {"at": 165136, "to": "mm"}, - "name": "PA_CL_VPORT_ZOFFSET_8", - "type_ref": "PA_CL_VPORT_ZOFFSET" + "map": {"at": 167348, "to": "mm"}, + "name": "CB_COLOR5_FMASK_SLICE", + "type_ref": "CB_COLOR0_SLICE" }, { "chips": ["gfx10"], - "map": {"at": 165160, "to": "mm"}, - "name": "PA_CL_VPORT_ZOFFSET_9", - "type_ref": "PA_CL_VPORT_ZOFFSET" + "map": {"at": 167352, "to": "mm"}, + "name": "CB_COLOR5_CLEAR_WORD0", + "type_ref": "CB_COLOR0_CLEAR_WORD0" }, { "chips": ["gfx10"], - "map": {"at": 164940, "to": "mm"}, - "name": "PA_CL_VPORT_ZSCALE", - "type_ref": "PA_CL_VPORT_ZSCALE" + "map": {"at": 167356, "to": "mm"}, + "name": "CB_COLOR5_CLEAR_WORD1", + "type_ref": "CB_COLOR0_CLEAR_WORD1" }, { "chips": ["gfx10"], - "map": {"at": 164964, "to": "mm"}, - "name": "PA_CL_VPORT_ZSCALE_1", - "type_ref": "PA_CL_VPORT_ZSCALE" + "map": {"at": 167360, "to": "mm"}, + "name": "CB_COLOR5_DCC_BASE", + "type_ref": "DB_HTILE_DATA_BASE" }, { "chips": ["gfx10"], - "map": {"at": 165180, "to": "mm"}, - "name": "PA_CL_VPORT_ZSCALE_10", - "type_ref": "PA_CL_VPORT_ZSCALE" + "map": {"at": 167368, "to": "mm"}, + "name": "CB_COLOR6_BASE", + "type_ref": "DB_HTILE_DATA_BASE" }, { "chips": ["gfx10"], - "map": {"at": 165204, "to": "mm"}, - "name": "PA_CL_VPORT_ZSCALE_11", - "type_ref": "PA_CL_VPORT_ZSCALE" + "map": {"at": 167372, "to": "mm"}, + "name": "CB_COLOR6_PITCH", + "type_ref": "CB_COLOR0_PITCH" }, { "chips": ["gfx10"], - "map": {"at": 165228, "to": "mm"}, - "name": "PA_CL_VPORT_ZSCALE_12", - "type_ref": "PA_CL_VPORT_ZSCALE" + "map": {"at": 167376, "to": "mm"}, + "name": "CB_COLOR6_SLICE", + "type_ref": "CB_COLOR0_SLICE" }, { "chips": ["gfx10"], - "map": {"at": 165252, "to": "mm"}, - "name": "PA_CL_VPORT_ZSCALE_13", - "type_ref": "PA_CL_VPORT_ZSCALE" + "map": {"at": 167380, "to": "mm"}, + "name": "CB_COLOR6_VIEW", + "type_ref": "CB_COLOR0_VIEW" }, { "chips": ["gfx10"], - "map": {"at": 165276, "to": "mm"}, - "name": "PA_CL_VPORT_ZSCALE_14", - "type_ref": "PA_CL_VPORT_ZSCALE" + "map": {"at": 167384, "to": "mm"}, + "name": "CB_COLOR6_INFO", + "type_ref": "CB_COLOR0_INFO" }, { "chips": ["gfx10"], - "map": {"at": 165300, "to": "mm"}, - "name": "PA_CL_VPORT_ZSCALE_15", - "type_ref": "PA_CL_VPORT_ZSCALE" + "map": {"at": 167388, "to": "mm"}, + "name": "CB_COLOR6_ATTRIB", + "type_ref": "CB_COLOR0_ATTRIB" }, { "chips": ["gfx10"], - "map": {"at": 164988, "to": "mm"}, - "name": "PA_CL_VPORT_ZSCALE_2", - "type_ref": "PA_CL_VPORT_ZSCALE" + "map": {"at": 167392, "to": "mm"}, + "name": "CB_COLOR6_DCC_CONTROL", + "type_ref": "CB_COLOR0_DCC_CONTROL" }, { "chips": ["gfx10"], - "map": {"at": 165012, "to": "mm"}, - "name": "PA_CL_VPORT_ZSCALE_3", - "type_ref": "PA_CL_VPORT_ZSCALE" + "map": {"at": 167396, "to": "mm"}, + "name": "CB_COLOR6_CMASK", + "type_ref": "DB_HTILE_DATA_BASE" }, { "chips": ["gfx10"], - "map": {"at": 165036, "to": "mm"}, - "name": "PA_CL_VPORT_ZSCALE_4", - "type_ref": "PA_CL_VPORT_ZSCALE" + "map": {"at": 167400, "to": "mm"}, + "name": "CB_COLOR6_CMASK_SLICE", + "type_ref": "CB_COLOR0_CMASK_SLICE" }, { "chips": ["gfx10"], - "map": {"at": 165060, "to": "mm"}, - "name": "PA_CL_VPORT_ZSCALE_5", - "type_ref": "PA_CL_VPORT_ZSCALE" + "map": {"at": 167404, "to": "mm"}, + "name": "CB_COLOR6_FMASK", + "type_ref": "DB_HTILE_DATA_BASE" }, { "chips": ["gfx10"], - "map": {"at": 165084, "to": "mm"}, - "name": "PA_CL_VPORT_ZSCALE_6", - "type_ref": "PA_CL_VPORT_ZSCALE" + "map": {"at": 167408, "to": "mm"}, + "name": "CB_COLOR6_FMASK_SLICE", + "type_ref": "CB_COLOR0_SLICE" }, { "chips": ["gfx10"], - "map": {"at": 165108, "to": "mm"}, - "name": "PA_CL_VPORT_ZSCALE_7", - "type_ref": "PA_CL_VPORT_ZSCALE" + "map": {"at": 167412, "to": "mm"}, + "name": "CB_COLOR6_CLEAR_WORD0", + "type_ref": "CB_COLOR0_CLEAR_WORD0" }, { "chips": ["gfx10"], - "map": {"at": 165132, "to": "mm"}, - "name": "PA_CL_VPORT_ZSCALE_8", - "type_ref": "PA_CL_VPORT_ZSCALE" + "map": {"at": 167416, "to": "mm"}, + "name": "CB_COLOR6_CLEAR_WORD1", + "type_ref": "CB_COLOR0_CLEAR_WORD1" }, { "chips": ["gfx10"], - "map": {"at": 165156, "to": "mm"}, - "name": "PA_CL_VPORT_ZSCALE_9", - "type_ref": "PA_CL_VPORT_ZSCALE" + "map": {"at": 167420, "to": "mm"}, + "name": "CB_COLOR6_DCC_BASE", + "type_ref": "DB_HTILE_DATA_BASE" }, { "chips": ["gfx10"], - "map": {"at": 165916, "to": "mm"}, - "name": "PA_CL_VS_OUT_CNTL", - "type_ref": "PA_CL_VS_OUT_CNTL" + "map": {"at": 167428, "to": "mm"}, + "name": "CB_COLOR7_BASE", + "type_ref": "DB_HTILE_DATA_BASE" }, { "chips": ["gfx10"], - "map": {"at": 165912, "to": "mm"}, - "name": "PA_CL_VTE_CNTL", - "type_ref": "PA_CL_VTE_CNTL" + "map": {"at": 167432, "to": "mm"}, + "name": "CB_COLOR7_PITCH", + "type_ref": "CB_COLOR0_PITCH" }, { "chips": ["gfx10"], - "map": {"at": 218628, "to": "mm"}, - "name": "PA_PH_PERFCOUNTER0_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 167436, "to": "mm"}, + "name": "CB_COLOR7_SLICE", + "type_ref": "CB_COLOR0_SLICE" }, { "chips": ["gfx10"], - "map": {"at": 218624, "to": "mm"}, - "name": "PA_PH_PERFCOUNTER0_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 167440, "to": "mm"}, + "name": "CB_COLOR7_VIEW", + "type_ref": "CB_COLOR0_VIEW" }, { "chips": ["gfx10"], - "map": {"at": 226816, "to": "mm"}, - "name": "PA_PH_PERFCOUNTER0_SELECT", - "type_ref": "PA_PH_PERFCOUNTER0_SELECT" + "map": {"at": 167444, "to": "mm"}, + "name": "CB_COLOR7_INFO", + "type_ref": "CB_COLOR0_INFO" }, { "chips": ["gfx10"], - "map": {"at": 226820, "to": "mm"}, - "name": "PA_PH_PERFCOUNTER0_SELECT1", - "type_ref": "PA_PH_PERFCOUNTER0_SELECT1" + "map": {"at": 167448, "to": "mm"}, + "name": "CB_COLOR7_ATTRIB", + "type_ref": "CB_COLOR0_ATTRIB" }, { "chips": ["gfx10"], - "map": {"at": 218636, "to": "mm"}, - "name": "PA_PH_PERFCOUNTER1_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 167452, "to": "mm"}, + "name": "CB_COLOR7_DCC_CONTROL", + "type_ref": "CB_COLOR0_DCC_CONTROL" }, { "chips": ["gfx10"], - "map": {"at": 218632, "to": "mm"}, - "name": "PA_PH_PERFCOUNTER1_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 167456, "to": "mm"}, + "name": "CB_COLOR7_CMASK", + "type_ref": "DB_HTILE_DATA_BASE" }, { "chips": ["gfx10"], - "map": {"at": 226824, "to": "mm"}, - "name": "PA_PH_PERFCOUNTER1_SELECT", - "type_ref": "PA_PH_PERFCOUNTER0_SELECT" + "map": {"at": 167460, "to": "mm"}, + "name": "CB_COLOR7_CMASK_SLICE", + "type_ref": "CB_COLOR0_CMASK_SLICE" }, { "chips": ["gfx10"], - "map": {"at": 226880, "to": "mm"}, - "name": "PA_PH_PERFCOUNTER1_SELECT1", - "type_ref": "PA_PH_PERFCOUNTER0_SELECT1" + "map": {"at": 167464, "to": "mm"}, + "name": "CB_COLOR7_FMASK", + "type_ref": "DB_HTILE_DATA_BASE" }, { "chips": ["gfx10"], - "map": {"at": 218644, "to": "mm"}, - "name": "PA_PH_PERFCOUNTER2_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 167468, "to": "mm"}, + "name": "CB_COLOR7_FMASK_SLICE", + "type_ref": "CB_COLOR0_SLICE" }, { "chips": ["gfx10"], - "map": {"at": 218640, "to": "mm"}, - "name": "PA_PH_PERFCOUNTER2_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 167472, "to": "mm"}, + "name": "CB_COLOR7_CLEAR_WORD0", + "type_ref": "CB_COLOR0_CLEAR_WORD0" }, { "chips": ["gfx10"], - "map": {"at": 226828, "to": "mm"}, - "name": "PA_PH_PERFCOUNTER2_SELECT", - "type_ref": "PA_PH_PERFCOUNTER0_SELECT" + "map": {"at": 167476, "to": "mm"}, + "name": "CB_COLOR7_CLEAR_WORD1", + "type_ref": "CB_COLOR0_CLEAR_WORD1" }, { "chips": ["gfx10"], - "map": {"at": 226884, "to": "mm"}, - "name": "PA_PH_PERFCOUNTER2_SELECT1", - "type_ref": "PA_PH_PERFCOUNTER0_SELECT1" + "map": {"at": 167480, "to": "mm"}, + "name": "CB_COLOR7_DCC_BASE", + "type_ref": "DB_HTILE_DATA_BASE" }, { "chips": ["gfx10"], - "map": {"at": 218652, "to": "mm"}, - "name": "PA_PH_PERFCOUNTER3_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 167488, "to": "mm"}, + "name": "CB_COLOR0_BASE_EXT", + "type_ref": "CB_COLOR0_BASE_EXT" }, { "chips": ["gfx10"], - "map": {"at": 218648, "to": "mm"}, - "name": "PA_PH_PERFCOUNTER3_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 167492, "to": "mm"}, + "name": "CB_COLOR1_BASE_EXT", + "type_ref": "CB_COLOR0_BASE_EXT" }, { "chips": ["gfx10"], - "map": {"at": 226832, "to": "mm"}, - "name": "PA_PH_PERFCOUNTER3_SELECT", - "type_ref": "PA_PH_PERFCOUNTER0_SELECT" + "map": {"at": 167496, "to": "mm"}, + "name": "CB_COLOR2_BASE_EXT", + "type_ref": "CB_COLOR0_BASE_EXT" }, { "chips": ["gfx10"], - "map": {"at": 226888, "to": "mm"}, - "name": "PA_PH_PERFCOUNTER3_SELECT1", - "type_ref": "PA_PH_PERFCOUNTER0_SELECT1" + "map": {"at": 167500, "to": "mm"}, + "name": "CB_COLOR3_BASE_EXT", + "type_ref": "CB_COLOR0_BASE_EXT" }, { "chips": ["gfx10"], - "map": {"at": 218660, "to": "mm"}, - "name": "PA_PH_PERFCOUNTER4_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 167504, "to": "mm"}, + "name": "CB_COLOR4_BASE_EXT", + "type_ref": "CB_COLOR0_BASE_EXT" }, { "chips": ["gfx10"], - "map": {"at": 218656, "to": "mm"}, - "name": "PA_PH_PERFCOUNTER4_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 167508, "to": "mm"}, + "name": "CB_COLOR5_BASE_EXT", + "type_ref": "CB_COLOR0_BASE_EXT" }, { "chips": ["gfx10"], - "map": {"at": 226836, "to": "mm"}, - "name": "PA_PH_PERFCOUNTER4_SELECT", - "type_ref": "PA_PH_PERFCOUNTER4_SELECT" + "map": {"at": 167512, "to": "mm"}, + "name": "CB_COLOR6_BASE_EXT", + "type_ref": "CB_COLOR0_BASE_EXT" }, { "chips": ["gfx10"], - "map": {"at": 218668, "to": "mm"}, - "name": "PA_PH_PERFCOUNTER5_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 167516, "to": "mm"}, + "name": "CB_COLOR7_BASE_EXT", + "type_ref": "CB_COLOR0_BASE_EXT" }, { "chips": ["gfx10"], - "map": {"at": 218664, "to": "mm"}, - "name": "PA_PH_PERFCOUNTER5_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 167520, "to": "mm"}, + "name": "CB_COLOR0_CMASK_BASE_EXT", + "type_ref": "CB_COLOR0_BASE_EXT" }, { "chips": ["gfx10"], - "map": {"at": 226840, "to": "mm"}, - "name": "PA_PH_PERFCOUNTER5_SELECT", - "type_ref": "PA_PH_PERFCOUNTER4_SELECT" + "map": {"at": 167524, "to": "mm"}, + "name": "CB_COLOR1_CMASK_BASE_EXT", + "type_ref": "CB_COLOR0_BASE_EXT" }, { "chips": ["gfx10"], - "map": {"at": 218676, "to": "mm"}, - "name": "PA_PH_PERFCOUNTER6_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 167528, "to": "mm"}, + "name": "CB_COLOR2_CMASK_BASE_EXT", + "type_ref": "CB_COLOR0_BASE_EXT" }, { "chips": ["gfx10"], - "map": {"at": 218672, "to": "mm"}, - "name": "PA_PH_PERFCOUNTER6_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 167532, "to": "mm"}, + "name": "CB_COLOR3_CMASK_BASE_EXT", + "type_ref": "CB_COLOR0_BASE_EXT" }, { "chips": ["gfx10"], - "map": {"at": 226844, "to": "mm"}, - "name": "PA_PH_PERFCOUNTER6_SELECT", - "type_ref": "PA_PH_PERFCOUNTER4_SELECT" + "map": {"at": 167536, "to": "mm"}, + "name": "CB_COLOR4_CMASK_BASE_EXT", + "type_ref": "CB_COLOR0_BASE_EXT" }, { "chips": ["gfx10"], - "map": {"at": 218684, "to": "mm"}, - "name": "PA_PH_PERFCOUNTER7_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 167540, "to": "mm"}, + "name": "CB_COLOR5_CMASK_BASE_EXT", + "type_ref": "CB_COLOR0_BASE_EXT" }, { "chips": ["gfx10"], - "map": {"at": 218680, "to": "mm"}, - "name": "PA_PH_PERFCOUNTER7_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 167544, "to": "mm"}, + "name": "CB_COLOR6_CMASK_BASE_EXT", + "type_ref": "CB_COLOR0_BASE_EXT" }, { "chips": ["gfx10"], - "map": {"at": 226848, "to": "mm"}, - "name": "PA_PH_PERFCOUNTER7_SELECT", - "type_ref": "PA_PH_PERFCOUNTER4_SELECT" + "map": {"at": 167548, "to": "mm"}, + "name": "CB_COLOR7_CMASK_BASE_EXT", + "type_ref": "CB_COLOR0_BASE_EXT" }, { "chips": ["gfx10"], - "map": {"at": 166880, "to": "mm"}, - "name": "PA_SC_AA_CONFIG", - "type_ref": "PA_SC_AA_CONFIG" + "map": {"at": 167552, "to": "mm"}, + "name": "CB_COLOR0_FMASK_BASE_EXT", + "type_ref": "CB_COLOR0_BASE_EXT" }, { "chips": ["gfx10"], - "map": {"at": 166968, "to": "mm"}, - "name": "PA_SC_AA_MASK_X0Y0_X1Y0", - "type_ref": "PA_SC_AA_MASK_X0Y0_X1Y0" + "map": {"at": 167556, "to": "mm"}, + "name": "CB_COLOR1_FMASK_BASE_EXT", + "type_ref": "CB_COLOR0_BASE_EXT" }, { "chips": ["gfx10"], - "map": {"at": 166972, "to": "mm"}, - "name": "PA_SC_AA_MASK_X0Y1_X1Y1", - "type_ref": "PA_SC_AA_MASK_X0Y1_X1Y1" + "map": {"at": 167560, "to": "mm"}, + "name": "CB_COLOR2_FMASK_BASE_EXT", + "type_ref": "CB_COLOR0_BASE_EXT" }, { "chips": ["gfx10"], - "map": {"at": 166904, "to": "mm"}, - "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_0", - "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_0" + "map": {"at": 167564, "to": "mm"}, + "name": "CB_COLOR3_FMASK_BASE_EXT", + "type_ref": "CB_COLOR0_BASE_EXT" }, { "chips": ["gfx10"], - "map": {"at": 166908, "to": "mm"}, - "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_1", - "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_1" + "map": {"at": 167568, "to": "mm"}, + "name": "CB_COLOR4_FMASK_BASE_EXT", + "type_ref": "CB_COLOR0_BASE_EXT" }, { "chips": ["gfx10"], - "map": {"at": 166912, "to": "mm"}, - "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_2", - "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_2" + "map": {"at": 167572, "to": "mm"}, + "name": "CB_COLOR5_FMASK_BASE_EXT", + "type_ref": "CB_COLOR0_BASE_EXT" }, { "chips": ["gfx10"], - "map": {"at": 166916, "to": "mm"}, - "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_3", - "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_3" + "map": {"at": 167576, "to": "mm"}, + "name": "CB_COLOR6_FMASK_BASE_EXT", + "type_ref": "CB_COLOR0_BASE_EXT" }, { "chips": ["gfx10"], - "map": {"at": 166936, "to": "mm"}, - "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y1_0", - "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_0" + "map": {"at": 167580, "to": "mm"}, + "name": "CB_COLOR7_FMASK_BASE_EXT", + "type_ref": "CB_COLOR0_BASE_EXT" }, { "chips": ["gfx10"], - "map": {"at": 166940, "to": "mm"}, - "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y1_1", - "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_1" + "map": {"at": 167584, "to": "mm"}, + "name": "CB_COLOR0_DCC_BASE_EXT", + "type_ref": "CB_COLOR0_BASE_EXT" }, { "chips": ["gfx10"], - "map": {"at": 166944, "to": "mm"}, - "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y1_2", - "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_2" + "map": {"at": 167588, "to": "mm"}, + "name": "CB_COLOR1_DCC_BASE_EXT", + "type_ref": "CB_COLOR0_BASE_EXT" }, { "chips": ["gfx10"], - "map": {"at": 166948, "to": "mm"}, - "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y1_3", - "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_3" + "map": {"at": 167592, "to": "mm"}, + "name": "CB_COLOR2_DCC_BASE_EXT", + "type_ref": "CB_COLOR0_BASE_EXT" }, { "chips": ["gfx10"], - "map": {"at": 166920, "to": "mm"}, - "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X1Y0_0", - "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_0" + "map": {"at": 167596, "to": "mm"}, + "name": "CB_COLOR3_DCC_BASE_EXT", + "type_ref": "CB_COLOR0_BASE_EXT" }, { "chips": ["gfx10"], - "map": {"at": 166924, "to": "mm"}, - "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X1Y0_1", - "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_1" + "map": {"at": 167600, "to": "mm"}, + "name": "CB_COLOR4_DCC_BASE_EXT", + "type_ref": "CB_COLOR0_BASE_EXT" }, { "chips": ["gfx10"], - "map": {"at": 166928, "to": "mm"}, - "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X1Y0_2", - "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_2" + "map": {"at": 167604, "to": "mm"}, + "name": "CB_COLOR5_DCC_BASE_EXT", + "type_ref": "CB_COLOR0_BASE_EXT" }, { "chips": ["gfx10"], - "map": {"at": 166932, "to": "mm"}, - "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X1Y0_3", - "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_3" + "map": {"at": 167608, "to": "mm"}, + "name": "CB_COLOR6_DCC_BASE_EXT", + "type_ref": "CB_COLOR0_BASE_EXT" }, { "chips": ["gfx10"], - "map": {"at": 166952, "to": "mm"}, - "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X1Y1_0", - "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_0" + "map": {"at": 167612, "to": "mm"}, + "name": "CB_COLOR7_DCC_BASE_EXT", + "type_ref": "CB_COLOR0_BASE_EXT" }, { "chips": ["gfx10"], - "map": {"at": 166956, "to": "mm"}, - "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X1Y1_1", - "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_1" + "map": {"at": 167616, "to": "mm"}, + "name": "CB_COLOR0_ATTRIB2", + "type_ref": "CB_COLOR0_ATTRIB2" }, { "chips": ["gfx10"], - "map": {"at": 166960, "to": "mm"}, - "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X1Y1_2", - "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_2" + "map": {"at": 167620, "to": "mm"}, + "name": "CB_COLOR1_ATTRIB2", + "type_ref": "CB_COLOR0_ATTRIB2" }, { "chips": ["gfx10"], - "map": {"at": 166964, "to": "mm"}, - "name": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X1Y1_3", - "type_ref": "PA_SC_AA_SAMPLE_LOCS_PIXEL_X0Y0_3" + "map": {"at": 167624, "to": "mm"}, + "name": "CB_COLOR2_ATTRIB2", + "type_ref": "CB_COLOR0_ATTRIB2" }, { "chips": ["gfx10"], - "map": {"at": 166980, "to": "mm"}, - "name": "PA_SC_BINNER_CNTL_0", - "type_ref": "PA_SC_BINNER_CNTL_0" + "map": {"at": 167628, "to": "mm"}, + "name": "CB_COLOR3_ATTRIB2", + "type_ref": "CB_COLOR0_ATTRIB2" }, { "chips": ["gfx10"], - "map": {"at": 166984, "to": "mm"}, - "name": "PA_SC_BINNER_CNTL_1", - "type_ref": "PA_SC_BINNER_CNTL_1" + "map": {"at": 167632, "to": "mm"}, + "name": "CB_COLOR4_ATTRIB2", + "type_ref": "CB_COLOR0_ATTRIB2" }, { "chips": ["gfx10"], - "map": {"at": 166868, "to": "mm"}, - "name": "PA_SC_CENTROID_PRIORITY_0", - "type_ref": "PA_SC_CENTROID_PRIORITY_0" + "map": {"at": 167636, "to": "mm"}, + "name": "CB_COLOR5_ATTRIB2", + "type_ref": "CB_COLOR0_ATTRIB2" }, { "chips": ["gfx10"], - "map": {"at": 166872, "to": "mm"}, - "name": "PA_SC_CENTROID_PRIORITY_1", - "type_ref": "PA_SC_CENTROID_PRIORITY_1" + "map": {"at": 167640, "to": "mm"}, + "name": "CB_COLOR6_ATTRIB2", + "type_ref": "CB_COLOR0_ATTRIB2" }, { "chips": ["gfx10"], - "map": {"at": 164372, "to": "mm"}, - "name": "PA_SC_CLIPRECT_0_BR", - "type_ref": "PA_SC_CLIPRECT_0_BR" + "map": {"at": 167644, "to": "mm"}, + "name": "CB_COLOR7_ATTRIB2", + "type_ref": "CB_COLOR0_ATTRIB2" }, { "chips": ["gfx10"], - "map": {"at": 164368, "to": "mm"}, - "name": "PA_SC_CLIPRECT_0_TL", - "type_ref": "PA_SC_CLIPRECT_0_TL" + "map": {"at": 167648, "to": "mm"}, + "name": "CB_COLOR0_ATTRIB3", + "type_ref": "CB_COLOR0_ATTRIB3" }, { "chips": ["gfx10"], - "map": {"at": 164380, "to": "mm"}, - "name": "PA_SC_CLIPRECT_1_BR", - "type_ref": "PA_SC_CLIPRECT_0_BR" + "map": {"at": 167652, "to": "mm"}, + "name": "CB_COLOR1_ATTRIB3", + "type_ref": "CB_COLOR0_ATTRIB3" }, { "chips": ["gfx10"], - "map": {"at": 164376, "to": "mm"}, - "name": "PA_SC_CLIPRECT_1_TL", - "type_ref": "PA_SC_CLIPRECT_0_TL" + "map": {"at": 167656, "to": "mm"}, + "name": "CB_COLOR2_ATTRIB3", + "type_ref": "CB_COLOR0_ATTRIB3" }, { "chips": ["gfx10"], - "map": {"at": 164388, "to": "mm"}, - "name": "PA_SC_CLIPRECT_2_BR", - "type_ref": "PA_SC_CLIPRECT_0_BR" + "map": {"at": 167660, "to": "mm"}, + "name": "CB_COLOR3_ATTRIB3", + "type_ref": "CB_COLOR0_ATTRIB3" }, { "chips": ["gfx10"], - "map": {"at": 164384, "to": "mm"}, - "name": "PA_SC_CLIPRECT_2_TL", - "type_ref": "PA_SC_CLIPRECT_0_TL" + "map": {"at": 167664, "to": "mm"}, + "name": "CB_COLOR4_ATTRIB3", + "type_ref": "CB_COLOR0_ATTRIB3" }, { "chips": ["gfx10"], - "map": {"at": 164396, "to": "mm"}, - "name": "PA_SC_CLIPRECT_3_BR", - "type_ref": "PA_SC_CLIPRECT_0_BR" + "map": {"at": 167668, "to": "mm"}, + "name": "CB_COLOR5_ATTRIB3", + "type_ref": "CB_COLOR0_ATTRIB3" }, { - "chips": ["gfx10"], - "map": {"at": 164392, "to": "mm"}, - "name": "PA_SC_CLIPRECT_3_TL", - "type_ref": "PA_SC_CLIPRECT_0_TL" + "chips": ["gfx10"], + "map": {"at": 167672, "to": "mm"}, + "name": "CB_COLOR6_ATTRIB3", + "type_ref": "CB_COLOR0_ATTRIB3" }, { "chips": ["gfx10"], - "map": {"at": 164364, "to": "mm"}, - "name": "PA_SC_CLIPRECT_RULE", - "type_ref": "PA_SC_CLIPRECT_RULE" + "map": {"at": 167676, "to": "mm"}, + "name": "CB_COLOR7_ATTRIB3", + "type_ref": "CB_COLOR0_ATTRIB3" }, { "chips": ["gfx10"], - "map": {"at": 166988, "to": "mm"}, - "name": "PA_SC_CONSERVATIVE_RASTERIZATION_CNTL", - "type_ref": "PA_SC_CONSERVATIVE_RASTERIZATION_CNTL" + "map": {"at": 196608, "to": "mm"}, + "name": "CP_EOP_DONE_ADDR_LO", + "type_ref": "CP_EOP_DONE_ADDR_LO" }, { "chips": ["gfx10"], - "map": {"at": 164400, "to": "mm"}, - "name": "PA_SC_EDGERULE", - "type_ref": "PA_SC_EDGERULE" + "map": {"at": 196612, "to": "mm"}, + "name": "CP_EOP_DONE_ADDR_HI", + "type_ref": "CP_EOP_DONE_ADDR_HI" }, { "chips": ["gfx10"], - "map": {"at": 164420, "to": "mm"}, - "name": "PA_SC_GENERIC_SCISSOR_BR", - "type_ref": "PA_SC_CLIPRECT_0_BR" + "map": {"at": 196616, "to": "mm"}, + "name": "CP_EOP_DONE_DATA_LO", + "type_ref": "CP_EOP_DONE_DATA_LO" }, { "chips": ["gfx10"], - "map": {"at": 164416, "to": "mm"}, - "name": "PA_SC_GENERIC_SCISSOR_TL", - "type_ref": "PA_SC_GENERIC_SCISSOR_TL" + "map": {"at": 196620, "to": "mm"}, + "name": "CP_EOP_DONE_DATA_HI", + "type_ref": "CP_EOP_DONE_DATA_HI" }, { "chips": ["gfx10"], - "map": {"at": 164776, "to": "mm"}, - "name": "PA_SC_HORIZ_GRID", - "type_ref": "PA_SC_HORIZ_GRID" + "map": {"at": 196624, "to": "mm"}, + "name": "CP_EOP_LAST_FENCE_LO", + "type_ref": "CP_EOP_LAST_FENCE_LO" }, { "chips": ["gfx10"], - "map": {"at": 199344, "to": "mm"}, - "name": "PA_SC_HP3D_TRAP_SCREEN_COUNT", - "type_ref": "CP_GFX_DDID_INFLIGHT_COUNT" + "map": {"at": 196628, "to": "mm"}, + "name": "CP_EOP_LAST_FENCE_HI", + "type_ref": "CP_EOP_LAST_FENCE_HI" }, { "chips": ["gfx10"], - "map": {"at": 199332, "to": "mm"}, - "name": "PA_SC_HP3D_TRAP_SCREEN_H", - "type_ref": "PA_SC_P3D_TRAP_SCREEN_H" + "map": {"at": 196632, "to": "mm"}, + "name": "CP_STREAM_OUT_ADDR_LO", + "type_ref": "CP_STREAM_OUT_ADDR_LO" }, { "chips": ["gfx10"], - "map": {"at": 199328, "to": "mm"}, - "name": "PA_SC_HP3D_TRAP_SCREEN_HV_EN", - "type_ref": "PA_SC_P3D_TRAP_SCREEN_HV_EN" + "map": {"at": 196636, "to": "mm"}, + "name": "CP_STREAM_OUT_ADDR_HI", + "type_ref": "CP_STREAM_OUT_ADDR_HI" }, { "chips": ["gfx10"], - "map": {"at": 199340, "to": "mm"}, - "name": "PA_SC_HP3D_TRAP_SCREEN_OCCURRENCE", - "type_ref": "CP_GFX_DDID_INFLIGHT_COUNT" + "map": {"at": 196640, "to": "mm"}, + "name": "CP_NUM_PRIM_WRITTEN_COUNT0_LO", + "type_ref": "CP_NUM_PRIM_WRITTEN_COUNT0_LO" }, { "chips": ["gfx10"], - "map": {"at": 199336, "to": "mm"}, - "name": "PA_SC_HP3D_TRAP_SCREEN_V", - "type_ref": "PA_SC_P3D_TRAP_SCREEN_V" + "map": {"at": 196644, "to": "mm"}, + "name": "CP_NUM_PRIM_WRITTEN_COUNT0_HI", + "type_ref": "CP_NUM_PRIM_WRITTEN_COUNT0_HI" }, { "chips": ["gfx10"], - "map": {"at": 164772, "to": "mm"}, - "name": "PA_SC_LEFT_VERT_GRID", - "type_ref": "PA_SC_RIGHT_VERT_GRID" + "map": {"at": 196648, "to": "mm"}, + "name": "CP_NUM_PRIM_NEEDED_COUNT0_LO", + "type_ref": "CP_NUM_PRIM_NEEDED_COUNT0_LO" }, { "chips": ["gfx10"], - "map": {"at": 166876, "to": "mm"}, - "name": "PA_SC_LINE_CNTL", - "type_ref": "PA_SC_LINE_CNTL" + "map": {"at": 196652, "to": "mm"}, + "name": "CP_NUM_PRIM_NEEDED_COUNT0_HI", + "type_ref": "CP_NUM_PRIM_NEEDED_COUNT0_HI" }, { "chips": ["gfx10"], - "map": {"at": 166412, "to": "mm"}, - "name": "PA_SC_LINE_STIPPLE", - "type_ref": "PA_SC_LINE_STIPPLE" + "map": {"at": 196656, "to": "mm"}, + "name": "CP_NUM_PRIM_WRITTEN_COUNT1_LO", + "type_ref": "CP_NUM_PRIM_WRITTEN_COUNT1_LO" }, { "chips": ["gfx10"], - "map": {"at": 199172, "to": "mm"}, - "name": "PA_SC_LINE_STIPPLE_STATE", - "type_ref": "PA_SC_LINE_STIPPLE_STATE" + "map": {"at": 196660, "to": "mm"}, + "name": "CP_NUM_PRIM_WRITTEN_COUNT1_HI", + "type_ref": "CP_NUM_PRIM_WRITTEN_COUNT1_HI" }, { "chips": ["gfx10"], - "map": {"at": 166472, "to": "mm"}, - "name": "PA_SC_MODE_CNTL_0", - "type_ref": "PA_SC_MODE_CNTL_0" + "map": {"at": 196664, "to": "mm"}, + "name": "CP_NUM_PRIM_NEEDED_COUNT1_LO", + "type_ref": "CP_NUM_PRIM_NEEDED_COUNT1_LO" }, { "chips": ["gfx10"], - "map": {"at": 166476, "to": "mm"}, - "name": "PA_SC_MODE_CNTL_1", - "type_ref": "PA_SC_MODE_CNTL_1" + "map": {"at": 196668, "to": "mm"}, + "name": "CP_NUM_PRIM_NEEDED_COUNT1_HI", + "type_ref": "CP_NUM_PRIM_NEEDED_COUNT1_HI" }, { "chips": ["gfx10"], - "map": {"at": 166992, "to": "mm"}, - "name": "PA_SC_NGG_MODE_CNTL", - "type_ref": "PA_SC_NGG_MODE_CNTL" + "map": {"at": 196672, "to": "mm"}, + "name": "CP_NUM_PRIM_WRITTEN_COUNT2_LO", + "type_ref": "CP_NUM_PRIM_WRITTEN_COUNT2_LO" }, { "chips": ["gfx10"], - "map": {"at": 199312, "to": "mm"}, - "name": "PA_SC_P3D_TRAP_SCREEN_COUNT", - "type_ref": "CP_GFX_DDID_INFLIGHT_COUNT" + "map": {"at": 196676, "to": "mm"}, + "name": "CP_NUM_PRIM_WRITTEN_COUNT2_HI", + "type_ref": "CP_NUM_PRIM_WRITTEN_COUNT2_HI" }, { "chips": ["gfx10"], - "map": {"at": 199300, "to": "mm"}, - "name": "PA_SC_P3D_TRAP_SCREEN_H", - "type_ref": "PA_SC_P3D_TRAP_SCREEN_H" + "map": {"at": 196680, "to": "mm"}, + "name": "CP_NUM_PRIM_NEEDED_COUNT2_LO", + "type_ref": "CP_NUM_PRIM_NEEDED_COUNT2_LO" }, { "chips": ["gfx10"], - "map": {"at": 199296, "to": "mm"}, - "name": "PA_SC_P3D_TRAP_SCREEN_HV_EN", - "type_ref": "PA_SC_P3D_TRAP_SCREEN_HV_EN" + "map": {"at": 196684, "to": "mm"}, + "name": "CP_NUM_PRIM_NEEDED_COUNT2_HI", + "type_ref": "CP_NUM_PRIM_NEEDED_COUNT2_HI" }, { "chips": ["gfx10"], - "map": {"at": 199308, "to": "mm"}, - "name": "PA_SC_P3D_TRAP_SCREEN_OCCURRENCE", - "type_ref": "CP_GFX_DDID_INFLIGHT_COUNT" + "map": {"at": 196688, "to": "mm"}, + "name": "CP_NUM_PRIM_WRITTEN_COUNT3_LO", + "type_ref": "CP_NUM_PRIM_WRITTEN_COUNT3_LO" }, { "chips": ["gfx10"], - "map": {"at": 199304, "to": "mm"}, - "name": "PA_SC_P3D_TRAP_SCREEN_V", - "type_ref": "PA_SC_P3D_TRAP_SCREEN_V" + "map": {"at": 196692, "to": "mm"}, + "name": "CP_NUM_PRIM_WRITTEN_COUNT3_HI", + "type_ref": "CP_NUM_PRIM_WRITTEN_COUNT3_HI" }, { "chips": ["gfx10"], - "map": {"at": 214276, "to": "mm"}, - "name": "PA_SC_PERFCOUNTER0_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 196696, "to": "mm"}, + "name": "CP_NUM_PRIM_NEEDED_COUNT3_LO", + "type_ref": "CP_NUM_PRIM_NEEDED_COUNT3_LO" }, { "chips": ["gfx10"], - "map": {"at": 214272, "to": "mm"}, - "name": "PA_SC_PERFCOUNTER0_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 196700, "to": "mm"}, + "name": "CP_NUM_PRIM_NEEDED_COUNT3_HI", + "type_ref": "CP_NUM_PRIM_NEEDED_COUNT3_HI" }, { "chips": ["gfx10"], - "map": {"at": 222464, "to": "mm"}, - "name": "PA_SC_PERFCOUNTER0_SELECT", - "type_ref": "PA_SC_PERFCOUNTER0_SELECT" + "map": {"at": 196704, "to": "mm"}, + "name": "CP_PIPE_STATS_ADDR_LO", + "type_ref": "CP_PIPE_STATS_ADDR_LO" }, { "chips": ["gfx10"], - "map": {"at": 222468, "to": "mm"}, - "name": "PA_SC_PERFCOUNTER0_SELECT1", - "type_ref": "PA_SC_PERFCOUNTER0_SELECT1" + "map": {"at": 196708, "to": "mm"}, + "name": "CP_PIPE_STATS_ADDR_HI", + "type_ref": "CP_PIPE_STATS_ADDR_HI" }, { "chips": ["gfx10"], - "map": {"at": 214284, "to": "mm"}, - "name": "PA_SC_PERFCOUNTER1_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 196712, "to": "mm"}, + "name": "CP_VGT_IAVERT_COUNT_LO", + "type_ref": "CP_VGT_IAVERT_COUNT_LO" }, { "chips": ["gfx10"], - "map": {"at": 214280, "to": "mm"}, - "name": "PA_SC_PERFCOUNTER1_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 196716, "to": "mm"}, + "name": "CP_VGT_IAVERT_COUNT_HI", + "type_ref": "CP_VGT_IAVERT_COUNT_HI" }, { "chips": ["gfx10"], - "map": {"at": 222472, "to": "mm"}, - "name": "PA_SC_PERFCOUNTER1_SELECT", - "type_ref": "PA_SC_PERFCOUNTER1_SELECT" + "map": {"at": 196720, "to": "mm"}, + "name": "CP_VGT_IAPRIM_COUNT_LO", + "type_ref": "CP_VGT_IAPRIM_COUNT_LO" }, { "chips": ["gfx10"], - "map": {"at": 214292, "to": "mm"}, - "name": "PA_SC_PERFCOUNTER2_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 196724, "to": "mm"}, + "name": "CP_VGT_IAPRIM_COUNT_HI", + "type_ref": "CP_VGT_IAPRIM_COUNT_HI" }, { "chips": ["gfx10"], - "map": {"at": 214288, "to": "mm"}, - "name": "PA_SC_PERFCOUNTER2_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 196728, "to": "mm"}, + "name": "CP_VGT_GSPRIM_COUNT_LO", + "type_ref": "CP_VGT_GSPRIM_COUNT_LO" }, { "chips": ["gfx10"], - "map": {"at": 222476, "to": "mm"}, - "name": "PA_SC_PERFCOUNTER2_SELECT", - "type_ref": "PA_SC_PERFCOUNTER1_SELECT" + "map": {"at": 196732, "to": "mm"}, + "name": "CP_VGT_GSPRIM_COUNT_HI", + "type_ref": "CP_VGT_GSPRIM_COUNT_HI" }, { "chips": ["gfx10"], - "map": {"at": 214300, "to": "mm"}, - "name": "PA_SC_PERFCOUNTER3_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 196736, "to": "mm"}, + "name": "CP_VGT_VSINVOC_COUNT_LO", + "type_ref": "CP_VGT_VSINVOC_COUNT_LO" }, { "chips": ["gfx10"], - "map": {"at": 214296, "to": "mm"}, - "name": "PA_SC_PERFCOUNTER3_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 196740, "to": "mm"}, + "name": "CP_VGT_VSINVOC_COUNT_HI", + "type_ref": "CP_VGT_VSINVOC_COUNT_HI" }, { "chips": ["gfx10"], - "map": {"at": 222480, "to": "mm"}, - "name": "PA_SC_PERFCOUNTER3_SELECT", - "type_ref": "PA_SC_PERFCOUNTER1_SELECT" + "map": {"at": 196744, "to": "mm"}, + "name": "CP_VGT_GSINVOC_COUNT_LO", + "type_ref": "CP_VGT_GSINVOC_COUNT_LO" }, { "chips": ["gfx10"], - "map": {"at": 214308, "to": "mm"}, - "name": "PA_SC_PERFCOUNTER4_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 196748, "to": "mm"}, + "name": "CP_VGT_GSINVOC_COUNT_HI", + "type_ref": "CP_VGT_GSINVOC_COUNT_HI" }, { "chips": ["gfx10"], - "map": {"at": 214304, "to": "mm"}, - "name": "PA_SC_PERFCOUNTER4_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 196752, "to": "mm"}, + "name": "CP_VGT_HSINVOC_COUNT_LO", + "type_ref": "CP_VGT_HSINVOC_COUNT_LO" }, { "chips": ["gfx10"], - "map": {"at": 222484, "to": "mm"}, - "name": "PA_SC_PERFCOUNTER4_SELECT", - "type_ref": "PA_SC_PERFCOUNTER1_SELECT" + "map": {"at": 196756, "to": "mm"}, + "name": "CP_VGT_HSINVOC_COUNT_HI", + "type_ref": "CP_VGT_HSINVOC_COUNT_HI" }, { "chips": ["gfx10"], - "map": {"at": 214316, "to": "mm"}, - "name": "PA_SC_PERFCOUNTER5_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 196760, "to": "mm"}, + "name": "CP_VGT_DSINVOC_COUNT_LO", + "type_ref": "CP_VGT_DSINVOC_COUNT_LO" }, { "chips": ["gfx10"], - "map": {"at": 214312, "to": "mm"}, - "name": "PA_SC_PERFCOUNTER5_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 196764, "to": "mm"}, + "name": "CP_VGT_DSINVOC_COUNT_HI", + "type_ref": "CP_VGT_DSINVOC_COUNT_HI" }, { "chips": ["gfx10"], - "map": {"at": 222488, "to": "mm"}, - "name": "PA_SC_PERFCOUNTER5_SELECT", - "type_ref": "PA_SC_PERFCOUNTER1_SELECT" + "map": {"at": 196768, "to": "mm"}, + "name": "CP_PA_CINVOC_COUNT_LO", + "type_ref": "CP_PA_CINVOC_COUNT_LO" }, { "chips": ["gfx10"], - "map": {"at": 214324, "to": "mm"}, - "name": "PA_SC_PERFCOUNTER6_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 196772, "to": "mm"}, + "name": "CP_PA_CINVOC_COUNT_HI", + "type_ref": "CP_PA_CINVOC_COUNT_HI" }, { "chips": ["gfx10"], - "map": {"at": 214320, "to": "mm"}, - "name": "PA_SC_PERFCOUNTER6_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 196776, "to": "mm"}, + "name": "CP_PA_CPRIM_COUNT_LO", + "type_ref": "CP_PA_CPRIM_COUNT_LO" }, { "chips": ["gfx10"], - "map": {"at": 222492, "to": "mm"}, - "name": "PA_SC_PERFCOUNTER6_SELECT", - "type_ref": "PA_SC_PERFCOUNTER1_SELECT" + "map": {"at": 196780, "to": "mm"}, + "name": "CP_PA_CPRIM_COUNT_HI", + "type_ref": "CP_PA_CPRIM_COUNT_HI" }, { "chips": ["gfx10"], - "map": {"at": 214332, "to": "mm"}, - "name": "PA_SC_PERFCOUNTER7_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 196784, "to": "mm"}, + "name": "CP_SC_PSINVOC_COUNT0_LO", + "type_ref": "CP_SC_PSINVOC_COUNT0_LO" }, { "chips": ["gfx10"], - "map": {"at": 214328, "to": "mm"}, - "name": "PA_SC_PERFCOUNTER7_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 196788, "to": "mm"}, + "name": "CP_SC_PSINVOC_COUNT0_HI", + "type_ref": "CP_SC_PSINVOC_COUNT0_HI" }, { "chips": ["gfx10"], - "map": {"at": 222496, "to": "mm"}, - "name": "PA_SC_PERFCOUNTER7_SELECT", - "type_ref": "PA_SC_PERFCOUNTER1_SELECT" + "map": {"at": 196792, "to": "mm"}, + "name": "CP_SC_PSINVOC_COUNT1_LO", + "type_ref": "CP_SC_PSINVOC_COUNT1_LO" }, { "chips": ["gfx10"], - "map": {"at": 164688, "to": "mm"}, - "name": "PA_SC_RASTER_CONFIG", - "type_ref": "PA_SC_RASTER_CONFIG" + "map": {"at": 196796, "to": "mm"}, + "name": "CP_SC_PSINVOC_COUNT1_HI", + "type_ref": "CP_SC_PSINVOC_COUNT1_LO" }, { "chips": ["gfx10"], - "map": {"at": 164692, "to": "mm"}, - "name": "PA_SC_RASTER_CONFIG_1", - "type_ref": "PA_SC_RASTER_CONFIG_1" + "map": {"at": 196800, "to": "mm"}, + "name": "CP_VGT_CSINVOC_COUNT_LO", + "type_ref": "CP_VGT_CSINVOC_COUNT_LO" }, { "chips": ["gfx10"], - "map": {"at": 164768, "to": "mm"}, - "name": "PA_SC_RIGHT_VERT_GRID", - "type_ref": "PA_SC_RIGHT_VERT_GRID" + "map": {"at": 196804, "to": "mm"}, + "name": "CP_VGT_CSINVOC_COUNT_HI", + "type_ref": "CP_VGT_CSINVOC_COUNT_HI" }, { "chips": ["gfx10"], - "map": {"at": 164696, "to": "mm"}, - "name": "PA_SC_SCREEN_EXTENT_CONTROL", - "type_ref": "PA_SC_SCREEN_EXTENT_CONTROL" + "map": {"at": 196808, "to": "mm"}, + "name": "CP_EOP_DONE_DOORBELL", + "type_ref": "CP_EOP_DONE_DOORBELL" }, { "chips": ["gfx10"], - "map": {"at": 199188, "to": "mm"}, - "name": "PA_SC_SCREEN_EXTENT_MAX_0", - "type_ref": "PA_SC_SCREEN_EXTENT_MIN_0" + "map": {"at": 196812, "to": "mm"}, + "name": "CP_STREAM_OUT_DOORBELL", + "type_ref": "CP_EOP_DONE_DOORBELL" }, { "chips": ["gfx10"], - "map": {"at": 199212, "to": "mm"}, - "name": "PA_SC_SCREEN_EXTENT_MAX_1", - "type_ref": "PA_SC_SCREEN_EXTENT_MIN_0" + "map": {"at": 196816, "to": "mm"}, + "name": "CP_SEM_DOORBELL", + "type_ref": "CP_EOP_DONE_DOORBELL" }, { "chips": ["gfx10"], - "map": {"at": 199184, "to": "mm"}, - "name": "PA_SC_SCREEN_EXTENT_MIN_0", - "type_ref": "PA_SC_SCREEN_EXTENT_MIN_0" + "map": {"at": 196852, "to": "mm"}, + "name": "CP_PIPE_STATS_CONTROL", + "type_ref": "CP_PIPE_STATS_CONTROL" }, { "chips": ["gfx10"], - "map": {"at": 199192, "to": "mm"}, - "name": "PA_SC_SCREEN_EXTENT_MIN_1", - "type_ref": "PA_SC_SCREEN_EXTENT_MIN_0" + "map": {"at": 196856, "to": "mm"}, + "name": "CP_STREAM_OUT_CONTROL", + "type_ref": "CP_PIPE_STATS_CONTROL" }, { "chips": ["gfx10"], - "map": {"at": 163892, "to": "mm"}, - "name": "PA_SC_SCREEN_SCISSOR_BR", - "type_ref": "PA_SC_SCREEN_SCISSOR_BR" + "map": {"at": 196860, "to": "mm"}, + "name": "CP_STRMOUT_CNTL", + "type_ref": "CP_STRMOUT_CNTL" }, { "chips": ["gfx10"], - "map": {"at": 163888, "to": "mm"}, - "name": "PA_SC_SCREEN_SCISSOR_TL", - "type_ref": "PA_SC_SCREEN_SCISSOR_TL" + "map": {"at": 196864, "to": "mm"}, + "name": "SCRATCH_REG0", + "type_ref": "SCRATCH_REG0" }, { "chips": ["gfx10"], - "map": {"at": 166976, "to": "mm"}, - "name": "PA_SC_SHADER_CONTROL", - "type_ref": "PA_SC_SHADER_CONTROL" + "map": {"at": 196868, "to": "mm"}, + "name": "SCRATCH_REG1", + "type_ref": "SCRATCH_REG1" }, { "chips": ["gfx10"], - "map": {"at": 164700, "to": "mm"}, - "name": "PA_SC_TILE_STEERING_OVERRIDE", - "type_ref": "PA_SC_TILE_STEERING_OVERRIDE" + "map": {"at": 196872, "to": "mm"}, + "name": "SCRATCH_REG2", + "type_ref": "SCRATCH_REG2" }, { "chips": ["gfx10"], - "map": {"at": 199376, "to": "mm"}, - "name": "PA_SC_TRAP_SCREEN_COUNT", - "type_ref": "CP_GFX_DDID_INFLIGHT_COUNT" + "map": {"at": 196876, "to": "mm"}, + "name": "SCRATCH_REG3", + "type_ref": "SCRATCH_REG3" }, { "chips": ["gfx10"], - "map": {"at": 199364, "to": "mm"}, - "name": "PA_SC_TRAP_SCREEN_H", - "type_ref": "PA_SC_P3D_TRAP_SCREEN_H" + "map": {"at": 196880, "to": "mm"}, + "name": "SCRATCH_REG4", + "type_ref": "SCRATCH_REG4" }, { "chips": ["gfx10"], - "map": {"at": 199360, "to": "mm"}, - "name": "PA_SC_TRAP_SCREEN_HV_EN", - "type_ref": "PA_SC_P3D_TRAP_SCREEN_HV_EN" + "map": {"at": 196884, "to": "mm"}, + "name": "SCRATCH_REG5", + "type_ref": "SCRATCH_REG5" }, { "chips": ["gfx10"], - "map": {"at": 199372, "to": "mm"}, - "name": "PA_SC_TRAP_SCREEN_OCCURRENCE", - "type_ref": "CP_GFX_DDID_INFLIGHT_COUNT" + "map": {"at": 196888, "to": "mm"}, + "name": "SCRATCH_REG6", + "type_ref": "SCRATCH_REG6" }, { "chips": ["gfx10"], - "map": {"at": 199368, "to": "mm"}, - "name": "PA_SC_TRAP_SCREEN_V", - "type_ref": "PA_SC_P3D_TRAP_SCREEN_V" + "map": {"at": 196892, "to": "mm"}, + "name": "SCRATCH_REG7", + "type_ref": "SCRATCH_REG7" }, { "chips": ["gfx10"], - "map": {"at": 164436, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_0_BR", - "type_ref": "PA_SC_CLIPRECT_0_BR" + "map": {"at": 196896, "to": "mm"}, + "name": "CP_PIPE_STATS_DOORBELL", + "type_ref": "CP_EOP_DONE_DOORBELL" }, { "chips": ["gfx10"], - "map": {"at": 164432, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_0_TL", - "type_ref": "PA_SC_GENERIC_SCISSOR_TL" + "map": {"at": 196908, "to": "mm"}, + "name": "CP_APPEND_DDID_CNT", + "type_ref": "COMPUTE_PGM_HI" }, { "chips": ["gfx10"], - "map": {"at": 164516, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_10_BR", - "type_ref": "PA_SC_CLIPRECT_0_BR" + "map": {"at": 196912, "to": "mm"}, + "name": "CP_APPEND_DATA_HI", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 164512, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_10_TL", - "type_ref": "PA_SC_GENERIC_SCISSOR_TL" + "map": {"at": 196916, "to": "mm"}, + "name": "CP_APPEND_LAST_CS_FENCE_HI", + "type_ref": "CP_APPEND_LAST_CS_FENCE_HI" }, { "chips": ["gfx10"], - "map": {"at": 164524, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_11_BR", - "type_ref": "PA_SC_CLIPRECT_0_BR" + "map": {"at": 196920, "to": "mm"}, + "name": "CP_APPEND_LAST_PS_FENCE_HI", + "type_ref": "CP_APPEND_LAST_CS_FENCE_HI" }, { "chips": ["gfx10"], - "map": {"at": 164520, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_11_TL", - "type_ref": "PA_SC_GENERIC_SCISSOR_TL" + "map": {"at": 196928, "to": "mm"}, + "name": "SCRATCH_UMSK", + "type_ref": "SCRATCH_UMSK" }, { "chips": ["gfx10"], - "map": {"at": 164532, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_12_BR", - "type_ref": "PA_SC_CLIPRECT_0_BR" + "map": {"at": 196932, "to": "mm"}, + "name": "SCRATCH_ADDR", + "type_ref": "SCRATCH_ADDR" }, { "chips": ["gfx10"], - "map": {"at": 164528, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_12_TL", - "type_ref": "PA_SC_GENERIC_SCISSOR_TL" + "map": {"at": 196936, "to": "mm"}, + "name": "CP_PFP_ATOMIC_PREOP_LO", + "type_ref": "CP_PFP_ATOMIC_PREOP_LO" }, { "chips": ["gfx10"], - "map": {"at": 164540, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_13_BR", - "type_ref": "PA_SC_CLIPRECT_0_BR" + "map": {"at": 196940, "to": "mm"}, + "name": "CP_PFP_ATOMIC_PREOP_HI", + "type_ref": "CP_PFP_ATOMIC_PREOP_HI" }, { "chips": ["gfx10"], - "map": {"at": 164536, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_13_TL", - "type_ref": "PA_SC_GENERIC_SCISSOR_TL" + "map": {"at": 196944, "to": "mm"}, + "name": "CP_PFP_GDS_ATOMIC0_PREOP_LO", + "type_ref": "CP_PFP_GDS_ATOMIC0_PREOP_LO" }, { "chips": ["gfx10"], - "map": {"at": 164548, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_14_BR", - "type_ref": "PA_SC_CLIPRECT_0_BR" + "map": {"at": 196948, "to": "mm"}, + "name": "CP_PFP_GDS_ATOMIC0_PREOP_HI", + "type_ref": "CP_PFP_GDS_ATOMIC0_PREOP_HI" }, { "chips": ["gfx10"], - "map": {"at": 164544, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_14_TL", - "type_ref": "PA_SC_GENERIC_SCISSOR_TL" + "map": {"at": 196952, "to": "mm"}, + "name": "CP_PFP_GDS_ATOMIC1_PREOP_LO", + "type_ref": "CP_PFP_GDS_ATOMIC1_PREOP_LO" }, { "chips": ["gfx10"], - "map": {"at": 164556, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_15_BR", - "type_ref": "PA_SC_CLIPRECT_0_BR" + "map": {"at": 196956, "to": "mm"}, + "name": "CP_PFP_GDS_ATOMIC1_PREOP_HI", + "type_ref": "CP_PFP_GDS_ATOMIC1_PREOP_HI" }, { "chips": ["gfx10"], - "map": {"at": 164552, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_15_TL", - "type_ref": "PA_SC_GENERIC_SCISSOR_TL" + "map": {"at": 196960, "to": "mm"}, + "name": "CP_APPEND_ADDR_LO", + "type_ref": "CP_APPEND_ADDR_LO" }, { "chips": ["gfx10"], - "map": {"at": 164444, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_1_BR", - "type_ref": "PA_SC_CLIPRECT_0_BR" + "map": {"at": 196964, "to": "mm"}, + "name": "CP_APPEND_ADDR_HI", + "type_ref": "CP_APPEND_ADDR_HI" }, { "chips": ["gfx10"], - "map": {"at": 164440, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_1_TL", - "type_ref": "PA_SC_GENERIC_SCISSOR_TL" + "map": {"at": 196968, "to": "mm"}, + "name": "CP_APPEND_DATA", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 164452, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_2_BR", - "type_ref": "PA_SC_CLIPRECT_0_BR" + "map": {"at": 196972, "to": "mm"}, + "name": "CP_APPEND_LAST_CS_FENCE", + "type_ref": "CP_APPEND_LAST_CS_FENCE_HI" }, { "chips": ["gfx10"], - "map": {"at": 164448, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_2_TL", - "type_ref": "PA_SC_GENERIC_SCISSOR_TL" + "map": {"at": 196976, "to": "mm"}, + "name": "CP_APPEND_LAST_PS_FENCE", + "type_ref": "CP_APPEND_LAST_CS_FENCE_HI" }, { "chips": ["gfx10"], - "map": {"at": 164460, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_3_BR", - "type_ref": "PA_SC_CLIPRECT_0_BR" + "map": {"at": 196980, "to": "mm"}, + "name": "CP_ATOMIC_PREOP_LO", + "type_ref": "CP_PFP_ATOMIC_PREOP_LO" }, { "chips": ["gfx10"], - "map": {"at": 164456, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_3_TL", - "type_ref": "PA_SC_GENERIC_SCISSOR_TL" + "map": {"at": 196984, "to": "mm"}, + "name": "CP_ATOMIC_PREOP_HI", + "type_ref": "CP_PFP_ATOMIC_PREOP_HI" }, { "chips": ["gfx10"], - "map": {"at": 164468, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_4_BR", - "type_ref": "PA_SC_CLIPRECT_0_BR" + "map": {"at": 196988, "to": "mm"}, + "name": "CP_GDS_ATOMIC0_PREOP_LO", + "type_ref": "CP_PFP_GDS_ATOMIC0_PREOP_LO" }, { "chips": ["gfx10"], - "map": {"at": 164464, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_4_TL", - "type_ref": "PA_SC_GENERIC_SCISSOR_TL" + "map": {"at": 196992, "to": "mm"}, + "name": "CP_GDS_ATOMIC0_PREOP_HI", + "type_ref": "CP_PFP_GDS_ATOMIC0_PREOP_HI" }, { "chips": ["gfx10"], - "map": {"at": 164476, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_5_BR", - "type_ref": "PA_SC_CLIPRECT_0_BR" + "map": {"at": 196996, "to": "mm"}, + "name": "CP_GDS_ATOMIC1_PREOP_LO", + "type_ref": "CP_PFP_GDS_ATOMIC1_PREOP_LO" }, { "chips": ["gfx10"], - "map": {"at": 164472, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_5_TL", - "type_ref": "PA_SC_GENERIC_SCISSOR_TL" + "map": {"at": 197000, "to": "mm"}, + "name": "CP_GDS_ATOMIC1_PREOP_HI", + "type_ref": "CP_PFP_GDS_ATOMIC1_PREOP_HI" }, { "chips": ["gfx10"], - "map": {"at": 164484, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_6_BR", - "type_ref": "PA_SC_CLIPRECT_0_BR" + "map": {"at": 197028, "to": "mm"}, + "name": "CP_ME_MC_WADDR_LO", + "type_ref": "CP_ME_MC_WADDR_LO" }, { "chips": ["gfx10"], - "map": {"at": 164480, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_6_TL", - "type_ref": "PA_SC_GENERIC_SCISSOR_TL" + "map": {"at": 197032, "to": "mm"}, + "name": "CP_ME_MC_WADDR_HI", + "type_ref": "CP_ME_MC_WADDR_HI" }, { "chips": ["gfx10"], - "map": {"at": 164492, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_7_BR", - "type_ref": "PA_SC_CLIPRECT_0_BR" + "map": {"at": 197036, "to": "mm"}, + "name": "CP_ME_MC_WDATA_LO", + "type_ref": "CP_ME_MC_WDATA_LO" }, { "chips": ["gfx10"], - "map": {"at": 164488, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_7_TL", - "type_ref": "PA_SC_GENERIC_SCISSOR_TL" + "map": {"at": 197040, "to": "mm"}, + "name": "CP_ME_MC_WDATA_HI", + "type_ref": "CP_ME_MC_WDATA_HI" }, { "chips": ["gfx10"], - "map": {"at": 164500, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_8_BR", - "type_ref": "PA_SC_CLIPRECT_0_BR" + "map": {"at": 197044, "to": "mm"}, + "name": "CP_ME_MC_RADDR_LO", + "type_ref": "CP_ME_MC_RADDR_LO" }, { "chips": ["gfx10"], - "map": {"at": 164496, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_8_TL", - "type_ref": "PA_SC_GENERIC_SCISSOR_TL" + "map": {"at": 197048, "to": "mm"}, + "name": "CP_ME_MC_RADDR_HI", + "type_ref": "CP_ME_MC_RADDR_HI" }, { "chips": ["gfx10"], - "map": {"at": 164508, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_9_BR", - "type_ref": "PA_SC_CLIPRECT_0_BR" + "map": {"at": 197052, "to": "mm"}, + "name": "CP_SEM_WAIT_TIMER", + "type_ref": "CP_SEM_WAIT_TIMER" }, { "chips": ["gfx10"], - "map": {"at": 164504, "to": "mm"}, - "name": "PA_SC_VPORT_SCISSOR_9_TL", - "type_ref": "PA_SC_GENERIC_SCISSOR_TL" + "map": {"at": 197056, "to": "mm"}, + "name": "CP_SIG_SEM_ADDR_LO", + "type_ref": "CP_SIG_SEM_ADDR_LO" }, { "chips": ["gfx10"], - "map": {"at": 164564, "to": "mm"}, - "name": "PA_SC_VPORT_ZMAX_0", - "type_ref": "PA_SC_VPORT_ZMAX_0" + "map": {"at": 197060, "to": "mm"}, + "name": "CP_SIG_SEM_ADDR_HI", + "type_ref": "CP_SIG_SEM_ADDR_HI" }, { "chips": ["gfx10"], - "map": {"at": 164572, "to": "mm"}, - "name": "PA_SC_VPORT_ZMAX_1", - "type_ref": "PA_SC_VPORT_ZMAX_0" + "map": {"at": 197072, "to": "mm"}, + "name": "CP_WAIT_REG_MEM_TIMEOUT", + "type_ref": "CP_WAIT_REG_MEM_TIMEOUT" + }, + { + "chips": ["gfx10"], + "map": {"at": 197076, "to": "mm"}, + "name": "CP_WAIT_SEM_ADDR_LO", + "type_ref": "CP_SIG_SEM_ADDR_LO" }, { "chips": ["gfx10"], - "map": {"at": 164644, "to": "mm"}, - "name": "PA_SC_VPORT_ZMAX_10", - "type_ref": "PA_SC_VPORT_ZMAX_0" + "map": {"at": 197080, "to": "mm"}, + "name": "CP_WAIT_SEM_ADDR_HI", + "type_ref": "CP_SIG_SEM_ADDR_HI" }, { "chips": ["gfx10"], - "map": {"at": 164652, "to": "mm"}, - "name": "PA_SC_VPORT_ZMAX_11", - "type_ref": "PA_SC_VPORT_ZMAX_0" + "map": {"at": 197084, "to": "mm"}, + "name": "CP_DMA_PFP_CONTROL", + "type_ref": "CP_DMA_PFP_CONTROL" }, { "chips": ["gfx10"], - "map": {"at": 164660, "to": "mm"}, - "name": "PA_SC_VPORT_ZMAX_12", - "type_ref": "PA_SC_VPORT_ZMAX_0" + "map": {"at": 197088, "to": "mm"}, + "name": "CP_DMA_ME_CONTROL", + "type_ref": "CP_DMA_PFP_CONTROL" }, { "chips": ["gfx10"], - "map": {"at": 164668, "to": "mm"}, - "name": "PA_SC_VPORT_ZMAX_13", - "type_ref": "PA_SC_VPORT_ZMAX_0" + "map": {"at": 197092, "to": "mm"}, + "name": "CP_COHER_BASE_HI", + "type_ref": "CP_COHER_BASE_HI" }, { "chips": ["gfx10"], - "map": {"at": 164676, "to": "mm"}, - "name": "PA_SC_VPORT_ZMAX_14", - "type_ref": "PA_SC_VPORT_ZMAX_0" + "map": {"at": 197100, "to": "mm"}, + "name": "CP_COHER_START_DELAY", + "type_ref": "CP_COHER_START_DELAY" }, { "chips": ["gfx10"], - "map": {"at": 164684, "to": "mm"}, - "name": "PA_SC_VPORT_ZMAX_15", - "type_ref": "PA_SC_VPORT_ZMAX_0" + "map": {"at": 197104, "to": "mm"}, + "name": "CP_COHER_CNTL", + "type_ref": "CP_COHER_CNTL" }, { "chips": ["gfx10"], - "map": {"at": 164580, "to": "mm"}, - "name": "PA_SC_VPORT_ZMAX_2", - "type_ref": "PA_SC_VPORT_ZMAX_0" + "map": {"at": 197108, "to": "mm"}, + "name": "CP_COHER_SIZE", + "type_ref": "CP_COHER_SIZE" }, { "chips": ["gfx10"], - "map": {"at": 164588, "to": "mm"}, - "name": "PA_SC_VPORT_ZMAX_3", - "type_ref": "PA_SC_VPORT_ZMAX_0" + "map": {"at": 197112, "to": "mm"}, + "name": "CP_COHER_BASE", + "type_ref": "CP_COHER_BASE" }, { "chips": ["gfx10"], - "map": {"at": 164596, "to": "mm"}, - "name": "PA_SC_VPORT_ZMAX_4", - "type_ref": "PA_SC_VPORT_ZMAX_0" + "map": {"at": 197116, "to": "mm"}, + "name": "CP_COHER_STATUS", + "type_ref": "CP_COHER_STATUS" }, { "chips": ["gfx10"], - "map": {"at": 164604, "to": "mm"}, - "name": "PA_SC_VPORT_ZMAX_5", - "type_ref": "PA_SC_VPORT_ZMAX_0" + "map": {"at": 197120, "to": "mm"}, + "name": "CP_DMA_ME_SRC_ADDR", + "type_ref": "CP_DMA_ME_SRC_ADDR" }, { "chips": ["gfx10"], - "map": {"at": 164612, "to": "mm"}, - "name": "PA_SC_VPORT_ZMAX_6", - "type_ref": "PA_SC_VPORT_ZMAX_0" + "map": {"at": 197124, "to": "mm"}, + "name": "CP_DMA_ME_SRC_ADDR_HI", + "type_ref": "CP_DMA_ME_SRC_ADDR_HI" }, { "chips": ["gfx10"], - "map": {"at": 164620, "to": "mm"}, - "name": "PA_SC_VPORT_ZMAX_7", - "type_ref": "PA_SC_VPORT_ZMAX_0" + "map": {"at": 197128, "to": "mm"}, + "name": "CP_DMA_ME_DST_ADDR", + "type_ref": "CP_DMA_ME_DST_ADDR" }, { "chips": ["gfx10"], - "map": {"at": 164628, "to": "mm"}, - "name": "PA_SC_VPORT_ZMAX_8", - "type_ref": "PA_SC_VPORT_ZMAX_0" + "map": {"at": 197132, "to": "mm"}, + "name": "CP_DMA_ME_DST_ADDR_HI", + "type_ref": "CP_DMA_ME_DST_ADDR_HI" }, { "chips": ["gfx10"], - "map": {"at": 164636, "to": "mm"}, - "name": "PA_SC_VPORT_ZMAX_9", - "type_ref": "PA_SC_VPORT_ZMAX_0" + "map": {"at": 197136, "to": "mm"}, + "name": "CP_DMA_ME_COMMAND", + "type_ref": "CP_DMA_ME_COMMAND" }, { "chips": ["gfx10"], - "map": {"at": 164560, "to": "mm"}, - "name": "PA_SC_VPORT_ZMIN_0", - "type_ref": "PA_SC_VPORT_ZMIN_0" + "map": {"at": 197140, "to": "mm"}, + "name": "CP_DMA_PFP_SRC_ADDR", + "type_ref": "CP_DMA_ME_SRC_ADDR" }, { "chips": ["gfx10"], - "map": {"at": 164568, "to": "mm"}, - "name": "PA_SC_VPORT_ZMIN_1", - "type_ref": "PA_SC_VPORT_ZMIN_0" + "map": {"at": 197144, "to": "mm"}, + "name": "CP_DMA_PFP_SRC_ADDR_HI", + "type_ref": "CP_DMA_ME_SRC_ADDR_HI" }, { "chips": ["gfx10"], - "map": {"at": 164640, "to": "mm"}, - "name": "PA_SC_VPORT_ZMIN_10", - "type_ref": "PA_SC_VPORT_ZMIN_0" + "map": {"at": 197148, "to": "mm"}, + "name": "CP_DMA_PFP_DST_ADDR", + "type_ref": "CP_DMA_ME_DST_ADDR" }, { "chips": ["gfx10"], - "map": {"at": 164648, "to": "mm"}, - "name": "PA_SC_VPORT_ZMIN_11", - "type_ref": "PA_SC_VPORT_ZMIN_0" + "map": {"at": 197152, "to": "mm"}, + "name": "CP_DMA_PFP_DST_ADDR_HI", + "type_ref": "CP_DMA_ME_DST_ADDR_HI" }, { "chips": ["gfx10"], - "map": {"at": 164656, "to": "mm"}, - "name": "PA_SC_VPORT_ZMIN_12", - "type_ref": "PA_SC_VPORT_ZMIN_0" + "map": {"at": 197156, "to": "mm"}, + "name": "CP_DMA_PFP_COMMAND", + "type_ref": "CP_DMA_ME_COMMAND" }, { "chips": ["gfx10"], - "map": {"at": 164664, "to": "mm"}, - "name": "PA_SC_VPORT_ZMIN_13", - "type_ref": "PA_SC_VPORT_ZMIN_0" + "map": {"at": 197160, "to": "mm"}, + "name": "CP_DMA_CNTL", + "type_ref": "CP_DMA_CNTL" }, { "chips": ["gfx10"], - "map": {"at": 164672, "to": "mm"}, - "name": "PA_SC_VPORT_ZMIN_14", - "type_ref": "PA_SC_VPORT_ZMIN_0" + "map": {"at": 197164, "to": "mm"}, + "name": "CP_DMA_READ_TAGS", + "type_ref": "CP_DMA_READ_TAGS" }, { "chips": ["gfx10"], - "map": {"at": 164680, "to": "mm"}, - "name": "PA_SC_VPORT_ZMIN_15", - "type_ref": "PA_SC_VPORT_ZMIN_0" + "map": {"at": 197168, "to": "mm"}, + "name": "CP_COHER_SIZE_HI", + "type_ref": "CP_COHER_SIZE_HI" }, { "chips": ["gfx10"], - "map": {"at": 164576, "to": "mm"}, - "name": "PA_SC_VPORT_ZMIN_2", - "type_ref": "PA_SC_VPORT_ZMIN_0" + "map": {"at": 197172, "to": "mm"}, + "name": "CP_PFP_IB_CONTROL", + "type_ref": "CP_PFP_IB_CONTROL" }, { "chips": ["gfx10"], - "map": {"at": 164584, "to": "mm"}, - "name": "PA_SC_VPORT_ZMIN_3", - "type_ref": "PA_SC_VPORT_ZMIN_0" + "map": {"at": 197176, "to": "mm"}, + "name": "CP_PFP_LOAD_CONTROL", + "type_ref": "CP_PFP_LOAD_CONTROL" }, { "chips": ["gfx10"], - "map": {"at": 164592, "to": "mm"}, - "name": "PA_SC_VPORT_ZMIN_4", - "type_ref": "PA_SC_VPORT_ZMIN_0" + "map": {"at": 197180, "to": "mm"}, + "name": "CP_SCRATCH_INDEX", + "type_ref": "CP_SCRATCH_INDEX" }, { "chips": ["gfx10"], - "map": {"at": 164600, "to": "mm"}, - "name": "PA_SC_VPORT_ZMIN_5", - "type_ref": "PA_SC_VPORT_ZMIN_0" + "map": {"at": 197184, "to": "mm"}, + "name": "CP_SCRATCH_DATA", + "type_ref": "CP_CPC_SCRATCH_DATA" }, { "chips": ["gfx10"], - "map": {"at": 164608, "to": "mm"}, - "name": "PA_SC_VPORT_ZMIN_6", - "type_ref": "PA_SC_VPORT_ZMIN_0" + "map": {"at": 197188, "to": "mm"}, + "name": "CP_RB_OFFSET", + "type_ref": "CP_RB_OFFSET" }, { "chips": ["gfx10"], - "map": {"at": 164616, "to": "mm"}, - "name": "PA_SC_VPORT_ZMIN_7", - "type_ref": "PA_SC_VPORT_ZMIN_0" + "map": {"at": 197192, "to": "mm"}, + "name": "CP_IB1_OFFSET", + "type_ref": "CP_IB1_OFFSET" }, { "chips": ["gfx10"], - "map": {"at": 164624, "to": "mm"}, - "name": "PA_SC_VPORT_ZMIN_8", - "type_ref": "PA_SC_VPORT_ZMIN_0" + "map": {"at": 197196, "to": "mm"}, + "name": "CP_IB2_OFFSET", + "type_ref": "CP_IB2_OFFSET" }, { "chips": ["gfx10"], - "map": {"at": 164632, "to": "mm"}, - "name": "PA_SC_VPORT_ZMIN_9", - "type_ref": "PA_SC_VPORT_ZMIN_0" + "map": {"at": 197200, "to": "mm"}, + "name": "CP_IB1_PREAMBLE_BEGIN", + "type_ref": "CP_IB1_PREAMBLE_BEGIN" }, { "chips": ["gfx10"], - "map": {"at": 164352, "to": "mm"}, - "name": "PA_SC_WINDOW_OFFSET", - "type_ref": "PA_SC_WINDOW_OFFSET" + "map": {"at": 197204, "to": "mm"}, + "name": "CP_IB1_PREAMBLE_END", + "type_ref": "CP_IB1_PREAMBLE_END" }, { "chips": ["gfx10"], - "map": {"at": 164360, "to": "mm"}, - "name": "PA_SC_WINDOW_SCISSOR_BR", - "type_ref": "PA_SC_CLIPRECT_0_BR" + "map": {"at": 197208, "to": "mm"}, + "name": "CP_IB2_PREAMBLE_BEGIN", + "type_ref": "CP_IB2_PREAMBLE_BEGIN" }, { "chips": ["gfx10"], - "map": {"at": 164356, "to": "mm"}, - "name": "PA_SC_WINDOW_SCISSOR_TL", - "type_ref": "PA_SC_GENERIC_SCISSOR_TL" + "map": {"at": 197212, "to": "mm"}, + "name": "CP_IB2_PREAMBLE_END", + "type_ref": "CP_IB2_PREAMBLE_END" }, { "chips": ["gfx10"], - "map": {"at": 165956, "to": "mm"}, - "name": "PA_STATE_STEREO_X", - "type_ref": "PA_STATE_STEREO_X" + "map": {"at": 197216, "to": "mm"}, + "name": "CP_CE_IB1_OFFSET", + "type_ref": "CP_IB1_OFFSET" }, { "chips": ["gfx10"], - "map": {"at": 165952, "to": "mm"}, - "name": "PA_STEREO_CNTL", - "type_ref": "PA_STEREO_CNTL" + "map": {"at": 197220, "to": "mm"}, + "name": "CP_CE_IB2_OFFSET", + "type_ref": "CP_IB2_OFFSET" }, { "chips": ["gfx10"], - "map": {"at": 164404, "to": "mm"}, - "name": "PA_SU_HARDWARE_SCREEN_OFFSET", - "type_ref": "PA_SU_HARDWARE_SCREEN_OFFSET" + "map": {"at": 197224, "to": "mm"}, + "name": "CP_CE_COUNTER", + "type_ref": "CP_CE_COUNTER" }, { "chips": ["gfx10"], - "map": {"at": 166408, "to": "mm"}, - "name": "PA_SU_LINE_CNTL", - "type_ref": "PA_SU_LINE_CNTL" + "map": {"at": 197232, "to": "mm"}, + "name": "CP_DMA_ME_CMD_ADDR_LO", + "type_ref": "CP_DMA_ME_CMD_ADDR_LO" }, { "chips": ["gfx10"], - "map": {"at": 165924, "to": "mm"}, - "name": "PA_SU_LINE_STIPPLE_CNTL", - "type_ref": "PA_SU_LINE_STIPPLE_CNTL" + "map": {"at": 197236, "to": "mm"}, + "name": "CP_DMA_ME_CMD_ADDR_HI", + "type_ref": "CP_DMA_ME_CMD_ADDR_HI" }, { "chips": ["gfx10"], - "map": {"at": 165928, "to": "mm"}, - "name": "PA_SU_LINE_STIPPLE_SCALE", - "type_ref": "PA_SU_LINE_STIPPLE_SCALE" + "map": {"at": 197240, "to": "mm"}, + "name": "CP_DMA_PFP_CMD_ADDR_LO", + "type_ref": "CP_DMA_ME_CMD_ADDR_LO" }, { "chips": ["gfx10"], - "map": {"at": 199168, "to": "mm"}, - "name": "PA_SU_LINE_STIPPLE_VALUE", - "type_ref": "PA_SU_LINE_STIPPLE_VALUE" + "map": {"at": 197244, "to": "mm"}, + "name": "CP_DMA_PFP_CMD_ADDR_HI", + "type_ref": "CP_DMA_ME_CMD_ADDR_HI" }, { "chips": ["gfx10"], - "map": {"at": 165948, "to": "mm"}, - "name": "PA_SU_OVER_RASTERIZATION_CNTL", - "type_ref": "PA_SU_OVER_RASTERIZATION_CNTL" + "map": {"at": 197248, "to": "mm"}, + "name": "CP_APPEND_CMD_ADDR_LO", + "type_ref": "CP_DMA_ME_CMD_ADDR_LO" }, { "chips": ["gfx10"], - "map": {"at": 214020, "to": "mm"}, - "name": "PA_SU_PERFCOUNTER0_HI", - "type_ref": "PA_SU_PERFCOUNTER0_HI" + "map": {"at": 197252, "to": "mm"}, + "name": "CP_APPEND_CMD_ADDR_HI", + "type_ref": "CP_DMA_ME_CMD_ADDR_HI" }, { "chips": ["gfx10"], - "map": {"at": 214016, "to": "mm"}, - "name": "PA_SU_PERFCOUNTER0_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 197364, "to": "mm"}, + "name": "CP_CE_INIT_CMD_BUFSZ", + "type_ref": "CP_CE_INIT_CMD_BUFSZ" }, { "chips": ["gfx10"], - "map": {"at": 222208, "to": "mm"}, - "name": "PA_SU_PERFCOUNTER0_SELECT", - "type_ref": "PA_SU_PERFCOUNTER0_SELECT" + "map": {"at": 197368, "to": "mm"}, + "name": "CP_CE_IB1_CMD_BUFSZ", + "type_ref": "CP_CE_IB1_CMD_BUFSZ" }, { "chips": ["gfx10"], - "map": {"at": 222212, "to": "mm"}, - "name": "PA_SU_PERFCOUNTER0_SELECT1", - "type_ref": "PA_SU_PERFCOUNTER0_SELECT1" + "map": {"at": 197372, "to": "mm"}, + "name": "CP_CE_IB2_CMD_BUFSZ", + "type_ref": "CP_CE_IB2_CMD_BUFSZ" }, { "chips": ["gfx10"], - "map": {"at": 214028, "to": "mm"}, - "name": "PA_SU_PERFCOUNTER1_HI", - "type_ref": "PA_SU_PERFCOUNTER0_HI" + "map": {"at": 197376, "to": "mm"}, + "name": "CP_IB1_CMD_BUFSZ", + "type_ref": "CP_CE_IB1_CMD_BUFSZ" }, { "chips": ["gfx10"], - "map": {"at": 214024, "to": "mm"}, - "name": "PA_SU_PERFCOUNTER1_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 197380, "to": "mm"}, + "name": "CP_IB2_CMD_BUFSZ", + "type_ref": "CP_CE_IB2_CMD_BUFSZ" }, { "chips": ["gfx10"], - "map": {"at": 222216, "to": "mm"}, - "name": "PA_SU_PERFCOUNTER1_SELECT", - "type_ref": "PA_SU_PERFCOUNTER0_SELECT" + "map": {"at": 197384, "to": "mm"}, + "name": "CP_ST_CMD_BUFSZ", + "type_ref": "CP_ST_CMD_BUFSZ" }, { "chips": ["gfx10"], - "map": {"at": 222220, "to": "mm"}, - "name": "PA_SU_PERFCOUNTER1_SELECT1", - "type_ref": "PA_SU_PERFCOUNTER0_SELECT1" + "map": {"at": 197388, "to": "mm"}, + "name": "CP_CE_INIT_BASE_LO", + "type_ref": "CP_CE_INIT_BASE_LO" }, { "chips": ["gfx10"], - "map": {"at": 214036, "to": "mm"}, - "name": "PA_SU_PERFCOUNTER2_HI", - "type_ref": "PA_SU_PERFCOUNTER0_HI" + "map": {"at": 197392, "to": "mm"}, + "name": "CP_CE_INIT_BASE_HI", + "type_ref": "CP_CE_INIT_BASE_HI" }, { "chips": ["gfx10"], - "map": {"at": 214032, "to": "mm"}, - "name": "PA_SU_PERFCOUNTER2_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 197396, "to": "mm"}, + "name": "CP_CE_INIT_BUFSZ", + "type_ref": "CP_CE_INIT_BUFSZ" }, { "chips": ["gfx10"], - "map": {"at": 222224, "to": "mm"}, - "name": "PA_SU_PERFCOUNTER2_SELECT", - "type_ref": "PA_SU_PERFCOUNTER0_SELECT" + "map": {"at": 197400, "to": "mm"}, + "name": "CP_CE_IB1_BASE_LO", + "type_ref": "CP_CE_IB1_BASE_LO" }, { "chips": ["gfx10"], - "map": {"at": 222228, "to": "mm"}, - "name": "PA_SU_PERFCOUNTER2_SELECT1", - "type_ref": "PA_SU_PERFCOUNTER0_SELECT1" + "map": {"at": 197404, "to": "mm"}, + "name": "CP_CE_IB1_BASE_HI", + "type_ref": "CP_CE_IB1_BASE_HI" }, { "chips": ["gfx10"], - "map": {"at": 214044, "to": "mm"}, - "name": "PA_SU_PERFCOUNTER3_HI", - "type_ref": "PA_SU_PERFCOUNTER0_HI" + "map": {"at": 197408, "to": "mm"}, + "name": "CP_CE_IB1_BUFSZ", + "type_ref": "CP_CE_IB1_BUFSZ" }, { "chips": ["gfx10"], - "map": {"at": 214040, "to": "mm"}, - "name": "PA_SU_PERFCOUNTER3_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 197412, "to": "mm"}, + "name": "CP_CE_IB2_BASE_LO", + "type_ref": "CP_CE_IB2_BASE_LO" }, { "chips": ["gfx10"], - "map": {"at": 222232, "to": "mm"}, - "name": "PA_SU_PERFCOUNTER3_SELECT", - "type_ref": "PA_SU_PERFCOUNTER0_SELECT" + "map": {"at": 197416, "to": "mm"}, + "name": "CP_CE_IB2_BASE_HI", + "type_ref": "CP_CE_IB2_BASE_HI" }, { "chips": ["gfx10"], - "map": {"at": 222236, "to": "mm"}, - "name": "PA_SU_PERFCOUNTER3_SELECT1", - "type_ref": "PA_SU_PERFCOUNTER0_SELECT1" + "map": {"at": 197420, "to": "mm"}, + "name": "CP_CE_IB2_BUFSZ", + "type_ref": "CP_CE_IB2_BUFSZ" }, { "chips": ["gfx10"], - "map": {"at": 166404, "to": "mm"}, - "name": "PA_SU_POINT_MINMAX", - "type_ref": "PA_SU_POINT_MINMAX" + "map": {"at": 197424, "to": "mm"}, + "name": "CP_IB1_BASE_LO", + "type_ref": "CP_CE_IB1_BASE_LO" }, { "chips": ["gfx10"], - "map": {"at": 166400, "to": "mm"}, - "name": "PA_SU_POINT_SIZE", - "type_ref": "PA_SU_POINT_SIZE" + "map": {"at": 197428, "to": "mm"}, + "name": "CP_IB1_BASE_HI", + "type_ref": "CP_CE_IB1_BASE_HI" }, { "chips": ["gfx10"], - "map": {"at": 166796, "to": "mm"}, - "name": "PA_SU_POLY_OFFSET_BACK_OFFSET", - "type_ref": "CP_HQD_PQ_WPTR_LO" + "map": {"at": 197432, "to": "mm"}, + "name": "CP_IB1_BUFSZ", + "type_ref": "CP_CE_IB1_BUFSZ" }, { "chips": ["gfx10"], - "map": {"at": 166792, "to": "mm"}, - "name": "PA_SU_POLY_OFFSET_BACK_SCALE", - "type_ref": "PA_SU_POLY_OFFSET_FRONT_SCALE" + "map": {"at": 197436, "to": "mm"}, + "name": "CP_IB2_BASE_LO", + "type_ref": "CP_CE_IB2_BASE_LO" }, { "chips": ["gfx10"], - "map": {"at": 166780, "to": "mm"}, - "name": "PA_SU_POLY_OFFSET_CLAMP", - "type_ref": "PA_SU_POLY_OFFSET_CLAMP" + "map": {"at": 197440, "to": "mm"}, + "name": "CP_IB2_BASE_HI", + "type_ref": "CP_CE_IB2_BASE_HI" }, { "chips": ["gfx10"], - "map": {"at": 166776, "to": "mm"}, - "name": "PA_SU_POLY_OFFSET_DB_FMT_CNTL", - "type_ref": "PA_SU_POLY_OFFSET_DB_FMT_CNTL" + "map": {"at": 197444, "to": "mm"}, + "name": "CP_IB2_BUFSZ", + "type_ref": "CP_CE_IB2_BUFSZ" }, { "chips": ["gfx10"], - "map": {"at": 166788, "to": "mm"}, - "name": "PA_SU_POLY_OFFSET_FRONT_OFFSET", - "type_ref": "CP_HQD_PQ_WPTR_LO" + "map": {"at": 197448, "to": "mm"}, + "name": "CP_ST_BASE_LO", + "type_ref": "CP_ST_BASE_LO" }, { "chips": ["gfx10"], - "map": {"at": 166784, "to": "mm"}, - "name": "PA_SU_POLY_OFFSET_FRONT_SCALE", - "type_ref": "PA_SU_POLY_OFFSET_FRONT_SCALE" + "map": {"at": 197452, "to": "mm"}, + "name": "CP_ST_BASE_HI", + "type_ref": "CP_ST_BASE_HI" }, { "chips": ["gfx10"], - "map": {"at": 165932, "to": "mm"}, - "name": "PA_SU_PRIM_FILTER_CNTL", - "type_ref": "PA_SU_PRIM_FILTER_CNTL" + "map": {"at": 197456, "to": "mm"}, + "name": "CP_ST_BUFSZ", + "type_ref": "CP_ST_BUFSZ" }, { "chips": ["gfx10"], - "map": {"at": 165908, "to": "mm"}, - "name": "PA_SU_SC_MODE_CNTL", - "type_ref": "PA_SU_SC_MODE_CNTL" + "map": {"at": 197460, "to": "mm"}, + "name": "CP_EOP_DONE_EVENT_CNTL", + "type_ref": "CP_EOP_DONE_EVENT_CNTL" }, { "chips": ["gfx10"], - "map": {"at": 165936, "to": "mm"}, - "name": "PA_SU_SMALL_PRIM_FILTER_CNTL", - "type_ref": "PA_SU_SMALL_PRIM_FILTER_CNTL" + "map": {"at": 197464, "to": "mm"}, + "name": "CP_EOP_DONE_DATA_CNTL", + "type_ref": "CP_EOP_DONE_DATA_CNTL" }, { "chips": ["gfx10"], - "map": {"at": 166884, "to": "mm"}, - "name": "PA_SU_VTX_CNTL", - "type_ref": "PA_SU_VTX_CNTL" + "map": {"at": 197468, "to": "mm"}, + "name": "CP_EOP_DONE_CNTX_ID", + "type_ref": "CP_EOP_DONE_CNTX_ID" }, { "chips": ["gfx10"], - "map": {"at": 197888, "to": "mm"}, - "name": "RLC_GPM_PERF_COUNT_0", - "type_ref": "RLC_GPM_PERF_COUNT_0" + "map": {"at": 197472, "to": "mm"}, + "name": "CP_DB_BASE_LO", + "type_ref": "CP_DB_BASE_LO" }, { "chips": ["gfx10"], - "map": {"at": 197892, "to": "mm"}, - "name": "RLC_GPM_PERF_COUNT_1", - "type_ref": "RLC_GPM_PERF_COUNT_0" + "map": {"at": 197476, "to": "mm"}, + "name": "CP_DB_BASE_HI", + "type_ref": "CP_DB_BASE_HI" }, { "chips": ["gfx10"], - "map": {"at": 226060, "to": "mm"}, - "name": "RLC_GPU_IOV_PERF_CNT_CNTL", - "type_ref": "RLC_GPU_IOV_PERF_CNT_CNTL" + "map": {"at": 197480, "to": "mm"}, + "name": "CP_DB_BUFSZ", + "type_ref": "CP_DB_BUFSZ" }, { "chips": ["gfx10"], - "map": {"at": 226072, "to": "mm"}, - "name": "RLC_GPU_IOV_PERF_CNT_RD_ADDR", - "type_ref": "RLC_GPU_IOV_PERF_CNT_WR_ADDR" + "map": {"at": 197484, "to": "mm"}, + "name": "CP_DB_CMD_BUFSZ", + "type_ref": "CP_DB_CMD_BUFSZ" }, { "chips": ["gfx10"], - "map": {"at": 226076, "to": "mm"}, - "name": "RLC_GPU_IOV_PERF_CNT_RD_DATA", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 197488, "to": "mm"}, + "name": "CP_CE_DB_BASE_LO", + "type_ref": "CP_DB_BASE_LO" }, { "chips": ["gfx10"], - "map": {"at": 226064, "to": "mm"}, - "name": "RLC_GPU_IOV_PERF_CNT_WR_ADDR", - "type_ref": "RLC_GPU_IOV_PERF_CNT_WR_ADDR" + "map": {"at": 197492, "to": "mm"}, + "name": "CP_CE_DB_BASE_HI", + "type_ref": "CP_DB_BASE_HI" }, { "chips": ["gfx10"], - "map": {"at": 226068, "to": "mm"}, - "name": "RLC_GPU_IOV_PERF_CNT_WR_DATA", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 197496, "to": "mm"}, + "name": "CP_CE_DB_BUFSZ", + "type_ref": "CP_DB_BUFSZ" }, { "chips": ["gfx10"], - "map": {"at": 217604, "to": "mm"}, - "name": "RLC_PERFCOUNTER0_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 197500, "to": "mm"}, + "name": "CP_CE_DB_CMD_BUFSZ", + "type_ref": "CP_DB_CMD_BUFSZ" }, { "chips": ["gfx10"], - "map": {"at": 217600, "to": "mm"}, - "name": "RLC_PERFCOUNTER0_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 197552, "to": "mm"}, + "name": "CP_PFP_COMPLETION_STATUS", + "type_ref": "CP_PFP_COMPLETION_STATUS" }, { "chips": ["gfx10"], - "map": {"at": 226052, "to": "mm"}, - "name": "RLC_PERFCOUNTER0_SELECT", - "type_ref": "RLC_PERFCOUNTER0_SELECT" + "map": {"at": 197556, "to": "mm"}, + "name": "CP_CE_COMPLETION_STATUS", + "type_ref": "CP_PFP_COMPLETION_STATUS" }, { "chips": ["gfx10"], - "map": {"at": 217612, "to": "mm"}, - "name": "RLC_PERFCOUNTER1_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 197560, "to": "mm"}, + "name": "CP_PRED_NOT_VISIBLE", + "type_ref": "CP_PRED_NOT_VISIBLE" }, { "chips": ["gfx10"], - "map": {"at": 217608, "to": "mm"}, - "name": "RLC_PERFCOUNTER1_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 197568, "to": "mm"}, + "name": "CP_PFP_METADATA_BASE_ADDR", + "type_ref": "CP_PFP_METADATA_BASE_ADDR" }, { "chips": ["gfx10"], - "map": {"at": 226056, "to": "mm"}, - "name": "RLC_PERFCOUNTER1_SELECT", - "type_ref": "RLC_PERFCOUNTER0_SELECT" + "map": {"at": 197572, "to": "mm"}, + "name": "CP_PFP_METADATA_BASE_ADDR_HI", + "type_ref": "CP_EOP_DONE_ADDR_HI" }, { "chips": ["gfx10"], - "map": {"at": 226192, "to": "mm"}, - "name": "RLC_PERFMON_CLK_CNTL", - "type_ref": "RLC_PERFMON_CLK_CNTL" + "map": {"at": 197576, "to": "mm"}, + "name": "CP_CE_METADATA_BASE_ADDR", + "type_ref": "CP_PFP_METADATA_BASE_ADDR" }, { "chips": ["gfx10"], - "map": {"at": 226196, "to": "mm"}, - "name": "RLC_PERFMON_CLK_CNTL_UCODE", - "type_ref": "RLC_PERFMON_CLK_CNTL" + "map": {"at": 197580, "to": "mm"}, + "name": "CP_CE_METADATA_BASE_ADDR_HI", + "type_ref": "CP_EOP_DONE_ADDR_HI" }, { "chips": ["gfx10"], - "map": {"at": 226048, "to": "mm"}, - "name": "RLC_PERFMON_CNTL", - "type_ref": "RLC_PERFMON_CNTL" + "map": {"at": 197584, "to": "mm"}, + "name": "CP_DRAW_INDX_INDR_ADDR", + "type_ref": "CP_PFP_METADATA_BASE_ADDR" }, { "chips": ["gfx10"], - "map": {"at": 225892, "to": "mm"}, - "name": "RLC_SPM_ACCUM_CTRL", - "type_ref": "RLC_SPM_ACCUM_CTRL" + "map": {"at": 197588, "to": "mm"}, + "name": "CP_DRAW_INDX_INDR_ADDR_HI", + "type_ref": "CP_EOP_DONE_ADDR_HI" }, { "chips": ["gfx10"], - "map": {"at": 225880, "to": "mm"}, - "name": "RLC_SPM_ACCUM_CTRLRAM_ADDR", - "type_ref": "RLC_SPM_ACCUM_CTRLRAM_ADDR" + "map": {"at": 197592, "to": "mm"}, + "name": "CP_DISPATCH_INDR_ADDR", + "type_ref": "CP_PFP_METADATA_BASE_ADDR" }, { "chips": ["gfx10"], - "map": {"at": 225884, "to": "mm"}, - "name": "RLC_SPM_ACCUM_CTRLRAM_DATA", - "type_ref": "RLC_SPM_ACCUM_CTRLRAM_DATA" + "map": {"at": 197596, "to": "mm"}, + "name": "CP_DISPATCH_INDR_ADDR_HI", + "type_ref": "CP_EOP_DONE_ADDR_HI" }, { "chips": ["gfx10"], - "map": {"at": 225872, "to": "mm"}, - "name": "RLC_SPM_ACCUM_DATARAM_ADDR", - "type_ref": "RLC_SPM_ACCUM_DATARAM_ADDR" + "map": {"at": 197600, "to": "mm"}, + "name": "CP_INDEX_BASE_ADDR", + "type_ref": "CP_PFP_METADATA_BASE_ADDR" }, { "chips": ["gfx10"], - "map": {"at": 225876, "to": "mm"}, - "name": "RLC_SPM_ACCUM_DATARAM_DATA", - "type_ref": "RLC_SPM_ACCUM_DATARAM_DATA" + "map": {"at": 197604, "to": "mm"}, + "name": "CP_INDEX_BASE_ADDR_HI", + "type_ref": "CP_EOP_DONE_ADDR_HI" }, { "chips": ["gfx10"], - "map": {"at": 225908, "to": "mm"}, - "name": "RLC_SPM_ACCUM_DATARAM_WRCOUNT", - "type_ref": "RLC_SPM_ACCUM_DATARAM_WRCOUNT" + "map": {"at": 197608, "to": "mm"}, + "name": "CP_INDEX_TYPE", + "type_ref": "CP_INDEX_TYPE" }, { "chips": ["gfx10"], - "map": {"at": 225896, "to": "mm"}, - "name": "RLC_SPM_ACCUM_MODE", - "type_ref": "RLC_SPM_ACCUM_MODE" + "map": {"at": 197612, "to": "mm"}, + "name": "CP_GDS_BKUP_ADDR", + "type_ref": "CP_PFP_METADATA_BASE_ADDR" }, { "chips": ["gfx10"], - "map": {"at": 225904, "to": "mm"}, - "name": "RLC_SPM_ACCUM_SAMPLES_REQUESTED", - "type_ref": "RLC_SPM_ACCUM_SAMPLES_REQUESTED" + "map": {"at": 197616, "to": "mm"}, + "name": "CP_GDS_BKUP_ADDR_HI", + "type_ref": "CP_EOP_DONE_ADDR_HI" }, { "chips": ["gfx10"], - "map": {"at": 225888, "to": "mm"}, - "name": "RLC_SPM_ACCUM_STATUS", - "type_ref": "RLC_SPM_ACCUM_STATUS" + "map": {"at": 197620, "to": "mm"}, + "name": "CP_SAMPLE_STATUS", + "type_ref": "CP_SAMPLE_STATUS" }, { "chips": ["gfx10"], - "map": {"at": 225900, "to": "mm"}, - "name": "RLC_SPM_ACCUM_THRESHOLD", - "type_ref": "RLC_SPM_ACCUM_THRESHOLD" + "map": {"at": 197624, "to": "mm"}, + "name": "CP_ME_COHER_CNTL", + "type_ref": "CP_ME_COHER_CNTL" }, { "chips": ["gfx10"], - "map": {"at": 225836, "to": "mm"}, - "name": "RLC_SPM_DESER_START_SKEW", - "type_ref": "RLC_SPM_DESER_START_SKEW" + "map": {"at": 197628, "to": "mm"}, + "name": "CP_ME_COHER_SIZE", + "type_ref": "CP_COHER_SIZE" + }, + { + "chips": ["gfx10"], + "map": {"at": 197632, "to": "mm"}, + "name": "CP_ME_COHER_SIZE_HI", + "type_ref": "CP_COHER_SIZE_HI" }, { "chips": ["gfx10"], - "map": {"at": 225856, "to": "mm"}, - "name": "RLC_SPM_GLB_SAMPLEDELAY_IND_ADDR", - "type_ref": "RLC_SPM_GLB_SAMPLEDELAY_IND_ADDR" + "map": {"at": 197636, "to": "mm"}, + "name": "CP_ME_COHER_BASE", + "type_ref": "CP_COHER_BASE" }, { "chips": ["gfx10"], - "map": {"at": 225860, "to": "mm"}, - "name": "RLC_SPM_GLB_SAMPLEDELAY_IND_DATA", - "type_ref": "RLC_SPM_GLB_SAMPLEDELAY_IND_DATA" + "map": {"at": 197640, "to": "mm"}, + "name": "CP_ME_COHER_BASE_HI", + "type_ref": "CP_COHER_BASE_HI" }, { "chips": ["gfx10"], - "map": {"at": 225844, "to": "mm"}, - "name": "RLC_SPM_GLOBALS_MUXSEL_SKEW", - "type_ref": "RLC_SPM_GLOBALS_MUXSEL_SKEW" + "map": {"at": 197644, "to": "mm"}, + "name": "CP_ME_COHER_STATUS", + "type_ref": "CP_ME_COHER_STATUS" }, { "chips": ["gfx10"], - "map": {"at": 225840, "to": "mm"}, - "name": "RLC_SPM_GLOBALS_SAMPLE_SKEW", - "type_ref": "RLC_SPM_GLOBALS_SAMPLE_SKEW" + "map": {"at": 197888, "to": "mm"}, + "name": "RLC_GPM_PERF_COUNT_0", + "type_ref": "RLC_GPM_PERF_COUNT_0" }, { "chips": ["gfx10"], - "map": {"at": 225828, "to": "mm"}, - "name": "RLC_SPM_GLOBAL_MUXSEL_ADDR", - "type_ref": "RLC_SPM_GLOBAL_MUXSEL_ADDR" + "map": {"at": 197892, "to": "mm"}, + "name": "RLC_GPM_PERF_COUNT_1", + "type_ref": "RLC_GPM_PERF_COUNT_0" }, { "chips": ["gfx10"], - "map": {"at": 225832, "to": "mm"}, - "name": "RLC_SPM_GLOBAL_MUXSEL_DATA", - "type_ref": "RLC_SPM_SE_MUXSEL_DATA" + "map": {"at": 198656, "to": "mm"}, + "name": "GRBM_GFX_INDEX", + "type_ref": "GRBM_GFX_INDEX" }, { "chips": ["gfx10"], - "map": {"at": 225792, "to": "mm"}, - "name": "RLC_SPM_PERFMON_CNTL", - "type_ref": "RLC_SPM_PERFMON_CNTL" + "map": {"at": 198912, "to": "mm"}, + "name": "VGT_ESGS_RING_SIZE_UMD", + "type_ref": "VGT_ESGS_RING_SIZE_UMD" }, { "chips": ["gfx10"], - "map": {"at": 225916, "to": "mm"}, - "name": "RLC_SPM_PERFMON_GLB_SEGMENT_SIZE", - "type_ref": "RLC_SPM_PERFMON_GLB_SEGMENT_SIZE" + "map": {"at": 198916, "to": "mm"}, + "name": "VGT_GSVS_RING_SIZE_UMD", + "type_ref": "VGT_ESGS_RING_SIZE_UMD" }, { "chips": ["gfx10"], - "map": {"at": 225800, "to": "mm"}, - "name": "RLC_SPM_PERFMON_RING_BASE_HI", - "type_ref": "RLC_SPM_PERFMON_RING_BASE_HI" + "map": {"at": 198920, "to": "mm"}, + "name": "VGT_PRIMITIVE_TYPE", + "type_ref": "VGT_PRIMITIVE_TYPE" }, { "chips": ["gfx10"], - "map": {"at": 225796, "to": "mm"}, - "name": "RLC_SPM_PERFMON_RING_BASE_LO", - "type_ref": "RLC_SPM_PERFMON_RING_BASE_LO" + "map": {"at": 198924, "to": "mm"}, + "name": "VGT_INDEX_TYPE", + "type_ref": "CP_INDEX_TYPE" }, { "chips": ["gfx10"], - "map": {"at": 225804, "to": "mm"}, - "name": "RLC_SPM_PERFMON_RING_SIZE", - "type_ref": "RLC_SPM_PERFMON_RING_SIZE" + "map": {"at": 198928, "to": "mm"}, + "name": "VGT_STRMOUT_BUFFER_FILLED_SIZE_0", + "type_ref": "COMPUTE_DIM_X" }, { "chips": ["gfx10"], - "map": {"at": 225912, "to": "mm"}, - "name": "RLC_SPM_PERFMON_SE3TO0_SEGMENT_SIZE", - "type_ref": "RLC_SPM_PERFMON_SE3TO0_SEGMENT_SIZE" + "map": {"at": 198932, "to": "mm"}, + "name": "VGT_STRMOUT_BUFFER_FILLED_SIZE_1", + "type_ref": "COMPUTE_DIM_X" }, { "chips": ["gfx10"], - "map": {"at": 225808, "to": "mm"}, - "name": "RLC_SPM_PERFMON_SEGMENT_SIZE", - "type_ref": "RLC_SPM_PERFMON_SEGMENT_SIZE" + "map": {"at": 198936, "to": "mm"}, + "name": "VGT_STRMOUT_BUFFER_FILLED_SIZE_2", + "type_ref": "COMPUTE_DIM_X" }, { "chips": ["gfx10"], - "map": {"at": 225812, "to": "mm"}, - "name": "RLC_SPM_RING_RDPTR", - "type_ref": "RLC_SPM_RING_RDPTR" + "map": {"at": 198940, "to": "mm"}, + "name": "VGT_STRMOUT_BUFFER_FILLED_SIZE_3", + "type_ref": "COMPUTE_DIM_X" }, { "chips": ["gfx10"], - "map": {"at": 225816, "to": "mm"}, - "name": "RLC_SPM_SEGMENT_THRESHOLD", - "type_ref": "RLC_SPM_SEGMENT_THRESHOLD" + "map": {"at": 198948, "to": "mm"}, + "name": "GE_MIN_VTX_INDX", + "type_ref": "VGT_MIN_VTX_INDX" }, { "chips": ["gfx10"], - "map": {"at": 225820, "to": "mm"}, - "name": "RLC_SPM_SE_MUXSEL_ADDR", - "type_ref": "RLC_SPM_SE_MUXSEL_ADDR" + "map": {"at": 198952, "to": "mm"}, + "name": "GE_INDX_OFFSET", + "type_ref": "VGT_INDX_OFFSET" }, { "chips": ["gfx10"], - "map": {"at": 225824, "to": "mm"}, - "name": "RLC_SPM_SE_MUXSEL_DATA", - "type_ref": "RLC_SPM_SE_MUXSEL_DATA" + "map": {"at": 198956, "to": "mm"}, + "name": "GE_MULTI_PRIM_IB_RESET_EN", + "type_ref": "VGT_MULTI_PRIM_IB_RESET_EN" }, { "chips": ["gfx10"], - "map": {"at": 225852, "to": "mm"}, - "name": "RLC_SPM_SE_MUXSEL_SKEW", - "type_ref": "RLC_SPM_SE_MUXSEL_SKEW" + "map": {"at": 198960, "to": "mm"}, + "name": "VGT_NUM_INDICES", + "type_ref": "VGT_DMA_SIZE" }, { "chips": ["gfx10"], - "map": {"at": 225864, "to": "mm"}, - "name": "RLC_SPM_SE_SAMPLEDELAY_IND_ADDR", - "type_ref": "RLC_SPM_SE_SAMPLEDELAY_IND_ADDR" + "map": {"at": 198964, "to": "mm"}, + "name": "VGT_NUM_INSTANCES", + "type_ref": "VGT_DMA_NUM_INSTANCES" }, { "chips": ["gfx10"], - "map": {"at": 225868, "to": "mm"}, - "name": "RLC_SPM_SE_SAMPLEDELAY_IND_DATA", - "type_ref": "RLC_SPM_GLB_SAMPLEDELAY_IND_DATA" + "map": {"at": 198968, "to": "mm"}, + "name": "VGT_TF_RING_SIZE_UMD", + "type_ref": "VGT_TF_RING_SIZE_UMD" }, { "chips": ["gfx10"], - "map": {"at": 225848, "to": "mm"}, - "name": "RLC_SPM_SE_SAMPLE_SKEW", - "type_ref": "RLC_SPM_SE_SAMPLE_SKEW" + "map": {"at": 198972, "to": "mm"}, + "name": "VGT_HS_OFFCHIP_PARAM_UMD", + "type_ref": "VGT_HS_OFFCHIP_PARAM_UMD" }, { "chips": ["gfx10"], - "map": {"at": 217860, "to": "mm"}, - "name": "RMI_PERFCOUNTER0_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 198976, "to": "mm"}, + "name": "VGT_TF_MEMORY_BASE_UMD", + "type_ref": "VGT_TF_MEMORY_BASE_UMD" }, { "chips": ["gfx10"], - "map": {"at": 217856, "to": "mm"}, - "name": "RMI_PERFCOUNTER0_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 198980, "to": "mm"}, + "name": "GE_DMA_FIRST_INDEX", + "type_ref": "GE_DMA_FIRST_INDEX" }, { "chips": ["gfx10"], - "map": {"at": 226304, "to": "mm"}, - "name": "RMI_PERFCOUNTER0_SELECT", - "type_ref": "RMI_PERFCOUNTER0_SELECT" + "map": {"at": 198984, "to": "mm"}, + "name": "WD_POS_BUF_BASE", + "type_ref": "VGT_TF_MEMORY_BASE_UMD" }, { "chips": ["gfx10"], - "map": {"at": 226308, "to": "mm"}, - "name": "RMI_PERFCOUNTER0_SELECT1", - "type_ref": "RMI_PERFCOUNTER0_SELECT1" + "map": {"at": 198988, "to": "mm"}, + "name": "WD_POS_BUF_BASE_HI", + "type_ref": "DB_Z_READ_BASE_HI" }, { "chips": ["gfx10"], - "map": {"at": 217868, "to": "mm"}, - "name": "RMI_PERFCOUNTER1_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 198992, "to": "mm"}, + "name": "WD_CNTL_SB_BUF_BASE", + "type_ref": "VGT_TF_MEMORY_BASE_UMD" }, { "chips": ["gfx10"], - "map": {"at": 217864, "to": "mm"}, - "name": "RMI_PERFCOUNTER1_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 198996, "to": "mm"}, + "name": "WD_CNTL_SB_BUF_BASE_HI", + "type_ref": "DB_Z_READ_BASE_HI" }, { "chips": ["gfx10"], - "map": {"at": 226312, "to": "mm"}, - "name": "RMI_PERFCOUNTER1_SELECT", - "type_ref": "RMI_PERFCOUNTER1_SELECT" + "map": {"at": 199000, "to": "mm"}, + "name": "WD_INDEX_BUF_BASE", + "type_ref": "VGT_TF_MEMORY_BASE_UMD" }, { "chips": ["gfx10"], - "map": {"at": 217876, "to": "mm"}, - "name": "RMI_PERFCOUNTER2_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 199004, "to": "mm"}, + "name": "WD_INDEX_BUF_BASE_HI", + "type_ref": "DB_Z_READ_BASE_HI" }, { "chips": ["gfx10"], - "map": {"at": 217872, "to": "mm"}, - "name": "RMI_PERFCOUNTER2_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 199008, "to": "mm"}, + "name": "IA_MULTI_VGT_PARAM_PIPED", + "type_ref": "IA_MULTI_VGT_PARAM_PIPED" }, { "chips": ["gfx10"], - "map": {"at": 226316, "to": "mm"}, - "name": "RMI_PERFCOUNTER2_SELECT", - "type_ref": "RMI_PERFCOUNTER0_SELECT" + "map": {"at": 199012, "to": "mm"}, + "name": "GE_MAX_VTX_INDX", + "type_ref": "VGT_MAX_VTX_INDX" }, { "chips": ["gfx10"], - "map": {"at": 226320, "to": "mm"}, - "name": "RMI_PERFCOUNTER2_SELECT1", - "type_ref": "RMI_PERFCOUNTER0_SELECT1" + "map": {"at": 199016, "to": "mm"}, + "name": "VGT_INSTANCE_BASE_ID", + "type_ref": "VGT_INSTANCE_BASE_ID" }, { "chips": ["gfx10"], - "map": {"at": 217884, "to": "mm"}, - "name": "RMI_PERFCOUNTER3_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 199020, "to": "mm"}, + "name": "GE_CNTL", + "type_ref": "GE_CNTL" }, { "chips": ["gfx10"], - "map": {"at": 217880, "to": "mm"}, - "name": "RMI_PERFCOUNTER3_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 199024, "to": "mm"}, + "name": "GE_USER_VGPR1", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 226324, "to": "mm"}, - "name": "RMI_PERFCOUNTER3_SELECT", - "type_ref": "RMI_PERFCOUNTER1_SELECT" + "map": {"at": 199028, "to": "mm"}, + "name": "GE_USER_VGPR2", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 226328, "to": "mm"}, - "name": "RMI_PERF_COUNTER_CNTL", - "type_ref": "RMI_PERF_COUNTER_CNTL" + "map": {"at": 199032, "to": "mm"}, + "name": "GE_USER_VGPR3", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 196932, "to": "mm"}, - "name": "SCRATCH_ADDR", - "type_ref": "SCRATCH_ADDR" + "map": {"at": 199036, "to": "mm"}, + "name": "GE_STEREO_CNTL", + "type_ref": "GE_STEREO_CNTL" }, { "chips": ["gfx10"], - "map": {"at": 196864, "to": "mm"}, - "name": "SCRATCH_REG0", - "type_ref": "SCRATCH_REG0" + "map": {"at": 199040, "to": "mm"}, + "name": "GE_PC_ALLOC", + "type_ref": "GE_PC_ALLOC" }, { "chips": ["gfx10"], - "map": {"at": 196868, "to": "mm"}, - "name": "SCRATCH_REG1", - "type_ref": "SCRATCH_REG1" + "map": {"at": 199044, "to": "mm"}, + "name": "VGT_TF_MEMORY_BASE_HI_UMD", + "type_ref": "DB_Z_READ_BASE_HI" }, { "chips": ["gfx10"], - "map": {"at": 196872, "to": "mm"}, - "name": "SCRATCH_REG2", - "type_ref": "SCRATCH_REG2" + "map": {"at": 199048, "to": "mm"}, + "name": "GE_USER_VGPR_EN", + "type_ref": "GE_USER_VGPR_EN" }, { "chips": ["gfx10"], - "map": {"at": 196876, "to": "mm"}, - "name": "SCRATCH_REG3", - "type_ref": "SCRATCH_REG3" + "map": {"at": 199168, "to": "mm"}, + "name": "PA_SU_LINE_STIPPLE_VALUE", + "type_ref": "PA_SU_LINE_STIPPLE_VALUE" }, { "chips": ["gfx10"], - "map": {"at": 196880, "to": "mm"}, - "name": "SCRATCH_REG4", - "type_ref": "SCRATCH_REG4" + "map": {"at": 199172, "to": "mm"}, + "name": "PA_SC_LINE_STIPPLE_STATE", + "type_ref": "PA_SC_LINE_STIPPLE_STATE" }, { "chips": ["gfx10"], - "map": {"at": 196884, "to": "mm"}, - "name": "SCRATCH_REG5", - "type_ref": "SCRATCH_REG5" + "map": {"at": 199184, "to": "mm"}, + "name": "PA_SC_SCREEN_EXTENT_MIN_0", + "type_ref": "PA_SC_SCREEN_EXTENT_MIN_0" }, { "chips": ["gfx10"], - "map": {"at": 196888, "to": "mm"}, - "name": "SCRATCH_REG6", - "type_ref": "SCRATCH_REG6" + "map": {"at": 199188, "to": "mm"}, + "name": "PA_SC_SCREEN_EXTENT_MAX_0", + "type_ref": "PA_SC_SCREEN_EXTENT_MIN_0" }, { "chips": ["gfx10"], - "map": {"at": 196892, "to": "mm"}, - "name": "SCRATCH_REG7", - "type_ref": "SCRATCH_REG7" + "map": {"at": 199192, "to": "mm"}, + "name": "PA_SC_SCREEN_EXTENT_MIN_1", + "type_ref": "PA_SC_SCREEN_EXTENT_MIN_0" }, { "chips": ["gfx10"], - "map": {"at": 196928, "to": "mm"}, - "name": "SCRATCH_UMSK", - "type_ref": "SCRATCH_UMSK" + "map": {"at": 199212, "to": "mm"}, + "name": "PA_SC_SCREEN_EXTENT_MAX_1", + "type_ref": "PA_SC_SCREEN_EXTENT_MIN_0" }, { "chips": ["gfx10"], - "map": {"at": 37144, "to": "mm"}, - "name": "SPIRA_DEBUG_READ", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 199296, "to": "mm"}, + "name": "PA_SC_P3D_TRAP_SCREEN_HV_EN", + "type_ref": "PA_SC_P3D_TRAP_SCREEN_HV_EN" }, { "chips": ["gfx10"], - "map": {"at": 37800, "to": "mm"}, - "name": "SPIS_DEBUG_READ", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 199300, "to": "mm"}, + "name": "PA_SC_P3D_TRAP_SCREEN_H", + "type_ref": "PA_SC_P3D_TRAP_SCREEN_H" }, { "chips": ["gfx10"], - "map": {"at": 165600, "to": "mm"}, - "name": "SPI_BARYC_CNTL", - "type_ref": "SPI_BARYC_CNTL" + "map": {"at": 199304, "to": "mm"}, + "name": "PA_SC_P3D_TRAP_SCREEN_V", + "type_ref": "PA_SC_P3D_TRAP_SCREEN_V" }, { "chips": ["gfx10"], - "map": {"at": 37120, "to": "mm"}, - "name": "SPI_CONFIG_CNTL", - "type_ref": "SPI_CONFIG_CNTL" + "map": {"at": 199308, "to": "mm"}, + "name": "PA_SC_P3D_TRAP_SCREEN_OCCURRENCE", + "type_ref": "PA_SC_P3D_TRAP_SCREEN_OCCURRENCE" }, { "chips": ["gfx10"], - "map": {"at": 37180, "to": "mm"}, - "name": "SPI_CONFIG_CNTL_1", - "type_ref": "SPI_CONFIG_CNTL_1" + "map": {"at": 199312, "to": "mm"}, + "name": "PA_SC_P3D_TRAP_SCREEN_COUNT", + "type_ref": "PA_SC_P3D_TRAP_SCREEN_OCCURRENCE" }, { "chips": ["gfx10"], - "map": {"at": 200964, "to": "mm"}, - "name": "SPI_CONFIG_CNTL_1_REMAP", - "type_ref": "SPI_CONFIG_CNTL_REMAP" + "map": {"at": 199328, "to": "mm"}, + "name": "PA_SC_HP3D_TRAP_SCREEN_HV_EN", + "type_ref": "PA_SC_P3D_TRAP_SCREEN_HV_EN" }, { "chips": ["gfx10"], - "map": {"at": 37176, "to": "mm"}, - "name": "SPI_CONFIG_CNTL_2", - "type_ref": "SPI_CONFIG_CNTL_2" + "map": {"at": 199332, "to": "mm"}, + "name": "PA_SC_HP3D_TRAP_SCREEN_H", + "type_ref": "PA_SC_P3D_TRAP_SCREEN_H" }, { "chips": ["gfx10"], - "map": {"at": 200968, "to": "mm"}, - "name": "SPI_CONFIG_CNTL_2_REMAP", - "type_ref": "SPI_CONFIG_CNTL_REMAP" + "map": {"at": 199336, "to": "mm"}, + "name": "PA_SC_HP3D_TRAP_SCREEN_V", + "type_ref": "PA_SC_P3D_TRAP_SCREEN_V" }, { "chips": ["gfx10"], - "map": {"at": 200960, "to": "mm"}, - "name": "SPI_CONFIG_CNTL_REMAP", - "type_ref": "SPI_CONFIG_CNTL_REMAP" + "map": {"at": 199340, "to": "mm"}, + "name": "PA_SC_HP3D_TRAP_SCREEN_OCCURRENCE", + "type_ref": "PA_SC_P3D_TRAP_SCREEN_OCCURRENCE" }, { "chips": ["gfx10"], - "map": {"at": 37744, "to": "mm"}, - "name": "SPI_CSQ_WF_ACTIVE_COUNT_0", - "type_ref": "SPI_CSQ_WF_ACTIVE_COUNT_0" + "map": {"at": 199344, "to": "mm"}, + "name": "PA_SC_HP3D_TRAP_SCREEN_COUNT", + "type_ref": "PA_SC_P3D_TRAP_SCREEN_OCCURRENCE" }, { "chips": ["gfx10"], - "map": {"at": 37748, "to": "mm"}, - "name": "SPI_CSQ_WF_ACTIVE_COUNT_1", - "type_ref": "SPI_CSQ_WF_ACTIVE_COUNT_0" + "map": {"at": 199360, "to": "mm"}, + "name": "PA_SC_TRAP_SCREEN_HV_EN", + "type_ref": "PA_SC_P3D_TRAP_SCREEN_HV_EN" }, { "chips": ["gfx10"], - "map": {"at": 37752, "to": "mm"}, - "name": "SPI_CSQ_WF_ACTIVE_COUNT_2", - "type_ref": "SPI_CSQ_WF_ACTIVE_COUNT_0" + "map": {"at": 199364, "to": "mm"}, + "name": "PA_SC_TRAP_SCREEN_H", + "type_ref": "PA_SC_P3D_TRAP_SCREEN_H" }, { "chips": ["gfx10"], - "map": {"at": 37756, "to": "mm"}, - "name": "SPI_CSQ_WF_ACTIVE_COUNT_3", - "type_ref": "SPI_CSQ_WF_ACTIVE_COUNT_0" + "map": {"at": 199368, "to": "mm"}, + "name": "PA_SC_TRAP_SCREEN_V", + "type_ref": "PA_SC_P3D_TRAP_SCREEN_V" }, { "chips": ["gfx10"], - "map": {"at": 37760, "to": "mm"}, - "name": "SPI_CSQ_WF_ACTIVE_COUNT_4", - "type_ref": "SPI_CSQ_WF_ACTIVE_COUNT_0" + "map": {"at": 199372, "to": "mm"}, + "name": "PA_SC_TRAP_SCREEN_OCCURRENCE", + "type_ref": "PA_SC_P3D_TRAP_SCREEN_OCCURRENCE" }, { "chips": ["gfx10"], - "map": {"at": 37764, "to": "mm"}, - "name": "SPI_CSQ_WF_ACTIVE_COUNT_5", - "type_ref": "SPI_CSQ_WF_ACTIVE_COUNT_0" + "map": {"at": 199376, "to": "mm"}, + "name": "PA_SC_TRAP_SCREEN_COUNT", + "type_ref": "PA_SC_P3D_TRAP_SCREEN_OCCURRENCE" }, { "chips": ["gfx10"], - "map": {"at": 37768, "to": "mm"}, - "name": "SPI_CSQ_WF_ACTIVE_COUNT_6", - "type_ref": "SPI_CSQ_WF_ACTIVE_COUNT_0" + "map": {"at": 199936, "to": "mm"}, + "name": "SQ_THREAD_TRACE_USERDATA_0", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 37772, "to": "mm"}, - "name": "SPI_CSQ_WF_ACTIVE_COUNT_7", - "type_ref": "SPI_CSQ_WF_ACTIVE_COUNT_0" + "map": {"at": 199940, "to": "mm"}, + "name": "SQ_THREAD_TRACE_USERDATA_1", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 37740, "to": "mm"}, - "name": "SPI_CSQ_WF_ACTIVE_STATUS", - "type_ref": "SPI_CSQ_WF_ACTIVE_STATUS" + "map": {"at": 199944, "to": "mm"}, + "name": "SQ_THREAD_TRACE_USERDATA_2", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 37184, "to": "mm"}, - "name": "SPI_DEBUG_BUSY", - "type_ref": "SPI_DEBUG_BUSY" + "map": {"at": 199948, "to": "mm"}, + "name": "SQ_THREAD_TRACE_USERDATA_3", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 37124, "to": "mm"}, - "name": "SPI_DEBUG_CNTL", - "type_ref": "SPI_DEBUG_CNTL" + "map": {"at": 199952, "to": "mm"}, + "name": "SQ_THREAD_TRACE_USERDATA_4", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 37112, "to": "mm"}, - "name": "SPI_DEBUG_CNTL_2", - "type_ref": "SPI_DEBUG_CNTL_2" + "map": {"at": 199956, "to": "mm"}, + "name": "SQ_THREAD_TRACE_USERDATA_5", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 37128, "to": "mm"}, - "name": "SPI_DEBUG_READ", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 199960, "to": "mm"}, + "name": "SQ_THREAD_TRACE_USERDATA_6", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 37132, "to": "mm"}, - "name": "SPI_DSM_CNTL", - "type_ref": "SPI_DSM_CNTL" + "map": {"at": 199964, "to": "mm"}, + "name": "SQ_THREAD_TRACE_USERDATA_7", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 37136, "to": "mm"}, - "name": "SPI_DSM_CNTL2", - "type_ref": "SPI_DSM_CNTL2" + "map": {"at": 199968, "to": "mm"}, + "name": "SQC_CACHES", + "type_ref": "SQC_CACHES" }, { "chips": ["gfx10"], - "map": {"at": 37140, "to": "mm"}, - "name": "SPI_EDC_CNT", - "type_ref": "SPI_EDC_CNT" + "map": {"at": 199972, "to": "mm"}, + "name": "SQC_WRITEBACK", + "type_ref": "SQC_WRITEBACK" }, { "chips": ["gfx10"], - "map": {"at": 37728, "to": "mm"}, - "name": "SPI_GDS_CREDITS", - "type_ref": "SPI_GDS_CREDITS" + "map": {"at": 200192, "to": "mm"}, + "name": "TA_CS_BC_BASE_ADDR", + "type_ref": "TA_BC_BASE_ADDR" }, { "chips": ["gfx10"], - "map": {"at": 37104, "to": "mm"}, - "name": "SPI_GFX_CNTL", - "type_ref": "SPI_GFX_CNTL" + "map": {"at": 200196, "to": "mm"}, + "name": "TA_CS_BC_BASE_ADDR_HI", + "type_ref": "TA_BC_BASE_ADDR_HI" }, { "chips": ["gfx10"], - "map": {"at": 165588, "to": "mm"}, - "name": "SPI_INTERP_CONTROL_0", - "type_ref": "SPI_INTERP_CONTROL_0" + "map": {"at": 200448, "to": "mm"}, + "name": "DB_OCCLUSION_COUNT0_LOW", + "type_ref": "DB_OCCLUSION_COUNT0_LOW" }, { "chips": ["gfx10"], - "map": {"at": 37712, "to": "mm"}, - "name": "SPI_LB_CTR_CTRL", - "type_ref": "SPI_LB_CTR_CTRL" + "map": {"at": 200452, "to": "mm"}, + "name": "DB_OCCLUSION_COUNT0_HI", + "type_ref": "DB_OCCLUSION_COUNT0_HI" }, { "chips": ["gfx10"], - "map": {"at": 37788, "to": "mm"}, - "name": "SPI_LB_DATA_PERWGP_WAVE_CS", - "type_ref": "SPI_LB_DATA_PERWGP_WAVE_CS" + "map": {"at": 200456, "to": "mm"}, + "name": "DB_OCCLUSION_COUNT1_LOW", + "type_ref": "DB_OCCLUSION_COUNT0_LOW" }, { "chips": ["gfx10"], - "map": {"at": 37780, "to": "mm"}, - "name": "SPI_LB_DATA_PERWGP_WAVE_HSGS", - "type_ref": "SPI_LB_DATA_PERWGP_WAVE_HSGS" + "map": {"at": 200460, "to": "mm"}, + "name": "DB_OCCLUSION_COUNT1_HI", + "type_ref": "DB_OCCLUSION_COUNT0_HI" }, { "chips": ["gfx10"], - "map": {"at": 37784, "to": "mm"}, - "name": "SPI_LB_DATA_PERWGP_WAVE_VSPS", - "type_ref": "SPI_LB_DATA_PERWGP_WAVE_VSPS" + "map": {"at": 200464, "to": "mm"}, + "name": "DB_OCCLUSION_COUNT2_LOW", + "type_ref": "DB_OCCLUSION_COUNT0_LOW" }, { "chips": ["gfx10"], - "map": {"at": 37720, "to": "mm"}, - "name": "SPI_LB_DATA_REG", - "type_ref": "SPI_LB_DATA_REG" + "map": {"at": 200468, "to": "mm"}, + "name": "DB_OCCLUSION_COUNT2_HI", + "type_ref": "DB_OCCLUSION_COUNT0_HI" }, { "chips": ["gfx10"], - "map": {"at": 37776, "to": "mm"}, - "name": "SPI_LB_DATA_WAVES", - "type_ref": "SPI_LB_DATA_WAVES" + "map": {"at": 200472, "to": "mm"}, + "name": "DB_OCCLUSION_COUNT3_LOW", + "type_ref": "DB_OCCLUSION_COUNT0_LOW" }, { "chips": ["gfx10"], - "map": {"at": 37716, "to": "mm"}, - "name": "SPI_LB_WGP_MASK", - "type_ref": "SPI_LB_WGP_MASK" + "map": {"at": 200476, "to": "mm"}, + "name": "DB_OCCLUSION_COUNT3_HI", + "type_ref": "DB_OCCLUSION_COUNT0_HI" }, { "chips": ["gfx10"], - "map": {"at": 37824, "to": "mm"}, - "name": "SPI_P0_TRAP_SCREEN_GPR_MIN", - "type_ref": "SPI_P0_TRAP_SCREEN_GPR_MIN" + "map": {"at": 200696, "to": "mm"}, + "name": "DB_ZPASS_COUNT_LOW", + "type_ref": "DB_OCCLUSION_COUNT0_LOW" }, { "chips": ["gfx10"], - "map": {"at": 37812, "to": "mm"}, - "name": "SPI_P0_TRAP_SCREEN_PSBA_HI", - "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_HI" + "map": {"at": 200700, "to": "mm"}, + "name": "DB_ZPASS_COUNT_HI", + "type_ref": "DB_OCCLUSION_COUNT0_HI" }, { "chips": ["gfx10"], - "map": {"at": 37808, "to": "mm"}, - "name": "SPI_P0_TRAP_SCREEN_PSBA_LO", - "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO" + "map": {"at": 200704, "to": "mm"}, + "name": "GDS_RD_ADDR", + "type_ref": "GDS_RD_ADDR" }, { "chips": ["gfx10"], - "map": {"at": 37820, "to": "mm"}, - "name": "SPI_P0_TRAP_SCREEN_PSMA_HI", - "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_HI" + "map": {"at": 200708, "to": "mm"}, + "name": "GDS_RD_DATA", + "type_ref": "GDS_RD_DATA" }, { "chips": ["gfx10"], - "map": {"at": 37816, "to": "mm"}, - "name": "SPI_P0_TRAP_SCREEN_PSMA_LO", - "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO" + "map": {"at": 200712, "to": "mm"}, + "name": "GDS_RD_BURST_ADDR", + "type_ref": "GDS_RD_BURST_ADDR" }, { "chips": ["gfx10"], - "map": {"at": 37844, "to": "mm"}, - "name": "SPI_P1_TRAP_SCREEN_GPR_MIN", - "type_ref": "SPI_P0_TRAP_SCREEN_GPR_MIN" + "map": {"at": 200716, "to": "mm"}, + "name": "GDS_RD_BURST_COUNT", + "type_ref": "GDS_RD_BURST_COUNT" }, { "chips": ["gfx10"], - "map": {"at": 37832, "to": "mm"}, - "name": "SPI_P1_TRAP_SCREEN_PSBA_HI", - "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_HI" + "map": {"at": 200720, "to": "mm"}, + "name": "GDS_RD_BURST_DATA", + "type_ref": "GDS_RD_BURST_DATA" }, { "chips": ["gfx10"], - "map": {"at": 37828, "to": "mm"}, - "name": "SPI_P1_TRAP_SCREEN_PSBA_LO", - "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO" + "map": {"at": 200724, "to": "mm"}, + "name": "GDS_WR_ADDR", + "type_ref": "GDS_WR_ADDR" }, { "chips": ["gfx10"], - "map": {"at": 37840, "to": "mm"}, - "name": "SPI_P1_TRAP_SCREEN_PSMA_HI", - "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_HI" + "map": {"at": 200728, "to": "mm"}, + "name": "GDS_WR_DATA", + "type_ref": "GDS_WR_DATA" }, { "chips": ["gfx10"], - "map": {"at": 37836, "to": "mm"}, - "name": "SPI_P1_TRAP_SCREEN_PSMA_LO", - "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO" + "map": {"at": 200732, "to": "mm"}, + "name": "GDS_WR_BURST_ADDR", + "type_ref": "GDS_WR_ADDR" }, { "chips": ["gfx10"], - "map": {"at": 214528, "to": "mm"}, - "name": "SPI_PERFCOUNTER0_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 200736, "to": "mm"}, + "name": "GDS_WR_BURST_DATA", + "type_ref": "GDS_WR_DATA" }, { "chips": ["gfx10"], - "map": {"at": 214532, "to": "mm"}, - "name": "SPI_PERFCOUNTER0_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 200740, "to": "mm"}, + "name": "GDS_WRITE_COMPLETE", + "type_ref": "GDS_WRITE_COMPLETE" }, { "chips": ["gfx10"], - "map": {"at": 222720, "to": "mm"}, - "name": "SPI_PERFCOUNTER0_SELECT", - "type_ref": "SPI_PERFCOUNTER0_SELECT" + "map": {"at": 200744, "to": "mm"}, + "name": "GDS_ATOM_CNTL", + "type_ref": "GDS_ATOM_CNTL" }, { "chips": ["gfx10"], - "map": {"at": 222736, "to": "mm"}, - "name": "SPI_PERFCOUNTER0_SELECT1", - "type_ref": "SPI_PERFCOUNTER0_SELECT1" + "map": {"at": 200748, "to": "mm"}, + "name": "GDS_ATOM_COMPLETE", + "type_ref": "GDS_ATOM_COMPLETE" }, { "chips": ["gfx10"], - "map": {"at": 214536, "to": "mm"}, - "name": "SPI_PERFCOUNTER1_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 200752, "to": "mm"}, + "name": "GDS_ATOM_BASE", + "type_ref": "GDS_ATOM_BASE" }, { "chips": ["gfx10"], - "map": {"at": 214540, "to": "mm"}, - "name": "SPI_PERFCOUNTER1_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 200756, "to": "mm"}, + "name": "GDS_ATOM_SIZE", + "type_ref": "GDS_ATOM_SIZE" }, { "chips": ["gfx10"], - "map": {"at": 222724, "to": "mm"}, - "name": "SPI_PERFCOUNTER1_SELECT", - "type_ref": "SPI_PERFCOUNTER0_SELECT" + "map": {"at": 200760, "to": "mm"}, + "name": "GDS_ATOM_OFFSET0", + "type_ref": "GDS_ATOM_OFFSET0" }, { "chips": ["gfx10"], - "map": {"at": 222740, "to": "mm"}, - "name": "SPI_PERFCOUNTER1_SELECT1", - "type_ref": "SPI_PERFCOUNTER0_SELECT1" + "map": {"at": 200764, "to": "mm"}, + "name": "GDS_ATOM_OFFSET1", + "type_ref": "GDS_ATOM_OFFSET1" }, { "chips": ["gfx10"], - "map": {"at": 214544, "to": "mm"}, - "name": "SPI_PERFCOUNTER2_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 200768, "to": "mm"}, + "name": "GDS_ATOM_DST", + "type_ref": "GDS_ATOM_DST" }, { "chips": ["gfx10"], - "map": {"at": 214548, "to": "mm"}, - "name": "SPI_PERFCOUNTER2_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 200772, "to": "mm"}, + "name": "GDS_ATOM_OP", + "type_ref": "GDS_ATOM_OP" }, { "chips": ["gfx10"], - "map": {"at": 222728, "to": "mm"}, - "name": "SPI_PERFCOUNTER2_SELECT", - "type_ref": "SPI_PERFCOUNTER0_SELECT" + "map": {"at": 200776, "to": "mm"}, + "name": "GDS_ATOM_SRC0", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 222744, "to": "mm"}, - "name": "SPI_PERFCOUNTER2_SELECT1", - "type_ref": "SPI_PERFCOUNTER0_SELECT1" + "map": {"at": 200780, "to": "mm"}, + "name": "GDS_ATOM_SRC0_U", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 214552, "to": "mm"}, - "name": "SPI_PERFCOUNTER3_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 200784, "to": "mm"}, + "name": "GDS_ATOM_SRC1", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 214556, "to": "mm"}, - "name": "SPI_PERFCOUNTER3_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 200788, "to": "mm"}, + "name": "GDS_ATOM_SRC1_U", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 222732, "to": "mm"}, - "name": "SPI_PERFCOUNTER3_SELECT", - "type_ref": "SPI_PERFCOUNTER0_SELECT" + "map": {"at": 200792, "to": "mm"}, + "name": "GDS_ATOM_READ0", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 222748, "to": "mm"}, - "name": "SPI_PERFCOUNTER3_SELECT1", - "type_ref": "SPI_PERFCOUNTER0_SELECT1" + "map": {"at": 200796, "to": "mm"}, + "name": "GDS_ATOM_READ0_U", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 214560, "to": "mm"}, - "name": "SPI_PERFCOUNTER4_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 200800, "to": "mm"}, + "name": "GDS_ATOM_READ1", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 214564, "to": "mm"}, - "name": "SPI_PERFCOUNTER4_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 200804, "to": "mm"}, + "name": "GDS_ATOM_READ1_U", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 222752, "to": "mm"}, - "name": "SPI_PERFCOUNTER4_SELECT", - "type_ref": "SPI_PERFCOUNTER4_SELECT" + "map": {"at": 200808, "to": "mm"}, + "name": "GDS_GWS_RESOURCE_CNTL", + "type_ref": "GDS_GWS_RESOURCE_CNTL" }, { "chips": ["gfx10"], - "map": {"at": 214568, "to": "mm"}, - "name": "SPI_PERFCOUNTER5_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 200812, "to": "mm"}, + "name": "GDS_GWS_RESOURCE", + "type_ref": "GDS_GWS_RESOURCE" }, { "chips": ["gfx10"], - "map": {"at": 214572, "to": "mm"}, - "name": "SPI_PERFCOUNTER5_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 200816, "to": "mm"}, + "name": "GDS_GWS_RESOURCE_CNT", + "type_ref": "GDS_GWS_RESOURCE_CNT" }, { "chips": ["gfx10"], - "map": {"at": 222756, "to": "mm"}, - "name": "SPI_PERFCOUNTER5_SELECT", - "type_ref": "SPI_PERFCOUNTER4_SELECT" + "map": {"at": 200820, "to": "mm"}, + "name": "GDS_OA_CNTL", + "type_ref": "GDS_OA_CNTL" }, { "chips": ["gfx10"], - "map": {"at": 222760, "to": "mm"}, - "name": "SPI_PERFCOUNTER_BINS", - "type_ref": "SPI_PERFCOUNTER_BINS" + "map": {"at": 200824, "to": "mm"}, + "name": "GDS_OA_COUNTER", + "type_ref": "GDS_OA_COUNTER" }, { "chips": ["gfx10"], - "map": {"at": 37724, "to": "mm"}, - "name": "SPI_PG_ENABLE_STATIC_WGP_MASK", - "type_ref": "SPI_LB_WGP_MASK" + "map": {"at": 200828, "to": "mm"}, + "name": "GDS_OA_ADDRESS", + "type_ref": "GDS_OA_ADDRESS" }, { "chips": ["gfx10"], - "map": {"at": 165584, "to": "mm"}, - "name": "SPI_PS_INPUT_ADDR", - "type_ref": "SPI_PS_INPUT_ENA" + "map": {"at": 200832, "to": "mm"}, + "name": "GDS_OA_INCDEC", + "type_ref": "GDS_OA_INCDEC" }, { "chips": ["gfx10"], - "map": {"at": 165444, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_0", - "type_ref": "SPI_PS_INPUT_CNTL_0" + "map": {"at": 200836, "to": "mm"}, + "name": "GDS_OA_RING_SIZE", + "type_ref": "GDS_OA_RING_SIZE" }, { "chips": ["gfx10"], - "map": {"at": 165448, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_1", - "type_ref": "SPI_PS_INPUT_CNTL_0" + "map": {"at": 200960, "to": "mm"}, + "name": "SPI_CONFIG_CNTL_REMAP", + "type_ref": "SPI_CONFIG_CNTL_REMAP" }, { "chips": ["gfx10"], - "map": {"at": 165484, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_10", - "type_ref": "SPI_PS_INPUT_CNTL_0" + "map": {"at": 200964, "to": "mm"}, + "name": "SPI_CONFIG_CNTL_1_REMAP", + "type_ref": "SPI_CONFIG_CNTL_REMAP" }, { "chips": ["gfx10"], - "map": {"at": 165488, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_11", - "type_ref": "SPI_PS_INPUT_CNTL_0" + "map": {"at": 200968, "to": "mm"}, + "name": "SPI_CONFIG_CNTL_2_REMAP", + "type_ref": "SPI_CONFIG_CNTL_REMAP" }, { "chips": ["gfx10"], - "map": {"at": 165492, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_12", - "type_ref": "SPI_PS_INPUT_CNTL_0" + "map": {"at": 200972, "to": "mm"}, + "name": "SPI_WAVE_LIMIT_CNTL_REMAP", + "type_ref": "SPI_CONFIG_CNTL_REMAP" }, { "chips": ["gfx10"], - "map": {"at": 165496, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_13", - "type_ref": "SPI_PS_INPUT_CNTL_0" + "map": {"at": 212992, "to": "mm"}, + "name": "CPG_PERFCOUNTER1_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 165500, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_14", - "type_ref": "SPI_PS_INPUT_CNTL_0" + "map": {"at": 212996, "to": "mm"}, + "name": "CPG_PERFCOUNTER1_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 165504, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_15", - "type_ref": "SPI_PS_INPUT_CNTL_0" + "map": {"at": 213000, "to": "mm"}, + "name": "CPG_PERFCOUNTER0_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 165508, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_16", - "type_ref": "SPI_PS_INPUT_CNTL_0" + "map": {"at": 213004, "to": "mm"}, + "name": "CPG_PERFCOUNTER0_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 165512, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_17", - "type_ref": "SPI_PS_INPUT_CNTL_0" + "map": {"at": 213008, "to": "mm"}, + "name": "CPC_PERFCOUNTER1_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 165516, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_18", - "type_ref": "SPI_PS_INPUT_CNTL_0" + "map": {"at": 213012, "to": "mm"}, + "name": "CPC_PERFCOUNTER1_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 165520, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_19", - "type_ref": "SPI_PS_INPUT_CNTL_0" + "map": {"at": 213016, "to": "mm"}, + "name": "CPC_PERFCOUNTER0_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 165452, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_2", - "type_ref": "SPI_PS_INPUT_CNTL_0" + "map": {"at": 213020, "to": "mm"}, + "name": "CPC_PERFCOUNTER0_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 165524, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_20", - "type_ref": "SPI_PS_INPUT_CNTL_20" + "map": {"at": 213024, "to": "mm"}, + "name": "CPF_PERFCOUNTER1_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 165528, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_21", - "type_ref": "SPI_PS_INPUT_CNTL_20" + "map": {"at": 213028, "to": "mm"}, + "name": "CPF_PERFCOUNTER1_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 165532, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_22", - "type_ref": "SPI_PS_INPUT_CNTL_20" + "map": {"at": 213032, "to": "mm"}, + "name": "CPF_PERFCOUNTER0_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 165536, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_23", - "type_ref": "SPI_PS_INPUT_CNTL_20" + "map": {"at": 213036, "to": "mm"}, + "name": "CPF_PERFCOUNTER0_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 165540, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_24", - "type_ref": "SPI_PS_INPUT_CNTL_20" + "map": {"at": 213040, "to": "mm"}, + "name": "CPF_LATENCY_STATS_DATA", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 165544, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_25", - "type_ref": "SPI_PS_INPUT_CNTL_20" + "map": {"at": 213044, "to": "mm"}, + "name": "CPG_LATENCY_STATS_DATA", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 165548, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_26", - "type_ref": "SPI_PS_INPUT_CNTL_20" + "map": {"at": 213048, "to": "mm"}, + "name": "CPC_LATENCY_STATS_DATA", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 165552, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_27", - "type_ref": "SPI_PS_INPUT_CNTL_20" + "map": {"at": 213248, "to": "mm"}, + "name": "GRBM_PERFCOUNTER0_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 165556, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_28", - "type_ref": "SPI_PS_INPUT_CNTL_20" + "map": {"at": 213252, "to": "mm"}, + "name": "GRBM_PERFCOUNTER0_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 165560, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_29", - "type_ref": "SPI_PS_INPUT_CNTL_20" + "map": {"at": 213260, "to": "mm"}, + "name": "GRBM_PERFCOUNTER1_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 165456, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_3", - "type_ref": "SPI_PS_INPUT_CNTL_0" + "map": {"at": 213264, "to": "mm"}, + "name": "GRBM_PERFCOUNTER1_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 165564, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_30", - "type_ref": "SPI_PS_INPUT_CNTL_20" + "map": {"at": 213268, "to": "mm"}, + "name": "GRBM_SE0_PERFCOUNTER_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 165568, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_31", - "type_ref": "SPI_PS_INPUT_CNTL_20" + "map": {"at": 213272, "to": "mm"}, + "name": "GRBM_SE0_PERFCOUNTER_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 165460, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_4", - "type_ref": "SPI_PS_INPUT_CNTL_0" + "map": {"at": 213276, "to": "mm"}, + "name": "GRBM_SE1_PERFCOUNTER_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 165464, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_5", - "type_ref": "SPI_PS_INPUT_CNTL_0" + "map": {"at": 213280, "to": "mm"}, + "name": "GRBM_SE1_PERFCOUNTER_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 165468, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_6", - "type_ref": "SPI_PS_INPUT_CNTL_0" + "map": {"at": 213284, "to": "mm"}, + "name": "GRBM_SE2_PERFCOUNTER_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 165472, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_7", - "type_ref": "SPI_PS_INPUT_CNTL_0" + "map": {"at": 213288, "to": "mm"}, + "name": "GRBM_SE2_PERFCOUNTER_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 165476, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_8", - "type_ref": "SPI_PS_INPUT_CNTL_0" + "map": {"at": 213292, "to": "mm"}, + "name": "GRBM_SE3_PERFCOUNTER_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 165480, "to": "mm"}, - "name": "SPI_PS_INPUT_CNTL_9", - "type_ref": "SPI_PS_INPUT_CNTL_0" + "map": {"at": 213296, "to": "mm"}, + "name": "GRBM_SE3_PERFCOUNTER_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 165580, "to": "mm"}, - "name": "SPI_PS_INPUT_ENA", - "type_ref": "SPI_PS_INPUT_ENA" + "map": {"at": 213504, "to": "mm"}, + "name": "GE_PERFCOUNTER0_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 165592, "to": "mm"}, - "name": "SPI_PS_IN_CONTROL", - "type_ref": "SPI_PS_IN_CONTROL" + "map": {"at": 213508, "to": "mm"}, + "name": "GE_PERFCOUNTER0_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 37096, "to": "mm"}, - "name": "SPI_PS_MAX_WAVE_ID", - "type_ref": "SPI_PS_MAX_WAVE_ID" + "map": {"at": 213512, "to": "mm"}, + "name": "GE_PERFCOUNTER1_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 165652, "to": "mm"}, - "name": "SPI_SHADER_COL_FORMAT", - "type_ref": "SPI_SHADER_COL_FORMAT" + "map": {"at": 213516, "to": "mm"}, + "name": "GE_PERFCOUNTER1_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 165640, "to": "mm"}, - "name": "SPI_SHADER_IDX_FORMAT", - "type_ref": "SPI_SHADER_IDX_FORMAT" + "map": {"at": 213520, "to": "mm"}, + "name": "GE_PERFCOUNTER2_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45340, "to": "mm"}, - "name": "SPI_SHADER_LATE_ALLOC_VS", - "type_ref": "SPI_SHADER_LATE_ALLOC_VS" + "map": {"at": 213524, "to": "mm"}, + "name": "GE_PERFCOUNTER2_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45568, "to": "mm"}, - "name": "SPI_SHADER_PGM_CHKSUM_GS", - "type_ref": "COMPUTE_SHADER_CHKSUM" + "map": {"at": 213528, "to": "mm"}, + "name": "GE_PERFCOUNTER3_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 46080, "to": "mm"}, - "name": "SPI_SHADER_PGM_CHKSUM_HS", - "type_ref": "COMPUTE_SHADER_CHKSUM" + "map": {"at": 213532, "to": "mm"}, + "name": "GE_PERFCOUNTER3_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45080, "to": "mm"}, - "name": "SPI_SHADER_PGM_CHKSUM_PS", - "type_ref": "COMPUTE_SHADER_CHKSUM" + "map": {"at": 213536, "to": "mm"}, + "name": "GE_PERFCOUNTER4_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45332, "to": "mm"}, - "name": "SPI_SHADER_PGM_CHKSUM_VS", - "type_ref": "COMPUTE_SHADER_CHKSUM" + "map": {"at": 213540, "to": "mm"}, + "name": "GE_PERFCOUNTER4_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45860, "to": "mm"}, - "name": "SPI_SHADER_PGM_HI_ES", - "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_HI" + "map": {"at": 213544, "to": "mm"}, + "name": "GE_PERFCOUNTER5_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45588, "to": "mm"}, - "name": "SPI_SHADER_PGM_HI_ES_GS", - "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_HI" + "map": {"at": 213548, "to": "mm"}, + "name": "GE_PERFCOUNTER5_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45604, "to": "mm"}, - "name": "SPI_SHADER_PGM_HI_GS", - "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_HI" + "map": {"at": 213552, "to": "mm"}, + "name": "GE_PERFCOUNTER6_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 46116, "to": "mm"}, - "name": "SPI_SHADER_PGM_HI_HS", - "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_HI" + "map": {"at": 213556, "to": "mm"}, + "name": "GE_PERFCOUNTER6_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 46372, "to": "mm"}, - "name": "SPI_SHADER_PGM_HI_LS", - "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_HI" + "map": {"at": 213560, "to": "mm"}, + "name": "GE_PERFCOUNTER7_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 46100, "to": "mm"}, - "name": "SPI_SHADER_PGM_HI_LS_HS", - "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_HI" + "map": {"at": 213564, "to": "mm"}, + "name": "GE_PERFCOUNTER7_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45092, "to": "mm"}, - "name": "SPI_SHADER_PGM_HI_PS", - "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_HI" + "map": {"at": 213568, "to": "mm"}, + "name": "GE_PERFCOUNTER8_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45348, "to": "mm"}, - "name": "SPI_SHADER_PGM_HI_VS", - "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_HI" + "map": {"at": 213572, "to": "mm"}, + "name": "GE_PERFCOUNTER8_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45856, "to": "mm"}, - "name": "SPI_SHADER_PGM_LO_ES", - "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO" + "map": {"at": 213576, "to": "mm"}, + "name": "GE_PERFCOUNTER9_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45584, "to": "mm"}, - "name": "SPI_SHADER_PGM_LO_ES_GS", - "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO" + "map": {"at": 213580, "to": "mm"}, + "name": "GE_PERFCOUNTER9_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45600, "to": "mm"}, - "name": "SPI_SHADER_PGM_LO_GS", - "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO" + "map": {"at": 213584, "to": "mm"}, + "name": "GE_PERFCOUNTER10_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 46112, "to": "mm"}, - "name": "SPI_SHADER_PGM_LO_HS", - "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO" + "map": {"at": 213588, "to": "mm"}, + "name": "GE_PERFCOUNTER10_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 46368, "to": "mm"}, - "name": "SPI_SHADER_PGM_LO_LS", - "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO" + "map": {"at": 213592, "to": "mm"}, + "name": "GE_PERFCOUNTER11_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 46096, "to": "mm"}, - "name": "SPI_SHADER_PGM_LO_LS_HS", - "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO" + "map": {"at": 213596, "to": "mm"}, + "name": "GE_PERFCOUNTER11_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45088, "to": "mm"}, - "name": "SPI_SHADER_PGM_LO_PS", - "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO" + "map": {"at": 214016, "to": "mm"}, + "name": "PA_SU_PERFCOUNTER0_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { - "chips": ["gfx10"], - "map": {"at": 45344, "to": "mm"}, - "name": "SPI_SHADER_PGM_LO_VS", - "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO" + "chips": ["gfx10"], + "map": {"at": 214020, "to": "mm"}, + "name": "PA_SU_PERFCOUNTER0_HI", + "type_ref": "PA_SU_PERFCOUNTER0_HI" }, { "chips": ["gfx10"], - "map": {"at": 45864, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC1_ES", - "type_ref": "SPI_SHADER_PGM_RSRC1_ES" + "map": {"at": 214024, "to": "mm"}, + "name": "PA_SU_PERFCOUNTER1_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45608, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC1_GS", - "type_ref": "SPI_SHADER_PGM_RSRC1_GS" + "map": {"at": 214028, "to": "mm"}, + "name": "PA_SU_PERFCOUNTER1_HI", + "type_ref": "PA_SU_PERFCOUNTER0_HI" }, { "chips": ["gfx10"], - "map": {"at": 46120, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC1_HS", - "type_ref": "SPI_SHADER_PGM_RSRC1_HS" + "map": {"at": 214032, "to": "mm"}, + "name": "PA_SU_PERFCOUNTER2_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 46376, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC1_LS", - "type_ref": "SPI_SHADER_PGM_RSRC1_LS" + "map": {"at": 214036, "to": "mm"}, + "name": "PA_SU_PERFCOUNTER2_HI", + "type_ref": "PA_SU_PERFCOUNTER0_HI" }, { "chips": ["gfx10"], - "map": {"at": 45096, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC1_PS", - "type_ref": "SPI_SHADER_PGM_RSRC1_PS" + "map": {"at": 214040, "to": "mm"}, + "name": "PA_SU_PERFCOUNTER3_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45352, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC1_VS", - "type_ref": "SPI_SHADER_PGM_RSRC1_VS" + "map": {"at": 214044, "to": "mm"}, + "name": "PA_SU_PERFCOUNTER3_HI", + "type_ref": "PA_SU_PERFCOUNTER0_HI" }, { "chips": ["gfx10"], - "map": {"at": 45868, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC2_ES", - "type_ref": "SPI_SHADER_PGM_RSRC2_ES_VS" + "map": {"at": 214272, "to": "mm"}, + "name": "PA_SC_PERFCOUNTER0_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45808, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC2_ES_GS", - "type_ref": "SPI_SHADER_PGM_RSRC2_ES_VS" + "map": {"at": 214276, "to": "mm"}, + "name": "PA_SC_PERFCOUNTER0_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45552, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC2_ES_VS", - "type_ref": "SPI_SHADER_PGM_RSRC2_ES_VS" + "map": {"at": 214280, "to": "mm"}, + "name": "PA_SC_PERFCOUNTER1_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45612, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC2_GS", - "type_ref": "SPI_SHADER_PGM_RSRC2_GS" + "map": {"at": 214284, "to": "mm"}, + "name": "PA_SC_PERFCOUNTER1_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45548, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC2_GS_VS", - "type_ref": "SPI_SHADER_PGM_RSRC2_GS_VS" + "map": {"at": 214288, "to": "mm"}, + "name": "PA_SC_PERFCOUNTER2_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 46124, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC2_HS", - "type_ref": "SPI_SHADER_PGM_RSRC2_HS" + "map": {"at": 214292, "to": "mm"}, + "name": "PA_SC_PERFCOUNTER2_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 46380, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC2_LS", - "type_ref": "SPI_SHADER_PGM_RSRC2_LS_VS" + "map": {"at": 214296, "to": "mm"}, + "name": "PA_SC_PERFCOUNTER3_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 46068, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC2_LS_ES", - "type_ref": "SPI_SHADER_PGM_RSRC2_LS_VS" + "map": {"at": 214300, "to": "mm"}, + "name": "PA_SC_PERFCOUNTER3_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 46324, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC2_LS_HS", - "type_ref": "SPI_SHADER_PGM_RSRC2_LS_VS" + "map": {"at": 214304, "to": "mm"}, + "name": "PA_SC_PERFCOUNTER4_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45556, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC2_LS_VS", - "type_ref": "SPI_SHADER_PGM_RSRC2_LS_VS" + "map": {"at": 214308, "to": "mm"}, + "name": "PA_SC_PERFCOUNTER4_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45100, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC2_PS", - "type_ref": "SPI_SHADER_PGM_RSRC2_PS" + "map": {"at": 214312, "to": "mm"}, + "name": "PA_SC_PERFCOUNTER5_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45356, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC2_VS", - "type_ref": "SPI_SHADER_PGM_RSRC2_VS" + "map": {"at": 214316, "to": "mm"}, + "name": "PA_SC_PERFCOUNTER5_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45852, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC3_ES", - "type_ref": "SPI_SHADER_PGM_RSRC3_GS" + "map": {"at": 214320, "to": "mm"}, + "name": "PA_SC_PERFCOUNTER6_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45596, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC3_GS", - "type_ref": "SPI_SHADER_PGM_RSRC3_GS" + "map": {"at": 214324, "to": "mm"}, + "name": "PA_SC_PERFCOUNTER6_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 46108, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC3_HS", - "type_ref": "SPI_SHADER_PGM_RSRC3_HS" + "map": {"at": 214328, "to": "mm"}, + "name": "PA_SC_PERFCOUNTER7_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 46364, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC3_LS", - "type_ref": "SPI_SHADER_PGM_RSRC3_GS" + "map": {"at": 214332, "to": "mm"}, + "name": "PA_SC_PERFCOUNTER7_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45084, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC3_PS", - "type_ref": "SPI_SHADER_PGM_RSRC3_PS" + "map": {"at": 214528, "to": "mm"}, + "name": "SPI_PERFCOUNTER0_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45336, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC3_VS", - "type_ref": "SPI_SHADER_PGM_RSRC3_PS" + "map": {"at": 214532, "to": "mm"}, + "name": "SPI_PERFCOUNTER0_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45572, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC4_GS", - "type_ref": "SPI_SHADER_PGM_RSRC4_GS" + "map": {"at": 214536, "to": "mm"}, + "name": "SPI_PERFCOUNTER1_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 46084, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC4_HS", - "type_ref": "SPI_SHADER_PGM_RSRC4_PS" + "map": {"at": 214540, "to": "mm"}, + "name": "SPI_PERFCOUNTER1_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45060, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC4_PS", - "type_ref": "SPI_SHADER_PGM_RSRC4_PS" + "map": {"at": 214544, "to": "mm"}, + "name": "SPI_PERFCOUNTER2_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45316, "to": "mm"}, - "name": "SPI_SHADER_PGM_RSRC4_VS", - "type_ref": "SPI_SHADER_PGM_RSRC4_PS" + "map": {"at": 214548, "to": "mm"}, + "name": "SPI_PERFCOUNTER2_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 165644, "to": "mm"}, - "name": "SPI_SHADER_POS_FORMAT", - "type_ref": "SPI_SHADER_POS_FORMAT" + "map": {"at": 214552, "to": "mm"}, + "name": "SPI_PERFCOUNTER3_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45768, "to": "mm"}, - "name": "SPI_SHADER_USER_ACCUM_ESGS_0", - "type_ref": "COMPUTE_USER_ACCUM_0" + "map": {"at": 214556, "to": "mm"}, + "name": "SPI_PERFCOUNTER3_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45772, "to": "mm"}, - "name": "SPI_SHADER_USER_ACCUM_ESGS_1", - "type_ref": "COMPUTE_USER_ACCUM_0" + "map": {"at": 214560, "to": "mm"}, + "name": "SPI_PERFCOUNTER4_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45776, "to": "mm"}, - "name": "SPI_SHADER_USER_ACCUM_ESGS_2", - "type_ref": "COMPUTE_USER_ACCUM_0" + "map": {"at": 214564, "to": "mm"}, + "name": "SPI_PERFCOUNTER4_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45780, "to": "mm"}, - "name": "SPI_SHADER_USER_ACCUM_ESGS_3", - "type_ref": "COMPUTE_USER_ACCUM_0" + "map": {"at": 214568, "to": "mm"}, + "name": "SPI_PERFCOUNTER5_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 46280, "to": "mm"}, - "name": "SPI_SHADER_USER_ACCUM_LSHS_0", - "type_ref": "COMPUTE_USER_ACCUM_0" + "map": {"at": 214572, "to": "mm"}, + "name": "SPI_PERFCOUNTER5_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 46284, "to": "mm"}, - "name": "SPI_SHADER_USER_ACCUM_LSHS_1", - "type_ref": "COMPUTE_USER_ACCUM_0" + "map": {"at": 214784, "to": "mm"}, + "name": "SQ_PERFCOUNTER0_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 46288, "to": "mm"}, - "name": "SPI_SHADER_USER_ACCUM_LSHS_2", - "type_ref": "COMPUTE_USER_ACCUM_0" + "map": {"at": 214788, "to": "mm"}, + "name": "SQ_PERFCOUNTER0_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 46292, "to": "mm"}, - "name": "SPI_SHADER_USER_ACCUM_LSHS_3", - "type_ref": "COMPUTE_USER_ACCUM_0" + "map": {"at": 214792, "to": "mm"}, + "name": "SQ_PERFCOUNTER1_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45256, "to": "mm"}, - "name": "SPI_SHADER_USER_ACCUM_PS_0", - "type_ref": "COMPUTE_USER_ACCUM_0" + "map": {"at": 214796, "to": "mm"}, + "name": "SQ_PERFCOUNTER1_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45260, "to": "mm"}, - "name": "SPI_SHADER_USER_ACCUM_PS_1", - "type_ref": "COMPUTE_USER_ACCUM_0" + "map": {"at": 214800, "to": "mm"}, + "name": "SQ_PERFCOUNTER2_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45264, "to": "mm"}, - "name": "SPI_SHADER_USER_ACCUM_PS_2", - "type_ref": "COMPUTE_USER_ACCUM_0" + "map": {"at": 214804, "to": "mm"}, + "name": "SQ_PERFCOUNTER2_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45268, "to": "mm"}, - "name": "SPI_SHADER_USER_ACCUM_PS_3", - "type_ref": "COMPUTE_USER_ACCUM_0" + "map": {"at": 214808, "to": "mm"}, + "name": "SQ_PERFCOUNTER3_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45512, "to": "mm"}, - "name": "SPI_SHADER_USER_ACCUM_VS_0", - "type_ref": "COMPUTE_USER_ACCUM_0" + "map": {"at": 214812, "to": "mm"}, + "name": "SQ_PERFCOUNTER3_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45516, "to": "mm"}, - "name": "SPI_SHADER_USER_ACCUM_VS_1", - "type_ref": "COMPUTE_USER_ACCUM_0" + "map": {"at": 214816, "to": "mm"}, + "name": "SQ_PERFCOUNTER4_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45520, "to": "mm"}, - "name": "SPI_SHADER_USER_ACCUM_VS_2", - "type_ref": "COMPUTE_USER_ACCUM_0" + "map": {"at": 214820, "to": "mm"}, + "name": "SQ_PERFCOUNTER4_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45524, "to": "mm"}, - "name": "SPI_SHADER_USER_ACCUM_VS_3", - "type_ref": "COMPUTE_USER_ACCUM_0" + "map": {"at": 214824, "to": "mm"}, + "name": "SQ_PERFCOUNTER5_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45764, "to": "mm"}, - "name": "SPI_SHADER_PREF_PRI_CNTR_CTRL_ESGS", - "type_ref": "COMPUTE_PREF_PRI_CNTR_CTRL" + "map": {"at": 214828, "to": "mm"}, + "name": "SQ_PERFCOUNTER5_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 46276, "to": "mm"}, - "name": "SPI_SHADER_PREF_PRI_CNTR_CTRL_LSHS", - "type_ref": "COMPUTE_PREF_PRI_CNTR_CTRL" + "map": {"at": 214832, "to": "mm"}, + "name": "SQ_PERFCOUNTER6_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45252, "to": "mm"}, - "name": "SPI_SHADER_PREF_PRI_CNTR_CTRL_PS", - "type_ref": "COMPUTE_PREF_PRI_CNTR_CTRL" + "map": {"at": 214836, "to": "mm"}, + "name": "SQ_PERFCOUNTER6_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45508, "to": "mm"}, - "name": "SPI_SHADER_PREF_PRI_CNTR_CTRL_VS", - "type_ref": "COMPUTE_PREF_PRI_CNTR_CTRL" + "map": {"at": 214840, "to": "mm"}, + "name": "SQ_PERFCOUNTER7_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45760, "to": "mm"}, - "name": "SPI_SHADER_REQ_CTRL_ESGS", - "type_ref": "SPI_SHADER_REQ_CTRL_ESGS" + "map": {"at": 214844, "to": "mm"}, + "name": "SQ_PERFCOUNTER7_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 46272, "to": "mm"}, - "name": "SPI_SHADER_REQ_CTRL_LSHS", - "type_ref": "SPI_SHADER_REQ_CTRL_ESGS" + "map": {"at": 214848, "to": "mm"}, + "name": "SQ_PERFCOUNTER8_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45248, "to": "mm"}, - "name": "SPI_SHADER_REQ_CTRL_PS", - "type_ref": "SPI_SHADER_REQ_CTRL_ESGS" + "map": {"at": 214852, "to": "mm"}, + "name": "SQ_PERFCOUNTER8_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45504, "to": "mm"}, - "name": "SPI_SHADER_REQ_CTRL_VS", - "type_ref": "SPI_SHADER_REQ_CTRL_ESGS" + "map": {"at": 214856, "to": "mm"}, + "name": "SQ_PERFCOUNTER9_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45580, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ADDR_HI_GS", - "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO" + "map": {"at": 214860, "to": "mm"}, + "name": "SQ_PERFCOUNTER9_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 46092, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ADDR_HI_HS", - "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO" + "map": {"at": 214864, "to": "mm"}, + "name": "SQ_PERFCOUNTER10_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45576, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ADDR_LO_GS", - "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO" + "map": {"at": 214868, "to": "mm"}, + "name": "SQ_PERFCOUNTER10_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 46088, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ADDR_LO_HS", - "type_ref": "SPI_P0_TRAP_SCREEN_PSBA_LO" + "map": {"at": 214872, "to": "mm"}, + "name": "SQ_PERFCOUNTER11_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45872, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ES_0", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 214876, "to": "mm"}, + "name": "SQ_PERFCOUNTER11_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45876, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ES_1", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 214880, "to": "mm"}, + "name": "SQ_PERFCOUNTER12_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45912, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ES_10", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 214884, "to": "mm"}, + "name": "SQ_PERFCOUNTER12_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45916, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ES_11", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 214888, "to": "mm"}, + "name": "SQ_PERFCOUNTER13_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45920, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ES_12", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 214892, "to": "mm"}, + "name": "SQ_PERFCOUNTER13_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45924, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ES_13", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 214896, "to": "mm"}, + "name": "SQ_PERFCOUNTER14_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45928, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ES_14", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 214900, "to": "mm"}, + "name": "SQ_PERFCOUNTER14_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45932, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ES_15", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 214904, "to": "mm"}, + "name": "SQ_PERFCOUNTER15_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45880, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ES_2", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 214908, "to": "mm"}, + "name": "SQ_PERFCOUNTER15_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45884, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ES_3", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 215296, "to": "mm"}, + "name": "SX_PERFCOUNTER0_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45888, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ES_4", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 215300, "to": "mm"}, + "name": "SX_PERFCOUNTER0_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45892, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ES_5", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 215304, "to": "mm"}, + "name": "SX_PERFCOUNTER1_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45896, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ES_6", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 215308, "to": "mm"}, + "name": "SX_PERFCOUNTER1_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45900, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ES_7", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 215312, "to": "mm"}, + "name": "SX_PERFCOUNTER2_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45904, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ES_8", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 215316, "to": "mm"}, + "name": "SX_PERFCOUNTER2_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45908, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_ES_9", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 215320, "to": "mm"}, + "name": "SX_PERFCOUNTER3_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45616, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_GS_0", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 215324, "to": "mm"}, + "name": "SX_PERFCOUNTER3_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45620, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_GS_1", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 215424, "to": "mm"}, + "name": "GCEA_PERFCOUNTER2_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45656, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_GS_10", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 215428, "to": "mm"}, + "name": "GCEA_PERFCOUNTER2_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45660, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_GS_11", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 215552, "to": "mm"}, + "name": "GDS_PERFCOUNTER0_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45664, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_GS_12", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 215556, "to": "mm"}, + "name": "GDS_PERFCOUNTER0_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45668, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_GS_13", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 215560, "to": "mm"}, + "name": "GDS_PERFCOUNTER1_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45672, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_GS_14", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 215564, "to": "mm"}, + "name": "GDS_PERFCOUNTER1_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45676, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_GS_15", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 215568, "to": "mm"}, + "name": "GDS_PERFCOUNTER2_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45680, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_GS_16", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 215572, "to": "mm"}, + "name": "GDS_PERFCOUNTER2_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45684, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_GS_17", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 215576, "to": "mm"}, + "name": "GDS_PERFCOUNTER3_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45688, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_GS_18", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 215580, "to": "mm"}, + "name": "GDS_PERFCOUNTER3_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45692, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_GS_19", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 215808, "to": "mm"}, + "name": "TA_PERFCOUNTER0_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45624, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_GS_2", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 215812, "to": "mm"}, + "name": "TA_PERFCOUNTER0_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45696, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_GS_20", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 215816, "to": "mm"}, + "name": "TA_PERFCOUNTER1_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45700, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_GS_21", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 215820, "to": "mm"}, + "name": "TA_PERFCOUNTER1_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45704, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_GS_22", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 216064, "to": "mm"}, + "name": "TD_PERFCOUNTER0_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45708, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_GS_23", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 216068, "to": "mm"}, + "name": "TD_PERFCOUNTER0_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45712, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_GS_24", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 216072, "to": "mm"}, + "name": "TD_PERFCOUNTER1_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45716, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_GS_25", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 216076, "to": "mm"}, + "name": "TD_PERFCOUNTER1_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45720, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_GS_26", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 216320, "to": "mm"}, + "name": "TCP_PERFCOUNTER0_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45724, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_GS_27", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 216324, "to": "mm"}, + "name": "TCP_PERFCOUNTER0_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45728, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_GS_28", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 216328, "to": "mm"}, + "name": "TCP_PERFCOUNTER1_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45732, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_GS_29", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 216332, "to": "mm"}, + "name": "TCP_PERFCOUNTER1_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45628, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_GS_3", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 216336, "to": "mm"}, + "name": "TCP_PERFCOUNTER2_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45736, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_GS_30", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 216340, "to": "mm"}, + "name": "TCP_PERFCOUNTER2_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45740, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_GS_31", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 216344, "to": "mm"}, + "name": "TCP_PERFCOUNTER3_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45632, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_GS_4", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 216348, "to": "mm"}, + "name": "TCP_PERFCOUNTER3_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45636, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_GS_5", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 216576, "to": "mm"}, + "name": "GL2C_PERFCOUNTER0_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45640, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_GS_6", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 216580, "to": "mm"}, + "name": "GL2C_PERFCOUNTER0_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45644, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_GS_7", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 216584, "to": "mm"}, + "name": "GL2C_PERFCOUNTER1_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45648, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_GS_8", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 216588, "to": "mm"}, + "name": "GL2C_PERFCOUNTER1_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45652, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_GS_9", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 216592, "to": "mm"}, + "name": "GL2C_PERFCOUNTER2_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 46128, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_HS_0", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 216596, "to": "mm"}, + "name": "GL2C_PERFCOUNTER2_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 46132, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_HS_1", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 216600, "to": "mm"}, + "name": "GL2C_PERFCOUNTER3_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 46168, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_HS_10", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 216604, "to": "mm"}, + "name": "GL2C_PERFCOUNTER3_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 46172, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_HS_11", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 216640, "to": "mm"}, + "name": "GL2A_PERFCOUNTER0_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 46176, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_HS_12", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 216644, "to": "mm"}, + "name": "GL2A_PERFCOUNTER0_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 46180, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_HS_13", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 216648, "to": "mm"}, + "name": "GL2A_PERFCOUNTER1_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 46184, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_HS_14", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 216652, "to": "mm"}, + "name": "GL2A_PERFCOUNTER1_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 46188, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_HS_15", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 216656, "to": "mm"}, + "name": "GL2A_PERFCOUNTER2_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 46192, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_HS_16", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 216660, "to": "mm"}, + "name": "GL2A_PERFCOUNTER2_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 46196, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_HS_17", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 216664, "to": "mm"}, + "name": "GL2A_PERFCOUNTER3_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 46200, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_HS_18", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 216668, "to": "mm"}, + "name": "GL2A_PERFCOUNTER3_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 46204, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_HS_19", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 216704, "to": "mm"}, + "name": "GL1C_PERFCOUNTER0_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 46136, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_HS_2", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 216708, "to": "mm"}, + "name": "GL1C_PERFCOUNTER0_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 46208, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_HS_20", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 216712, "to": "mm"}, + "name": "GL1C_PERFCOUNTER1_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 46212, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_HS_21", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 216716, "to": "mm"}, + "name": "GL1C_PERFCOUNTER1_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 46216, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_HS_22", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 216720, "to": "mm"}, + "name": "GL1C_PERFCOUNTER2_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 46220, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_HS_23", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 216724, "to": "mm"}, + "name": "GL1C_PERFCOUNTER2_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 46224, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_HS_24", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 216728, "to": "mm"}, + "name": "GL1C_PERFCOUNTER3_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 46228, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_HS_25", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 216732, "to": "mm"}, + "name": "GL1C_PERFCOUNTER3_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 46232, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_HS_26", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 216832, "to": "mm"}, + "name": "CHC_PERFCOUNTER0_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 46236, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_HS_27", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 216836, "to": "mm"}, + "name": "CHC_PERFCOUNTER0_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 46240, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_HS_28", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 216840, "to": "mm"}, + "name": "CHC_PERFCOUNTER1_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 46244, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_HS_29", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 216844, "to": "mm"}, + "name": "CHC_PERFCOUNTER1_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 46140, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_HS_3", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 216848, "to": "mm"}, + "name": "CHC_PERFCOUNTER2_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 46248, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_HS_30", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 216852, "to": "mm"}, + "name": "CHC_PERFCOUNTER2_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 46252, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_HS_31", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 216856, "to": "mm"}, + "name": "CHC_PERFCOUNTER3_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 46144, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_HS_4", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 216860, "to": "mm"}, + "name": "CHC_PERFCOUNTER3_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 46148, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_HS_5", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 216864, "to": "mm"}, + "name": "CHCG_PERFCOUNTER0_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 46152, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_HS_6", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 216868, "to": "mm"}, + "name": "CHCG_PERFCOUNTER0_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 46156, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_HS_7", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 216872, "to": "mm"}, + "name": "CHCG_PERFCOUNTER1_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 46160, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_HS_8", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 216876, "to": "mm"}, + "name": "CHCG_PERFCOUNTER1_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 46164, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_HS_9", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 216880, "to": "mm"}, + "name": "CHCG_PERFCOUNTER2_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 46384, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_0", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 216884, "to": "mm"}, + "name": "CHCG_PERFCOUNTER2_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 46388, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_1", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 216888, "to": "mm"}, + "name": "CHCG_PERFCOUNTER3_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 46424, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_10", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 216892, "to": "mm"}, + "name": "CHCG_PERFCOUNTER3_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 46428, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_11", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 217112, "to": "mm"}, + "name": "CB_PERFCOUNTER0_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 46432, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_12", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 217116, "to": "mm"}, + "name": "CB_PERFCOUNTER0_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 46436, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_13", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 217120, "to": "mm"}, + "name": "CB_PERFCOUNTER1_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 46440, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_14", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 217124, "to": "mm"}, + "name": "CB_PERFCOUNTER1_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 46444, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_15", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 217128, "to": "mm"}, + "name": "CB_PERFCOUNTER2_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 46392, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_2", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 217132, "to": "mm"}, + "name": "CB_PERFCOUNTER2_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 46396, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_3", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 217136, "to": "mm"}, + "name": "CB_PERFCOUNTER3_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 46400, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_4", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 217140, "to": "mm"}, + "name": "CB_PERFCOUNTER3_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 46404, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_5", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 217344, "to": "mm"}, + "name": "DB_PERFCOUNTER0_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 46408, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_6", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 217348, "to": "mm"}, + "name": "DB_PERFCOUNTER0_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 46412, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_7", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 217352, "to": "mm"}, + "name": "DB_PERFCOUNTER1_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 46416, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_8", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 217356, "to": "mm"}, + "name": "DB_PERFCOUNTER1_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 46420, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_LS_9", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 217360, "to": "mm"}, + "name": "DB_PERFCOUNTER2_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45104, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_0", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 217364, "to": "mm"}, + "name": "DB_PERFCOUNTER2_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45108, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_1", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 217368, "to": "mm"}, + "name": "DB_PERFCOUNTER3_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45144, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_10", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 217372, "to": "mm"}, + "name": "DB_PERFCOUNTER3_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45148, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_11", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 217600, "to": "mm"}, + "name": "RLC_PERFCOUNTER0_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45152, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_12", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 217604, "to": "mm"}, + "name": "RLC_PERFCOUNTER0_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45156, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_13", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 217608, "to": "mm"}, + "name": "RLC_PERFCOUNTER1_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45160, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_14", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 217612, "to": "mm"}, + "name": "RLC_PERFCOUNTER1_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45164, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_15", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 217856, "to": "mm"}, + "name": "RMI_PERFCOUNTER0_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45168, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_16", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 217860, "to": "mm"}, + "name": "RMI_PERFCOUNTER0_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45172, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_17", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 217864, "to": "mm"}, + "name": "RMI_PERFCOUNTER1_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45176, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_18", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 217868, "to": "mm"}, + "name": "RMI_PERFCOUNTER1_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45180, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_19", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 217872, "to": "mm"}, + "name": "RMI_PERFCOUNTER2_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45112, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_2", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 217876, "to": "mm"}, + "name": "RMI_PERFCOUNTER2_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45184, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_20", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 217880, "to": "mm"}, + "name": "RMI_PERFCOUNTER3_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45188, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_21", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 217884, "to": "mm"}, + "name": "RMI_PERFCOUNTER3_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45192, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_22", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 217984, "to": "mm"}, + "name": "GC_ATC_L2_PERFCOUNTER_LO", + "type_ref": "GC_ATC_L2_PERFCOUNTER_LO" }, { "chips": ["gfx10"], - "map": {"at": 45196, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_23", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 217988, "to": "mm"}, + "name": "GC_ATC_L2_PERFCOUNTER_HI", + "type_ref": "GC_ATC_L2_PERFCOUNTER_HI" }, { "chips": ["gfx10"], - "map": {"at": 45200, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_24", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 218016, "to": "mm"}, + "name": "GCMC_VM_L2_PERFCOUNTER_LO", + "type_ref": "GC_ATC_L2_PERFCOUNTER_LO" }, { "chips": ["gfx10"], - "map": {"at": 45204, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_25", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 218020, "to": "mm"}, + "name": "GCMC_VM_L2_PERFCOUNTER_HI", + "type_ref": "GC_ATC_L2_PERFCOUNTER_HI" }, { "chips": ["gfx10"], - "map": {"at": 45208, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_26", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 218080, "to": "mm"}, + "name": "GCVML2_PERFCOUNTER2_0_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45212, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_27", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 218084, "to": "mm"}, + "name": "GCVML2_PERFCOUNTER2_1_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45216, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_28", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 218088, "to": "mm"}, + "name": "GCVML2_PERFCOUNTER2_0_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45220, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_29", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 218092, "to": "mm"}, + "name": "GCVML2_PERFCOUNTER2_1_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45116, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_3", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 218096, "to": "mm"}, + "name": "GC_ATC_L2_PERFCOUNTER2_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45224, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_30", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 218100, "to": "mm"}, + "name": "GC_ATC_L2_PERFCOUNTER2_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45228, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_31", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 218224, "to": "mm"}, + "name": "UTCL1_PERFCOUNTER0_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45120, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_4", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 218228, "to": "mm"}, + "name": "UTCL1_PERFCOUNTER0_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45124, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_5", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 218232, "to": "mm"}, + "name": "UTCL1_PERFCOUNTER1_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45128, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_6", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 218236, "to": "mm"}, + "name": "UTCL1_PERFCOUNTER1_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45132, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_7", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 218240, "to": "mm"}, + "name": "GCR_PERFCOUNTER0_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45136, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_8", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 218244, "to": "mm"}, + "name": "GCR_PERFCOUNTER0_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45140, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_PS_9", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 218248, "to": "mm"}, + "name": "GCR_PERFCOUNTER1_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45360, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_0", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 218252, "to": "mm"}, + "name": "GCR_PERFCOUNTER1_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45364, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_1", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 218624, "to": "mm"}, + "name": "PA_PH_PERFCOUNTER0_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45400, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_10", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 218628, "to": "mm"}, + "name": "PA_PH_PERFCOUNTER0_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45404, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_11", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 218632, "to": "mm"}, + "name": "PA_PH_PERFCOUNTER1_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45408, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_12", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 218636, "to": "mm"}, + "name": "PA_PH_PERFCOUNTER1_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45412, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_13", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 218640, "to": "mm"}, + "name": "PA_PH_PERFCOUNTER2_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45416, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_14", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 218644, "to": "mm"}, + "name": "PA_PH_PERFCOUNTER2_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45420, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_15", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 218648, "to": "mm"}, + "name": "PA_PH_PERFCOUNTER3_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45424, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_16", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 218652, "to": "mm"}, + "name": "PA_PH_PERFCOUNTER3_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45428, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_17", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 218656, "to": "mm"}, + "name": "PA_PH_PERFCOUNTER4_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45432, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_18", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 218660, "to": "mm"}, + "name": "PA_PH_PERFCOUNTER4_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45436, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_19", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 218664, "to": "mm"}, + "name": "PA_PH_PERFCOUNTER5_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45368, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_2", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 218668, "to": "mm"}, + "name": "PA_PH_PERFCOUNTER5_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45440, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_20", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 218672, "to": "mm"}, + "name": "PA_PH_PERFCOUNTER6_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45444, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_21", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 218676, "to": "mm"}, + "name": "PA_PH_PERFCOUNTER6_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45448, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_22", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 218680, "to": "mm"}, + "name": "PA_PH_PERFCOUNTER7_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45452, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_23", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 218684, "to": "mm"}, + "name": "PA_PH_PERFCOUNTER7_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45456, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_24", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 218880, "to": "mm"}, + "name": "GL1A_PERFCOUNTER0_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45460, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_25", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 218884, "to": "mm"}, + "name": "GL1A_PERFCOUNTER0_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45464, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_26", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 218888, "to": "mm"}, + "name": "GL1A_PERFCOUNTER1_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45468, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_27", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 218892, "to": "mm"}, + "name": "GL1A_PERFCOUNTER1_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45472, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_28", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 218896, "to": "mm"}, + "name": "GL1A_PERFCOUNTER2_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45476, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_29", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 218900, "to": "mm"}, + "name": "GL1A_PERFCOUNTER2_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45372, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_3", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 218904, "to": "mm"}, + "name": "GL1A_PERFCOUNTER3_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45480, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_30", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 218908, "to": "mm"}, + "name": "GL1A_PERFCOUNTER3_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45484, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_31", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 219136, "to": "mm"}, + "name": "CHA_PERFCOUNTER0_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45376, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_4", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 219140, "to": "mm"}, + "name": "CHA_PERFCOUNTER0_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45380, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_5", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 219144, "to": "mm"}, + "name": "CHA_PERFCOUNTER1_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45384, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_6", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 219148, "to": "mm"}, + "name": "CHA_PERFCOUNTER1_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45388, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_7", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 219152, "to": "mm"}, + "name": "CHA_PERFCOUNTER2_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 45392, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_8", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 219156, "to": "mm"}, + "name": "CHA_PERFCOUNTER2_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 45396, "to": "mm"}, - "name": "SPI_SHADER_USER_DATA_VS_9", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 219160, "to": "mm"}, + "name": "CHA_PERFCOUNTER3_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 165648, "to": "mm"}, - "name": "SPI_SHADER_Z_FORMAT", - "type_ref": "SPI_SHADER_Z_FORMAT" + "map": {"at": 219164, "to": "mm"}, + "name": "CHA_PERFCOUNTER3_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 37708, "to": "mm"}, - "name": "SPI_SLAVE_DEBUG_BUSY", - "type_ref": "SPI_SLAVE_DEBUG_BUSY" + "map": {"at": 219392, "to": "mm"}, + "name": "GUS_PERFCOUNTER2_LO", + "type_ref": "CPG_PERFCOUNTER1_LO" }, { "chips": ["gfx10"], - "map": {"at": 37100, "to": "mm"}, - "name": "SPI_START_PHASE", - "type_ref": "SPI_START_PHASE" + "map": {"at": 219396, "to": "mm"}, + "name": "GUS_PERFCOUNTER2_HI", + "type_ref": "CPG_PERFCOUNTER1_HI" }, { "chips": ["gfx10"], - "map": {"at": 37732, "to": "mm"}, - "name": "SPI_SX_EXPORT_BUFFER_SIZES", - "type_ref": "SPI_SX_EXPORT_BUFFER_SIZES" + "map": {"at": 221184, "to": "mm"}, + "name": "CPG_PERFCOUNTER1_SELECT", + "type_ref": "CPG_PERFCOUNTER1_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 37736, "to": "mm"}, - "name": "SPI_SX_SCOREBOARD_BUFFER_SIZES", - "type_ref": "SPI_SX_SCOREBOARD_BUFFER_SIZES" + "map": {"at": 221188, "to": "mm"}, + "name": "CPG_PERFCOUNTER0_SELECT1", + "type_ref": "CPG_PERFCOUNTER0_SELECT1" }, { "chips": ["gfx10"], - "map": {"at": 165608, "to": "mm"}, - "name": "SPI_TMPRING_SIZE", - "type_ref": "COMPUTE_TMPRING_SIZE" + "map": {"at": 221192, "to": "mm"}, + "name": "CPG_PERFCOUNTER0_SELECT", + "type_ref": "CPG_PERFCOUNTER1_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 165572, "to": "mm"}, - "name": "SPI_VS_OUT_CONFIG", - "type_ref": "SPI_VS_OUT_CONFIG" + "map": {"at": 221196, "to": "mm"}, + "name": "CPC_PERFCOUNTER1_SELECT", + "type_ref": "CPG_PERFCOUNTER1_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 37172, "to": "mm"}, - "name": "SPI_WAVE_LIMIT_CNTL", - "type_ref": "SPI_WAVE_LIMIT_CNTL" + "map": {"at": 221200, "to": "mm"}, + "name": "CPC_PERFCOUNTER0_SELECT1", + "type_ref": "CPG_PERFCOUNTER0_SELECT1" }, { "chips": ["gfx10"], - "map": {"at": 200972, "to": "mm"}, - "name": "SPI_WAVE_LIMIT_CNTL_REMAP", - "type_ref": "SPI_CONFIG_CNTL_REMAP" + "map": {"at": 221204, "to": "mm"}, + "name": "CPF_PERFCOUNTER1_SELECT", + "type_ref": "CPG_PERFCOUNTER1_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 37544, "to": "mm"}, - "name": "SPI_WF_LIFETIME_CNTL", - "type_ref": "SPI_WF_LIFETIME_CNTL" + "map": {"at": 221208, "to": "mm"}, + "name": "CPF_PERFCOUNTER0_SELECT1", + "type_ref": "CPG_PERFCOUNTER0_SELECT1" }, { "chips": ["gfx10"], - "map": {"at": 37672, "to": "mm"}, - "name": "SPI_WF_LIFETIME_DEBUG", - "type_ref": "SPI_WF_LIFETIME_DEBUG" + "map": {"at": 221212, "to": "mm"}, + "name": "CPF_PERFCOUNTER0_SELECT", + "type_ref": "CPG_PERFCOUNTER1_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 37548, "to": "mm"}, - "name": "SPI_WF_LIFETIME_LIMIT_0", - "type_ref": "SPI_WF_LIFETIME_LIMIT_0" + "map": {"at": 221216, "to": "mm"}, + "name": "CP_PERFMON_CNTL", + "type_ref": "CP_PERFMON_CNTL" }, { "chips": ["gfx10"], - "map": {"at": 37552, "to": "mm"}, - "name": "SPI_WF_LIFETIME_LIMIT_1", - "type_ref": "SPI_WF_LIFETIME_LIMIT_0" + "map": {"at": 221220, "to": "mm"}, + "name": "CPC_PERFCOUNTER0_SELECT", + "type_ref": "CPG_PERFCOUNTER1_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 37556, "to": "mm"}, - "name": "SPI_WF_LIFETIME_LIMIT_2", - "type_ref": "SPI_WF_LIFETIME_LIMIT_0" + "map": {"at": 221224, "to": "mm"}, + "name": "CPF_TC_PERF_COUNTER_WINDOW_SELECT", + "type_ref": "CPF_TC_PERF_COUNTER_WINDOW_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 37560, "to": "mm"}, - "name": "SPI_WF_LIFETIME_LIMIT_3", - "type_ref": "SPI_WF_LIFETIME_LIMIT_0" + "map": {"at": 221228, "to": "mm"}, + "name": "CPG_TC_PERF_COUNTER_WINDOW_SELECT", + "type_ref": "CPG_TC_PERF_COUNTER_WINDOW_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 37564, "to": "mm"}, - "name": "SPI_WF_LIFETIME_LIMIT_4", - "type_ref": "SPI_WF_LIFETIME_LIMIT_0" + "map": {"at": 221232, "to": "mm"}, + "name": "CPF_LATENCY_STATS_SELECT", + "type_ref": "CPF_LATENCY_STATS_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 37568, "to": "mm"}, - "name": "SPI_WF_LIFETIME_LIMIT_5", - "type_ref": "SPI_WF_LIFETIME_LIMIT_0" + "map": {"at": 221236, "to": "mm"}, + "name": "CPG_LATENCY_STATS_SELECT", + "type_ref": "CPG_LATENCY_STATS_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 37572, "to": "mm"}, - "name": "SPI_WF_LIFETIME_LIMIT_6", - "type_ref": "SPI_WF_LIFETIME_LIMIT_0" + "map": {"at": 221240, "to": "mm"}, + "name": "CPC_LATENCY_STATS_SELECT", + "type_ref": "CPF_LATENCY_STATS_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 37576, "to": "mm"}, - "name": "SPI_WF_LIFETIME_LIMIT_7", - "type_ref": "SPI_WF_LIFETIME_LIMIT_0" + "map": {"at": 221248, "to": "mm"}, + "name": "CP_DRAW_OBJECT", + "type_ref": "CP_DRAW_OBJECT" }, { "chips": ["gfx10"], - "map": {"at": 37580, "to": "mm"}, - "name": "SPI_WF_LIFETIME_LIMIT_8", - "type_ref": "SPI_WF_LIFETIME_LIMIT_0" + "map": {"at": 221252, "to": "mm"}, + "name": "CP_DRAW_OBJECT_COUNTER", + "type_ref": "PA_SC_P3D_TRAP_SCREEN_OCCURRENCE" }, { "chips": ["gfx10"], - "map": {"at": 37584, "to": "mm"}, - "name": "SPI_WF_LIFETIME_LIMIT_9", - "type_ref": "SPI_WF_LIFETIME_LIMIT_0" + "map": {"at": 221256, "to": "mm"}, + "name": "CP_DRAW_WINDOW_MASK_HI", + "type_ref": "CP_DRAW_WINDOW_MASK_HI" }, { "chips": ["gfx10"], - "map": {"at": 37588, "to": "mm"}, - "name": "SPI_WF_LIFETIME_STATUS_0", - "type_ref": "SPI_WF_LIFETIME_STATUS_0" + "map": {"at": 221260, "to": "mm"}, + "name": "CP_DRAW_WINDOW_HI", + "type_ref": "CP_DRAW_WINDOW_HI" }, { "chips": ["gfx10"], - "map": {"at": 37592, "to": "mm"}, - "name": "SPI_WF_LIFETIME_STATUS_1", - "type_ref": "SPI_WF_LIFETIME_STATUS_0" + "map": {"at": 221264, "to": "mm"}, + "name": "CP_DRAW_WINDOW_LO", + "type_ref": "CP_DRAW_WINDOW_LO" }, { "chips": ["gfx10"], - "map": {"at": 37628, "to": "mm"}, - "name": "SPI_WF_LIFETIME_STATUS_10", - "type_ref": "SPI_WF_LIFETIME_STATUS_0" + "map": {"at": 221268, "to": "mm"}, + "name": "CP_DRAW_WINDOW_CNTL", + "type_ref": "CP_DRAW_WINDOW_CNTL" }, { "chips": ["gfx10"], - "map": {"at": 37632, "to": "mm"}, - "name": "SPI_WF_LIFETIME_STATUS_11", - "type_ref": "SPI_WF_LIFETIME_STATUS_0" + "map": {"at": 221440, "to": "mm"}, + "name": "GRBM_PERFCOUNTER0_SELECT", + "type_ref": "GRBM_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 37636, "to": "mm"}, - "name": "SPI_WF_LIFETIME_STATUS_12", - "type_ref": "SPI_WF_LIFETIME_STATUS_0" + "map": {"at": 221444, "to": "mm"}, + "name": "GRBM_PERFCOUNTER1_SELECT", + "type_ref": "GRBM_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 37640, "to": "mm"}, - "name": "SPI_WF_LIFETIME_STATUS_13", - "type_ref": "SPI_WF_LIFETIME_STATUS_0" + "map": {"at": 221448, "to": "mm"}, + "name": "GRBM_SE0_PERFCOUNTER_SELECT", + "type_ref": "GRBM_SE0_PERFCOUNTER_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 37644, "to": "mm"}, - "name": "SPI_WF_LIFETIME_STATUS_14", - "type_ref": "SPI_WF_LIFETIME_STATUS_0" + "map": {"at": 221452, "to": "mm"}, + "name": "GRBM_SE1_PERFCOUNTER_SELECT", + "type_ref": "GRBM_SE0_PERFCOUNTER_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 37648, "to": "mm"}, - "name": "SPI_WF_LIFETIME_STATUS_15", - "type_ref": "SPI_WF_LIFETIME_STATUS_0" + "map": {"at": 221456, "to": "mm"}, + "name": "GRBM_SE2_PERFCOUNTER_SELECT", + "type_ref": "GRBM_SE0_PERFCOUNTER_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 37652, "to": "mm"}, - "name": "SPI_WF_LIFETIME_STATUS_16", - "type_ref": "SPI_WF_LIFETIME_STATUS_0" + "map": {"at": 221460, "to": "mm"}, + "name": "GRBM_SE3_PERFCOUNTER_SELECT", + "type_ref": "GRBM_SE0_PERFCOUNTER_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 37656, "to": "mm"}, - "name": "SPI_WF_LIFETIME_STATUS_17", - "type_ref": "SPI_WF_LIFETIME_STATUS_0" + "map": {"at": 221492, "to": "mm"}, + "name": "GRBM_PERFCOUNTER0_SELECT_HI", + "type_ref": "GRBM_PERFCOUNTER0_SELECT_HI" }, { "chips": ["gfx10"], - "map": {"at": 37660, "to": "mm"}, - "name": "SPI_WF_LIFETIME_STATUS_18", - "type_ref": "SPI_WF_LIFETIME_STATUS_0" + "map": {"at": 221496, "to": "mm"}, + "name": "GRBM_PERFCOUNTER1_SELECT_HI", + "type_ref": "GRBM_PERFCOUNTER0_SELECT_HI" }, { "chips": ["gfx10"], - "map": {"at": 37664, "to": "mm"}, - "name": "SPI_WF_LIFETIME_STATUS_19", - "type_ref": "SPI_WF_LIFETIME_STATUS_0" + "map": {"at": 221696, "to": "mm"}, + "name": "GE_PERFCOUNTER0_SELECT", + "type_ref": "GE_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 37596, "to": "mm"}, - "name": "SPI_WF_LIFETIME_STATUS_2", - "type_ref": "SPI_WF_LIFETIME_STATUS_0" + "map": {"at": 221700, "to": "mm"}, + "name": "GE_PERFCOUNTER0_SELECT1", + "type_ref": "GE_PERFCOUNTER0_SELECT1" }, { "chips": ["gfx10"], - "map": {"at": 37668, "to": "mm"}, - "name": "SPI_WF_LIFETIME_STATUS_20", - "type_ref": "SPI_WF_LIFETIME_STATUS_0" + "map": {"at": 221704, "to": "mm"}, + "name": "GE_PERFCOUNTER1_SELECT", + "type_ref": "GE_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 37600, "to": "mm"}, - "name": "SPI_WF_LIFETIME_STATUS_3", - "type_ref": "SPI_WF_LIFETIME_STATUS_0" + "map": {"at": 221708, "to": "mm"}, + "name": "GE_PERFCOUNTER1_SELECT1", + "type_ref": "GE_PERFCOUNTER0_SELECT1" }, { "chips": ["gfx10"], - "map": {"at": 37604, "to": "mm"}, - "name": "SPI_WF_LIFETIME_STATUS_4", - "type_ref": "SPI_WF_LIFETIME_STATUS_0" + "map": {"at": 221712, "to": "mm"}, + "name": "GE_PERFCOUNTER2_SELECT", + "type_ref": "GE_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 37608, "to": "mm"}, - "name": "SPI_WF_LIFETIME_STATUS_5", - "type_ref": "SPI_WF_LIFETIME_STATUS_0" + "map": {"at": 221716, "to": "mm"}, + "name": "GE_PERFCOUNTER2_SELECT1", + "type_ref": "GE_PERFCOUNTER0_SELECT1" }, { "chips": ["gfx10"], - "map": {"at": 37612, "to": "mm"}, - "name": "SPI_WF_LIFETIME_STATUS_6", - "type_ref": "SPI_WF_LIFETIME_STATUS_0" + "map": {"at": 221720, "to": "mm"}, + "name": "GE_PERFCOUNTER3_SELECT", + "type_ref": "GE_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 37616, "to": "mm"}, - "name": "SPI_WF_LIFETIME_STATUS_7", - "type_ref": "SPI_WF_LIFETIME_STATUS_0" + "map": {"at": 221724, "to": "mm"}, + "name": "GE_PERFCOUNTER3_SELECT1", + "type_ref": "GE_PERFCOUNTER0_SELECT1" }, { "chips": ["gfx10"], - "map": {"at": 37620, "to": "mm"}, - "name": "SPI_WF_LIFETIME_STATUS_8", - "type_ref": "SPI_WF_LIFETIME_STATUS_0" + "map": {"at": 221728, "to": "mm"}, + "name": "GE_PERFCOUNTER4_SELECT", + "type_ref": "GE_PERFCOUNTER4_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 37624, "to": "mm"}, - "name": "SPI_WF_LIFETIME_STATUS_9", - "type_ref": "SPI_WF_LIFETIME_STATUS_0" + "map": {"at": 221736, "to": "mm"}, + "name": "GE_PERFCOUNTER5_SELECT", + "type_ref": "GE_PERFCOUNTER4_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 199968, "to": "mm"}, - "name": "SQC_CACHES", - "type_ref": "SQC_CACHES" + "map": {"at": 221744, "to": "mm"}, + "name": "GE_PERFCOUNTER6_SELECT", + "type_ref": "GE_PERFCOUNTER4_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 199972, "to": "mm"}, - "name": "SQC_WRITEBACK", - "type_ref": "SQC_WRITEBACK" + "map": {"at": 221752, "to": "mm"}, + "name": "GE_PERFCOUNTER7_SELECT", + "type_ref": "GE_PERFCOUNTER4_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 214788, "to": "mm"}, - "name": "SQ_PERFCOUNTER0_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 221760, "to": "mm"}, + "name": "GE_PERFCOUNTER8_SELECT", + "type_ref": "GE_PERFCOUNTER4_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 214784, "to": "mm"}, - "name": "SQ_PERFCOUNTER0_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 221768, "to": "mm"}, + "name": "GE_PERFCOUNTER9_SELECT", + "type_ref": "GE_PERFCOUNTER4_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 222976, "to": "mm"}, - "name": "SQ_PERFCOUNTER0_SELECT", - "type_ref": "SQ_PERFCOUNTER0_SELECT" + "map": {"at": 221776, "to": "mm"}, + "name": "GE_PERFCOUNTER10_SELECT", + "type_ref": "GE_PERFCOUNTER4_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 214868, "to": "mm"}, - "name": "SQ_PERFCOUNTER10_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 221784, "to": "mm"}, + "name": "GE_PERFCOUNTER11_SELECT", + "type_ref": "GE_PERFCOUNTER4_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 214864, "to": "mm"}, - "name": "SQ_PERFCOUNTER10_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 222208, "to": "mm"}, + "name": "PA_SU_PERFCOUNTER0_SELECT", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 223016, "to": "mm"}, - "name": "SQ_PERFCOUNTER10_SELECT", - "type_ref": "SQ_PERFCOUNTER0_SELECT" + "map": {"at": 222212, "to": "mm"}, + "name": "PA_SU_PERFCOUNTER0_SELECT1", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT1" }, { "chips": ["gfx10"], - "map": {"at": 214876, "to": "mm"}, - "name": "SQ_PERFCOUNTER11_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 222216, "to": "mm"}, + "name": "PA_SU_PERFCOUNTER1_SELECT", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 214872, "to": "mm"}, - "name": "SQ_PERFCOUNTER11_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 222220, "to": "mm"}, + "name": "PA_SU_PERFCOUNTER1_SELECT1", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT1" }, { "chips": ["gfx10"], - "map": {"at": 223020, "to": "mm"}, - "name": "SQ_PERFCOUNTER11_SELECT", - "type_ref": "SQ_PERFCOUNTER0_SELECT" + "map": {"at": 222224, "to": "mm"}, + "name": "PA_SU_PERFCOUNTER2_SELECT", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 214884, "to": "mm"}, - "name": "SQ_PERFCOUNTER12_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 222228, "to": "mm"}, + "name": "PA_SU_PERFCOUNTER2_SELECT1", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT1" }, { "chips": ["gfx10"], - "map": {"at": 214880, "to": "mm"}, - "name": "SQ_PERFCOUNTER12_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 222232, "to": "mm"}, + "name": "PA_SU_PERFCOUNTER3_SELECT", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 223024, "to": "mm"}, - "name": "SQ_PERFCOUNTER12_SELECT", - "type_ref": "SQ_PERFCOUNTER0_SELECT" + "map": {"at": 222236, "to": "mm"}, + "name": "PA_SU_PERFCOUNTER3_SELECT1", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT1" }, { "chips": ["gfx10"], - "map": {"at": 214892, "to": "mm"}, - "name": "SQ_PERFCOUNTER13_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 222464, "to": "mm"}, + "name": "PA_SC_PERFCOUNTER0_SELECT", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 214888, "to": "mm"}, - "name": "SQ_PERFCOUNTER13_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 222468, "to": "mm"}, + "name": "PA_SC_PERFCOUNTER0_SELECT1", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT1" }, { "chips": ["gfx10"], - "map": {"at": 223028, "to": "mm"}, - "name": "SQ_PERFCOUNTER13_SELECT", - "type_ref": "SQ_PERFCOUNTER0_SELECT" + "map": {"at": 222472, "to": "mm"}, + "name": "PA_SC_PERFCOUNTER1_SELECT", + "type_ref": "PA_SC_PERFCOUNTER1_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 214900, "to": "mm"}, - "name": "SQ_PERFCOUNTER14_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 222476, "to": "mm"}, + "name": "PA_SC_PERFCOUNTER2_SELECT", + "type_ref": "PA_SC_PERFCOUNTER1_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 214896, "to": "mm"}, - "name": "SQ_PERFCOUNTER14_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 222480, "to": "mm"}, + "name": "PA_SC_PERFCOUNTER3_SELECT", + "type_ref": "PA_SC_PERFCOUNTER1_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 223032, "to": "mm"}, - "name": "SQ_PERFCOUNTER14_SELECT", - "type_ref": "SQ_PERFCOUNTER0_SELECT" + "map": {"at": 222484, "to": "mm"}, + "name": "PA_SC_PERFCOUNTER4_SELECT", + "type_ref": "PA_SC_PERFCOUNTER1_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 214908, "to": "mm"}, - "name": "SQ_PERFCOUNTER15_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 222488, "to": "mm"}, + "name": "PA_SC_PERFCOUNTER5_SELECT", + "type_ref": "PA_SC_PERFCOUNTER1_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 214904, "to": "mm"}, - "name": "SQ_PERFCOUNTER15_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 222492, "to": "mm"}, + "name": "PA_SC_PERFCOUNTER6_SELECT", + "type_ref": "PA_SC_PERFCOUNTER1_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 223036, "to": "mm"}, - "name": "SQ_PERFCOUNTER15_SELECT", - "type_ref": "SQ_PERFCOUNTER0_SELECT" + "map": {"at": 222496, "to": "mm"}, + "name": "PA_SC_PERFCOUNTER7_SELECT", + "type_ref": "PA_SC_PERFCOUNTER1_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 214796, "to": "mm"}, - "name": "SQ_PERFCOUNTER1_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 222720, "to": "mm"}, + "name": "SPI_PERFCOUNTER0_SELECT", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 214792, "to": "mm"}, - "name": "SQ_PERFCOUNTER1_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 222724, "to": "mm"}, + "name": "SPI_PERFCOUNTER1_SELECT", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 222980, "to": "mm"}, - "name": "SQ_PERFCOUNTER1_SELECT", - "type_ref": "SQ_PERFCOUNTER0_SELECT" + "map": {"at": 222728, "to": "mm"}, + "name": "SPI_PERFCOUNTER2_SELECT", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 214804, "to": "mm"}, - "name": "SQ_PERFCOUNTER2_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 222732, "to": "mm"}, + "name": "SPI_PERFCOUNTER3_SELECT", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 214800, "to": "mm"}, - "name": "SQ_PERFCOUNTER2_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 222736, "to": "mm"}, + "name": "SPI_PERFCOUNTER0_SELECT1", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT1" }, { "chips": ["gfx10"], - "map": {"at": 222984, "to": "mm"}, - "name": "SQ_PERFCOUNTER2_SELECT", - "type_ref": "SQ_PERFCOUNTER0_SELECT" + "map": {"at": 222740, "to": "mm"}, + "name": "SPI_PERFCOUNTER1_SELECT1", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT1" }, { "chips": ["gfx10"], - "map": {"at": 214812, "to": "mm"}, - "name": "SQ_PERFCOUNTER3_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 222744, "to": "mm"}, + "name": "SPI_PERFCOUNTER2_SELECT1", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT1" }, { "chips": ["gfx10"], - "map": {"at": 214808, "to": "mm"}, - "name": "SQ_PERFCOUNTER3_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 222748, "to": "mm"}, + "name": "SPI_PERFCOUNTER3_SELECT1", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT1" }, { "chips": ["gfx10"], - "map": {"at": 222988, "to": "mm"}, - "name": "SQ_PERFCOUNTER3_SELECT", - "type_ref": "SQ_PERFCOUNTER0_SELECT" + "map": {"at": 222752, "to": "mm"}, + "name": "SPI_PERFCOUNTER4_SELECT", + "type_ref": "PA_SC_PERFCOUNTER1_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 214820, "to": "mm"}, - "name": "SQ_PERFCOUNTER4_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 222756, "to": "mm"}, + "name": "SPI_PERFCOUNTER5_SELECT", + "type_ref": "PA_SC_PERFCOUNTER1_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 214816, "to": "mm"}, - "name": "SQ_PERFCOUNTER4_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 222760, "to": "mm"}, + "name": "SPI_PERFCOUNTER_BINS", + "type_ref": "SPI_PERFCOUNTER_BINS" }, { "chips": ["gfx10"], - "map": {"at": 222992, "to": "mm"}, - "name": "SQ_PERFCOUNTER4_SELECT", + "map": {"at": 222976, "to": "mm"}, + "name": "SQ_PERFCOUNTER0_SELECT", "type_ref": "SQ_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 214828, "to": "mm"}, - "name": "SQ_PERFCOUNTER5_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 222980, "to": "mm"}, + "name": "SQ_PERFCOUNTER1_SELECT", + "type_ref": "SQ_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 214824, "to": "mm"}, - "name": "SQ_PERFCOUNTER5_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 222984, "to": "mm"}, + "name": "SQ_PERFCOUNTER2_SELECT", + "type_ref": "SQ_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 222996, "to": "mm"}, - "name": "SQ_PERFCOUNTER5_SELECT", + "map": {"at": 222988, "to": "mm"}, + "name": "SQ_PERFCOUNTER3_SELECT", "type_ref": "SQ_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 214836, "to": "mm"}, - "name": "SQ_PERFCOUNTER6_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 222992, "to": "mm"}, + "name": "SQ_PERFCOUNTER4_SELECT", + "type_ref": "SQ_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 214832, "to": "mm"}, - "name": "SQ_PERFCOUNTER6_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 222996, "to": "mm"}, + "name": "SQ_PERFCOUNTER5_SELECT", + "type_ref": "SQ_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], @@ -16091,56 +11452,56 @@ }, { "chips": ["gfx10"], - "map": {"at": 214844, "to": "mm"}, - "name": "SQ_PERFCOUNTER7_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 223004, "to": "mm"}, + "name": "SQ_PERFCOUNTER7_SELECT", + "type_ref": "SQ_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 214840, "to": "mm"}, - "name": "SQ_PERFCOUNTER7_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 223008, "to": "mm"}, + "name": "SQ_PERFCOUNTER8_SELECT", + "type_ref": "SQ_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 223004, "to": "mm"}, - "name": "SQ_PERFCOUNTER7_SELECT", + "map": {"at": 223012, "to": "mm"}, + "name": "SQ_PERFCOUNTER9_SELECT", "type_ref": "SQ_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 214852, "to": "mm"}, - "name": "SQ_PERFCOUNTER8_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 223016, "to": "mm"}, + "name": "SQ_PERFCOUNTER10_SELECT", + "type_ref": "SQ_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 214848, "to": "mm"}, - "name": "SQ_PERFCOUNTER8_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 223020, "to": "mm"}, + "name": "SQ_PERFCOUNTER11_SELECT", + "type_ref": "SQ_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 223008, "to": "mm"}, - "name": "SQ_PERFCOUNTER8_SELECT", + "map": {"at": 223024, "to": "mm"}, + "name": "SQ_PERFCOUNTER12_SELECT", "type_ref": "SQ_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 214860, "to": "mm"}, - "name": "SQ_PERFCOUNTER9_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 223028, "to": "mm"}, + "name": "SQ_PERFCOUNTER13_SELECT", + "type_ref": "SQ_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 214856, "to": "mm"}, - "name": "SQ_PERFCOUNTER9_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 223032, "to": "mm"}, + "name": "SQ_PERFCOUNTER14_SELECT", + "type_ref": "SQ_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 223012, "to": "mm"}, - "name": "SQ_PERFCOUNTER9_SELECT", + "map": {"at": 223036, "to": "mm"}, + "name": "SQ_PERFCOUNTER15_SELECT", "type_ref": "SQ_PERFCOUNTER0_SELECT" }, { @@ -16153,1047 +11514,1018 @@ "chips": ["gfx10"], "map": {"at": 223112, "to": "mm"}, "name": "SQ_PERFCOUNTER_CTRL2", - "type_ref": "SQ_PERFCOUNTER_CTRL2" - }, - { - "chips": ["gfx10"], - "map": {"at": 199936, "to": "mm"}, - "name": "SQ_THREAD_TRACE_USERDATA_0", - "type_ref": "COMPUTE_PGM_LO" - }, - { - "chips": ["gfx10"], - "map": {"at": 199940, "to": "mm"}, - "name": "SQ_THREAD_TRACE_USERDATA_1", - "type_ref": "COMPUTE_PGM_LO" - }, - { - "chips": ["gfx10"], - "map": {"at": 199944, "to": "mm"}, - "name": "SQ_THREAD_TRACE_USERDATA_2", - "type_ref": "COMPUTE_PGM_LO" - }, - { - "chips": ["gfx10"], - "map": {"at": 199948, "to": "mm"}, - "name": "SQ_THREAD_TRACE_USERDATA_3", - "type_ref": "COMPUTE_PGM_LO" - }, - { - "chips": ["gfx10"], - "map": {"at": 199952, "to": "mm"}, - "name": "SQ_THREAD_TRACE_USERDATA_4", - "type_ref": "COMPUTE_PGM_LO" + "type_ref": "SQ_PERFCOUNTER_CTRL2" }, { "chips": ["gfx10"], - "map": {"at": 199956, "to": "mm"}, - "name": "SQ_THREAD_TRACE_USERDATA_5", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 223232, "to": "mm"}, + "name": "GCEA_PERFCOUNTER2_SELECT", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 199960, "to": "mm"}, - "name": "SQ_THREAD_TRACE_USERDATA_6", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 223236, "to": "mm"}, + "name": "GCEA_PERFCOUNTER2_SELECT1", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT1" }, { "chips": ["gfx10"], - "map": {"at": 199964, "to": "mm"}, - "name": "SQ_THREAD_TRACE_USERDATA_7", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 223240, "to": "mm"}, + "name": "GCEA_PERFCOUNTER2_MODE", + "type_ref": "GCEA_PERFCOUNTER2_MODE" }, { "chips": ["gfx10"], - "map": {"at": 165724, "to": "mm"}, - "name": "SX_BLEND_OPT_CONTROL", - "type_ref": "SX_BLEND_OPT_CONTROL" + "map": {"at": 223488, "to": "mm"}, + "name": "SX_PERFCOUNTER0_SELECT", + "type_ref": "SX_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 165720, "to": "mm"}, - "name": "SX_BLEND_OPT_EPSILON", - "type_ref": "SX_BLEND_OPT_EPSILON" + "map": {"at": 223492, "to": "mm"}, + "name": "SX_PERFCOUNTER1_SELECT", + "type_ref": "SX_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 36960, "to": "mm"}, - "name": "SX_DEBUG_1", - "type_ref": "SX_DEBUG_1" + "map": {"at": 223496, "to": "mm"}, + "name": "SX_PERFCOUNTER2_SELECT", + "type_ref": "SX_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 36944, "to": "mm"}, - "name": "SX_DEBUG_BUSY", - "type_ref": "SX_DEBUG_BUSY" + "map": {"at": 223500, "to": "mm"}, + "name": "SX_PERFCOUNTER3_SELECT", + "type_ref": "SX_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 36948, "to": "mm"}, - "name": "SX_DEBUG_BUSY_2", - "type_ref": "SX_DEBUG_BUSY_2" + "map": {"at": 223504, "to": "mm"}, + "name": "SX_PERFCOUNTER0_SELECT1", + "type_ref": "SX_PERFCOUNTER0_SELECT1" }, { "chips": ["gfx10"], - "map": {"at": 36952, "to": "mm"}, - "name": "SX_DEBUG_BUSY_3", - "type_ref": "SX_DEBUG_BUSY_3" + "map": {"at": 223508, "to": "mm"}, + "name": "SX_PERFCOUNTER1_SELECT1", + "type_ref": "SX_PERFCOUNTER0_SELECT1" }, { "chips": ["gfx10"], - "map": {"at": 36956, "to": "mm"}, - "name": "SX_DEBUG_BUSY_4", - "type_ref": "SX_DEBUG_BUSY_4" + "map": {"at": 223744, "to": "mm"}, + "name": "GDS_PERFCOUNTER0_SELECT", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 36964, "to": "mm"}, - "name": "SX_DEBUG_BUSY_5", - "type_ref": "SX_DEBUG_BUSY_5" + "map": {"at": 223748, "to": "mm"}, + "name": "GDS_PERFCOUNTER1_SELECT", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 36968, "to": "mm"}, - "name": "SX_DEBUG_BUSY_6", - "type_ref": "SX_DEBUG_BUSY_6" + "map": {"at": 223752, "to": "mm"}, + "name": "GDS_PERFCOUNTER2_SELECT", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 36972, "to": "mm"}, - "name": "SX_DEBUG_BUSY_7", - "type_ref": "SX_DEBUG_BUSY_7" + "map": {"at": 223756, "to": "mm"}, + "name": "GDS_PERFCOUNTER3_SELECT", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 36976, "to": "mm"}, - "name": "SX_DEBUG_BUSY_8", - "type_ref": "SX_DEBUG_BUSY_8" + "map": {"at": 223760, "to": "mm"}, + "name": "GDS_PERFCOUNTER0_SELECT1", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT1" }, { "chips": ["gfx10"], - "map": {"at": 36980, "to": "mm"}, - "name": "SX_DEBUG_BUSY_9", - "type_ref": "SX_DEBUG_BUSY_9" + "map": {"at": 224000, "to": "mm"}, + "name": "TA_PERFCOUNTER0_SELECT", + "type_ref": "TA_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 165728, "to": "mm"}, - "name": "SX_MRT0_BLEND_OPT", - "type_ref": "SX_MRT0_BLEND_OPT" + "map": {"at": 224004, "to": "mm"}, + "name": "TA_PERFCOUNTER0_SELECT1", + "type_ref": "TA_PERFCOUNTER0_SELECT1" }, { "chips": ["gfx10"], - "map": {"at": 165732, "to": "mm"}, - "name": "SX_MRT1_BLEND_OPT", - "type_ref": "SX_MRT0_BLEND_OPT" + "map": {"at": 224008, "to": "mm"}, + "name": "TA_PERFCOUNTER1_SELECT", + "type_ref": "TA_PERFCOUNTER1_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 165736, "to": "mm"}, - "name": "SX_MRT2_BLEND_OPT", - "type_ref": "SX_MRT0_BLEND_OPT" + "map": {"at": 224256, "to": "mm"}, + "name": "TD_PERFCOUNTER0_SELECT", + "type_ref": "TA_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 165740, "to": "mm"}, - "name": "SX_MRT3_BLEND_OPT", - "type_ref": "SX_MRT0_BLEND_OPT" + "map": {"at": 224260, "to": "mm"}, + "name": "TD_PERFCOUNTER0_SELECT1", + "type_ref": "TA_PERFCOUNTER0_SELECT1" }, { "chips": ["gfx10"], - "map": {"at": 165744, "to": "mm"}, - "name": "SX_MRT4_BLEND_OPT", - "type_ref": "SX_MRT0_BLEND_OPT" + "map": {"at": 224264, "to": "mm"}, + "name": "TD_PERFCOUNTER1_SELECT", + "type_ref": "TA_PERFCOUNTER1_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 165748, "to": "mm"}, - "name": "SX_MRT5_BLEND_OPT", - "type_ref": "SX_MRT0_BLEND_OPT" + "map": {"at": 224512, "to": "mm"}, + "name": "TCP_PERFCOUNTER0_SELECT", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 165752, "to": "mm"}, - "name": "SX_MRT6_BLEND_OPT", - "type_ref": "SX_MRT0_BLEND_OPT" + "map": {"at": 224516, "to": "mm"}, + "name": "TCP_PERFCOUNTER0_SELECT1", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT1" }, { "chips": ["gfx10"], - "map": {"at": 165756, "to": "mm"}, - "name": "SX_MRT7_BLEND_OPT", - "type_ref": "SX_MRT0_BLEND_OPT" + "map": {"at": 224520, "to": "mm"}, + "name": "TCP_PERFCOUNTER1_SELECT", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 215300, "overlap": true, "to": "mm"}, - "name": "SX_PERFCOUNTER0_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 224524, "to": "mm"}, + "name": "TCP_PERFCOUNTER1_SELECT1", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT1" }, { "chips": ["gfx10"], - "map": {"at": 215296, "overlap": true, "to": "mm"}, - "name": "SX_PERFCOUNTER0_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 224528, "to": "mm"}, + "name": "TCP_PERFCOUNTER2_SELECT", + "type_ref": "TCP_PERFCOUNTER2_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 223488, "to": "mm"}, - "name": "SX_PERFCOUNTER0_SELECT", - "type_ref": "SX_PERFCOUNTER0_SELECT" + "map": {"at": 224532, "to": "mm"}, + "name": "TCP_PERFCOUNTER3_SELECT", + "type_ref": "TCP_PERFCOUNTER2_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 223504, "to": "mm"}, - "name": "SX_PERFCOUNTER0_SELECT1", - "type_ref": "SX_PERFCOUNTER0_SELECT1" + "map": {"at": 224768, "to": "mm"}, + "name": "GL2C_PERFCOUNTER0_SELECT", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 215308, "overlap": true, "to": "mm"}, - "name": "SX_PERFCOUNTER1_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 224772, "to": "mm"}, + "name": "GL2C_PERFCOUNTER0_SELECT1", + "type_ref": "GE_PERFCOUNTER0_SELECT1" }, { "chips": ["gfx10"], - "map": {"at": 215304, "overlap": true, "to": "mm"}, - "name": "SX_PERFCOUNTER1_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 224776, "to": "mm"}, + "name": "GL2C_PERFCOUNTER1_SELECT", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 223492, "to": "mm"}, - "name": "SX_PERFCOUNTER1_SELECT", - "type_ref": "SX_PERFCOUNTER0_SELECT" + "map": {"at": 224780, "to": "mm"}, + "name": "GL2C_PERFCOUNTER1_SELECT1", + "type_ref": "GE_PERFCOUNTER0_SELECT1" }, { "chips": ["gfx10"], - "map": {"at": 223508, "to": "mm"}, - "name": "SX_PERFCOUNTER1_SELECT1", - "type_ref": "SX_PERFCOUNTER0_SELECT1" + "map": {"at": 224784, "to": "mm"}, + "name": "GL2C_PERFCOUNTER2_SELECT", + "type_ref": "TCP_PERFCOUNTER2_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 215316, "overlap": true, "to": "mm"}, - "name": "SX_PERFCOUNTER2_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 224788, "to": "mm"}, + "name": "GL2C_PERFCOUNTER3_SELECT", + "type_ref": "TCP_PERFCOUNTER2_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 215312, "overlap": true, "to": "mm"}, - "name": "SX_PERFCOUNTER2_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 224832, "to": "mm"}, + "name": "GL2A_PERFCOUNTER0_SELECT", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 223496, "to": "mm"}, - "name": "SX_PERFCOUNTER2_SELECT", - "type_ref": "SX_PERFCOUNTER0_SELECT" + "map": {"at": 224836, "to": "mm"}, + "name": "GL2A_PERFCOUNTER0_SELECT1", + "type_ref": "GE_PERFCOUNTER0_SELECT1" }, { "chips": ["gfx10"], - "map": {"at": 215324, "overlap": true, "to": "mm"}, - "name": "SX_PERFCOUNTER3_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 224840, "to": "mm"}, + "name": "GL2A_PERFCOUNTER1_SELECT", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 215320, "overlap": true, "to": "mm"}, - "name": "SX_PERFCOUNTER3_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 224844, "to": "mm"}, + "name": "GL2A_PERFCOUNTER1_SELECT1", + "type_ref": "GE_PERFCOUNTER0_SELECT1" }, { "chips": ["gfx10"], - "map": {"at": 223500, "to": "mm"}, - "name": "SX_PERFCOUNTER3_SELECT", - "type_ref": "SX_PERFCOUNTER0_SELECT" + "map": {"at": 224848, "to": "mm"}, + "name": "GL2A_PERFCOUNTER2_SELECT", + "type_ref": "TCP_PERFCOUNTER2_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 165712, "to": "mm"}, - "name": "SX_PS_DOWNCONVERT_CONTROL_GFX103", - "type_ref": "SX_PS_DOWNCONVERT_CONTROL" + "map": {"at": 224852, "to": "mm"}, + "name": "GL2A_PERFCOUNTER3_SELECT", + "type_ref": "TCP_PERFCOUNTER2_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 165716, "to": "mm"}, - "name": "SX_PS_DOWNCONVERT", - "type_ref": "SX_PS_DOWNCONVERT" + "map": {"at": 224896, "to": "mm"}, + "name": "GL1C_PERFCOUNTER0_SELECT", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 163968, "to": "mm"}, - "name": "TA_BC_BASE_ADDR", - "type_ref": "RLC_CSIB_ADDR_LO" + "map": {"at": 224900, "to": "mm"}, + "name": "GL1C_PERFCOUNTER0_SELECT1", + "type_ref": "GE_PERFCOUNTER0_SELECT1" }, { "chips": ["gfx10"], - "map": {"at": 163972, "to": "mm"}, - "name": "TA_BC_BASE_ADDR_HI", - "type_ref": "TA_BC_BASE_ADDR_HI" + "map": {"at": 224904, "to": "mm"}, + "name": "GL1C_PERFCOUNTER1_SELECT", + "type_ref": "TCP_PERFCOUNTER2_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 200192, "to": "mm"}, - "name": "TA_CS_BC_BASE_ADDR", - "type_ref": "RLC_CSIB_ADDR_LO" + "map": {"at": 224908, "to": "mm"}, + "name": "GL1C_PERFCOUNTER2_SELECT", + "type_ref": "TCP_PERFCOUNTER2_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 200196, "to": "mm"}, - "name": "TA_CS_BC_BASE_ADDR_HI", - "type_ref": "TA_BC_BASE_ADDR_HI" + "map": {"at": 224912, "to": "mm"}, + "name": "GL1C_PERFCOUNTER3_SELECT", + "type_ref": "TCP_PERFCOUNTER2_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 215812, "to": "mm"}, - "name": "TA_PERFCOUNTER0_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 225024, "to": "mm"}, + "name": "CHC_PERFCOUNTER0_SELECT", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 215808, "to": "mm"}, - "name": "TA_PERFCOUNTER0_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 225028, "to": "mm"}, + "name": "CHC_PERFCOUNTER0_SELECT1", + "type_ref": "GE_PERFCOUNTER0_SELECT1" }, { "chips": ["gfx10"], - "map": {"at": 224000, "to": "mm"}, - "name": "TA_PERFCOUNTER0_SELECT", - "type_ref": "TA_PERFCOUNTER0_SELECT" + "map": {"at": 225032, "to": "mm"}, + "name": "CHC_PERFCOUNTER1_SELECT", + "type_ref": "TCP_PERFCOUNTER2_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 224004, "to": "mm"}, - "name": "TA_PERFCOUNTER0_SELECT1", - "type_ref": "TA_PERFCOUNTER0_SELECT1" + "map": {"at": 225036, "to": "mm"}, + "name": "CHC_PERFCOUNTER2_SELECT", + "type_ref": "TCP_PERFCOUNTER2_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 215820, "to": "mm"}, - "name": "TA_PERFCOUNTER1_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 225040, "to": "mm"}, + "name": "CHC_PERFCOUNTER3_SELECT", + "type_ref": "TCP_PERFCOUNTER2_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 215816, "to": "mm"}, - "name": "TA_PERFCOUNTER1_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 225048, "to": "mm"}, + "name": "CHCG_PERFCOUNTER0_SELECT", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 224008, "to": "mm"}, - "name": "TA_PERFCOUNTER1_SELECT", - "type_ref": "TA_PERFCOUNTER1_SELECT" + "map": {"at": 225052, "to": "mm"}, + "name": "CHCG_PERFCOUNTER0_SELECT1", + "type_ref": "GE_PERFCOUNTER0_SELECT1" }, { "chips": ["gfx10"], - "map": {"at": 216324, "to": "mm"}, - "name": "TCP_PERFCOUNTER0_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 225056, "to": "mm"}, + "name": "CHCG_PERFCOUNTER1_SELECT", + "type_ref": "TCP_PERFCOUNTER2_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 216320, "to": "mm"}, - "name": "TCP_PERFCOUNTER0_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 225060, "to": "mm"}, + "name": "CHCG_PERFCOUNTER2_SELECT", + "type_ref": "TCP_PERFCOUNTER2_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 224512, "to": "mm"}, - "name": "TCP_PERFCOUNTER0_SELECT", - "type_ref": "TCP_PERFCOUNTER0_SELECT" + "map": {"at": 225064, "to": "mm"}, + "name": "CHCG_PERFCOUNTER3_SELECT", + "type_ref": "TCP_PERFCOUNTER2_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 224516, "to": "mm"}, - "name": "TCP_PERFCOUNTER0_SELECT1", - "type_ref": "TCP_PERFCOUNTER0_SELECT1" + "map": {"at": 225280, "to": "mm"}, + "name": "CB_PERFCOUNTER_FILTER", + "type_ref": "CB_PERFCOUNTER_FILTER" }, { "chips": ["gfx10"], - "map": {"at": 216332, "to": "mm"}, - "name": "TCP_PERFCOUNTER1_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 225284, "to": "mm"}, + "name": "CB_PERFCOUNTER0_SELECT", + "type_ref": "CB_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 216328, "to": "mm"}, - "name": "TCP_PERFCOUNTER1_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 225288, "to": "mm"}, + "name": "CB_PERFCOUNTER0_SELECT1", + "type_ref": "CB_PERFCOUNTER0_SELECT1" }, { "chips": ["gfx10"], - "map": {"at": 224520, "to": "mm"}, - "name": "TCP_PERFCOUNTER1_SELECT", - "type_ref": "TCP_PERFCOUNTER0_SELECT" + "map": {"at": 225292, "to": "mm"}, + "name": "CB_PERFCOUNTER1_SELECT", + "type_ref": "CB_PERFCOUNTER1_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 224524, "to": "mm"}, - "name": "TCP_PERFCOUNTER1_SELECT1", - "type_ref": "TCP_PERFCOUNTER0_SELECT1" + "map": {"at": 225296, "to": "mm"}, + "name": "CB_PERFCOUNTER2_SELECT", + "type_ref": "CB_PERFCOUNTER1_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 216340, "to": "mm"}, - "name": "TCP_PERFCOUNTER2_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 225300, "to": "mm"}, + "name": "CB_PERFCOUNTER3_SELECT", + "type_ref": "CB_PERFCOUNTER1_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 216336, "to": "mm"}, - "name": "TCP_PERFCOUNTER2_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 225536, "to": "mm"}, + "name": "DB_PERFCOUNTER0_SELECT", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 224528, "to": "mm"}, - "name": "TCP_PERFCOUNTER2_SELECT", - "type_ref": "TCP_PERFCOUNTER2_SELECT" + "map": {"at": 225540, "to": "mm"}, + "name": "DB_PERFCOUNTER0_SELECT1", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT1" }, { "chips": ["gfx10"], - "map": {"at": 216348, "to": "mm"}, - "name": "TCP_PERFCOUNTER3_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 225544, "to": "mm"}, + "name": "DB_PERFCOUNTER1_SELECT", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 216344, "to": "mm"}, - "name": "TCP_PERFCOUNTER3_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 225548, "to": "mm"}, + "name": "DB_PERFCOUNTER1_SELECT1", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT1" }, { "chips": ["gfx10"], - "map": {"at": 224532, "to": "mm"}, - "name": "TCP_PERFCOUNTER3_SELECT", - "type_ref": "TCP_PERFCOUNTER2_SELECT" + "map": {"at": 225552, "to": "mm"}, + "name": "DB_PERFCOUNTER2_SELECT", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 216068, "to": "mm"}, - "name": "TD_PERFCOUNTER0_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 225560, "to": "mm"}, + "name": "DB_PERFCOUNTER3_SELECT", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 216064, "to": "mm"}, - "name": "TD_PERFCOUNTER0_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 225792, "to": "mm"}, + "name": "RLC_SPM_PERFMON_CNTL", + "type_ref": "RLC_SPM_PERFMON_CNTL" }, { "chips": ["gfx10"], - "map": {"at": 224256, "to": "mm"}, - "name": "TD_PERFCOUNTER0_SELECT", - "type_ref": "TD_PERFCOUNTER0_SELECT" + "map": {"at": 225796, "to": "mm"}, + "name": "RLC_SPM_PERFMON_RING_BASE_LO", + "type_ref": "RLC_SPM_PERFMON_RING_BASE_LO" }, { "chips": ["gfx10"], - "map": {"at": 224260, "to": "mm"}, - "name": "TD_PERFCOUNTER0_SELECT1", - "type_ref": "TD_PERFCOUNTER0_SELECT1" + "map": {"at": 225800, "to": "mm"}, + "name": "RLC_SPM_PERFMON_RING_BASE_HI", + "type_ref": "RLC_SPM_PERFMON_RING_BASE_HI" }, { "chips": ["gfx10"], - "map": {"at": 216076, "to": "mm"}, - "name": "TD_PERFCOUNTER1_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 225804, "to": "mm"}, + "name": "RLC_SPM_PERFMON_RING_SIZE", + "type_ref": "RLC_SPM_PERFMON_RING_SIZE" }, { "chips": ["gfx10"], - "map": {"at": 216072, "to": "mm"}, - "name": "TD_PERFCOUNTER1_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 225808, "to": "mm"}, + "name": "RLC_SPM_PERFMON_SEGMENT_SIZE", + "type_ref": "RLC_SPM_PERFMON_SEGMENT_SIZE" }, { "chips": ["gfx10"], - "map": {"at": 224264, "to": "mm"}, - "name": "TD_PERFCOUNTER1_SELECT", - "type_ref": "TD_PERFCOUNTER1_SELECT" + "map": {"at": 225812, "to": "mm"}, + "name": "RLC_SPM_RING_RDPTR", + "type_ref": "RLC_SPM_RING_RDPTR" }, { "chips": ["gfx10"], - "map": {"at": 218228, "to": "mm"}, - "name": "UTCL1_PERFCOUNTER0_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 225816, "to": "mm"}, + "name": "RLC_SPM_SEGMENT_THRESHOLD", + "type_ref": "RLC_SPM_SEGMENT_THRESHOLD" }, { "chips": ["gfx10"], - "map": {"at": 218224, "to": "mm"}, - "name": "UTCL1_PERFCOUNTER0_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 225820, "to": "mm"}, + "name": "RLC_SPM_SE_MUXSEL_ADDR", + "type_ref": "RLC_SPM_SE_MUXSEL_ADDR" }, { "chips": ["gfx10"], - "map": {"at": 226700, "to": "mm"}, - "name": "UTCL1_PERFCOUNTER0_SELECT", - "type_ref": "UTCL1_PERFCOUNTER0_SELECT" + "map": {"at": 225824, "to": "mm"}, + "name": "RLC_SPM_SE_MUXSEL_DATA", + "type_ref": "RLC_SPM_SE_MUXSEL_DATA" }, { "chips": ["gfx10"], - "map": {"at": 218236, "to": "mm"}, - "name": "UTCL1_PERFCOUNTER1_HI", - "type_ref": "CB_PERFCOUNTER0_HI" + "map": {"at": 225828, "to": "mm"}, + "name": "RLC_SPM_GLOBAL_MUXSEL_ADDR", + "type_ref": "RLC_SPM_GLOBAL_MUXSEL_ADDR" }, { "chips": ["gfx10"], - "map": {"at": 218232, "to": "mm"}, - "name": "UTCL1_PERFCOUNTER1_LO", - "type_ref": "CB_PERFCOUNTER0_LO" + "map": {"at": 225832, "to": "mm"}, + "name": "RLC_SPM_GLOBAL_MUXSEL_DATA", + "type_ref": "RLC_SPM_SE_MUXSEL_DATA" }, { "chips": ["gfx10"], - "map": {"at": 226704, "to": "mm"}, - "name": "UTCL1_PERFCOUNTER1_SELECT", - "type_ref": "UTCL1_PERFCOUNTER0_SELECT" + "map": {"at": 225836, "to": "mm"}, + "name": "RLC_SPM_DESER_START_SKEW", + "type_ref": "RLC_SPM_DESER_START_SKEW" }, { "chips": ["gfx10"], - "map": {"at": 166772, "to": "mm"}, - "name": "VGT_DISPATCH_DRAW_INDEX", - "type_ref": "VGT_DISPATCH_DRAW_INDEX" + "map": {"at": 225840, "to": "mm"}, + "name": "RLC_SPM_GLOBALS_SAMPLE_SKEW", + "type_ref": "RLC_SPM_GLOBALS_SAMPLE_SKEW" }, { "chips": ["gfx10"], - "map": {"at": 165864, "to": "mm"}, - "name": "VGT_DMA_BASE", - "type_ref": "CP_HQD_EOP_BASE_ADDR" + "map": {"at": 225844, "to": "mm"}, + "name": "RLC_SPM_GLOBALS_MUXSEL_SKEW", + "type_ref": "RLC_SPM_GLOBALS_MUXSEL_SKEW" }, { "chips": ["gfx10"], - "map": {"at": 165860, "to": "mm"}, - "name": "VGT_DMA_BASE_HI", - "type_ref": "VGT_DMA_BASE_HI" + "map": {"at": 225848, "to": "mm"}, + "name": "RLC_SPM_SE_SAMPLE_SKEW", + "type_ref": "RLC_SPM_SE_SAMPLE_SKEW" }, { "chips": ["gfx10"], - "map": {"at": 166812, "to": "mm"}, - "name": "VGT_DMA_EVENT_INITIATOR", - "type_ref": "VGT_EVENT_INITIATOR" + "map": {"at": 225852, "to": "mm"}, + "name": "RLC_SPM_SE_MUXSEL_SKEW", + "type_ref": "RLC_SPM_SE_MUXSEL_SKEW" }, { "chips": ["gfx10"], - "map": {"at": 166524, "to": "mm"}, - "name": "VGT_DMA_INDEX_TYPE", - "type_ref": "VGT_DMA_INDEX_TYPE" + "map": {"at": 225856, "to": "mm"}, + "name": "RLC_SPM_GLB_SAMPLEDELAY_IND_ADDR", + "type_ref": "RLC_SPM_GLB_SAMPLEDELAY_IND_ADDR" }, { "chips": ["gfx10"], - "map": {"at": 166520, "to": "mm"}, - "name": "VGT_DMA_MAX_SIZE", - "type_ref": "VGT_DMA_MAX_SIZE" + "map": {"at": 225860, "to": "mm"}, + "name": "RLC_SPM_GLB_SAMPLEDELAY_IND_DATA", + "type_ref": "RLC_SPM_GLB_SAMPLEDELAY_IND_DATA" }, { "chips": ["gfx10"], - "map": {"at": 166536, "to": "mm"}, - "name": "VGT_DMA_NUM_INSTANCES", - "type_ref": "VGT_DMA_NUM_INSTANCES" + "map": {"at": 225864, "to": "mm"}, + "name": "RLC_SPM_SE_SAMPLEDELAY_IND_ADDR", + "type_ref": "RLC_SPM_SE_SAMPLEDELAY_IND_ADDR" }, { "chips": ["gfx10"], - "map": {"at": 166516, "to": "mm"}, - "name": "VGT_DMA_SIZE", - "type_ref": "VGT_DMA_SIZE" + "map": {"at": 225868, "to": "mm"}, + "name": "RLC_SPM_SE_SAMPLEDELAY_IND_DATA", + "type_ref": "RLC_SPM_GLB_SAMPLEDELAY_IND_DATA" }, { "chips": ["gfx10"], - "map": {"at": 165872, "to": "mm"}, - "name": "VGT_DRAW_INITIATOR", - "type_ref": "VGT_DRAW_INITIATOR" + "map": {"at": 225872, "to": "mm"}, + "name": "RLC_SPM_RING_WRPTR", + "type_ref": "RLC_SPM_RING_WRPTR" }, { "chips": ["gfx10"], - "map": {"at": 166552, "to": "mm"}, - "name": "VGT_DRAW_PAYLOAD_CNTL", - "type_ref": "VGT_DRAW_PAYLOAD_CNTL" + "map": {"at": 225876, "to": "mm"}, + "name": "RLC_SPM_ACCUM_DATARAM_ADDR", + "type_ref": "RLC_SPM_ACCUM_DATARAM_ADDR" }, { "chips": ["gfx10"], - "map": {"at": 166480, "to": "mm"}, - "name": "VGT_ENHANCE", - "type_ref": "IA_ENHANCE" + "map": {"at": 225880, "to": "mm"}, + "name": "RLC_SPM_ACCUM_DATARAM_DATA", + "type_ref": "RLC_SPM_ACCUM_DATARAM_DATA" }, { "chips": ["gfx10"], - "map": {"at": 166572, "to": "mm"}, - "name": "VGT_ESGS_RING_ITEMSIZE", - "type_ref": "VGT_ESGS_RING_ITEMSIZE" + "map": {"at": 225884, "to": "mm"}, + "name": "RLC_SPM_ACCUM_CTRLRAM_ADDR", + "type_ref": "RLC_SPM_ACCUM_CTRLRAM_ADDR" }, { "chips": ["gfx10"], - "map": {"at": 198912, "to": "mm"}, - "name": "VGT_ESGS_RING_SIZE_UMD", - "type_ref": "VGT_ESGS_RING_SIZE" + "map": {"at": 225888, "to": "mm"}, + "name": "RLC_SPM_ACCUM_CTRLRAM_DATA", + "type_ref": "RLC_SPM_ACCUM_CTRLRAM_DATA" }, { "chips": ["gfx10"], - "map": {"at": 166488, "to": "mm"}, - "name": "VGT_ES_PER_GS", - "type_ref": "VGT_ES_PER_GS" + "map": {"at": 225892, "to": "mm"}, + "name": "RLC_SPM_ACCUM_STATUS", + "type_ref": "RLC_SPM_ACCUM_STATUS" }, { "chips": ["gfx10"], - "map": {"at": 165880, "to": "mm"}, - "name": "VGT_EVENT_ADDRESS_REG", - "type_ref": "VGT_EVENT_ADDRESS_REG" + "map": {"at": 225896, "to": "mm"}, + "name": "RLC_SPM_ACCUM_CTRL", + "type_ref": "RLC_SPM_ACCUM_CTRL" }, { "chips": ["gfx10"], - "map": {"at": 166544, "to": "mm"}, - "name": "VGT_EVENT_INITIATOR", - "type_ref": "VGT_EVENT_INITIATOR" + "map": {"at": 225900, "to": "mm"}, + "name": "RLC_SPM_ACCUM_MODE", + "type_ref": "RLC_SPM_ACCUM_MODE" }, { "chips": ["gfx10"], - "map": {"at": 166576, "to": "mm"}, - "name": "VGT_GSVS_RING_ITEMSIZE", - "type_ref": "VGT_ESGS_RING_ITEMSIZE" + "map": {"at": 225904, "to": "mm"}, + "name": "RLC_SPM_ACCUM_THRESHOLD", + "type_ref": "RLC_SPM_ACCUM_THRESHOLD" }, { "chips": ["gfx10"], - "map": {"at": 166496, "to": "mm"}, - "name": "VGT_GSVS_RING_OFFSET_1", - "type_ref": "VGT_GSVS_RING_OFFSET_1" + "map": {"at": 225908, "to": "mm"}, + "name": "RLC_SPM_ACCUM_SAMPLES_REQUESTED", + "type_ref": "RLC_SPM_ACCUM_SAMPLES_REQUESTED" }, { "chips": ["gfx10"], - "map": {"at": 166500, "to": "mm"}, - "name": "VGT_GSVS_RING_OFFSET_2", - "type_ref": "VGT_GSVS_RING_OFFSET_1" + "map": {"at": 225912, "to": "mm"}, + "name": "RLC_SPM_ACCUM_DATARAM_WRCOUNT", + "type_ref": "RLC_SPM_ACCUM_DATARAM_WRCOUNT" }, { "chips": ["gfx10"], - "map": {"at": 166504, "to": "mm"}, - "name": "VGT_GSVS_RING_OFFSET_3", - "type_ref": "VGT_GSVS_RING_OFFSET_1" + "map": {"at": 225916, "to": "mm"}, + "name": "RLC_SPM_PERFMON_SE3TO0_SEGMENT_SIZE", + "type_ref": "RLC_SPM_PERFMON_SE3TO0_SEGMENT_SIZE" }, { "chips": ["gfx10"], - "map": {"at": 198916, "to": "mm"}, - "name": "VGT_GSVS_RING_SIZE_UMD", - "type_ref": "VGT_ESGS_RING_SIZE" + "map": {"at": 225920, "to": "mm"}, + "name": "RLC_SPM_PERFMON_GLB_SEGMENT_SIZE", + "type_ref": "RLC_SPM_PERFMON_GLB_SEGMENT_SIZE" }, { "chips": ["gfx10"], - "map": {"at": 166800, "to": "mm"}, - "name": "VGT_GS_INSTANCE_CNT", - "type_ref": "VGT_GS_INSTANCE_CNT" + "map": {"at": 225924, "to": "mm"}, + "name": "RLC_SPM_VIRT_CTRL", + "type_ref": "RLC_SPM_VIRT_CTRL" }, { "chips": ["gfx10"], - "map": {"at": 166712, "to": "mm"}, - "name": "VGT_GS_MAX_VERT_OUT", - "type_ref": "VGT_GS_MAX_VERT_OUT" + "map": {"at": 225932, "to": "mm"}, + "name": "RLC_SPM_VIRT_STATUS", + "type_ref": "RLC_SPM_VIRT_STATUS" }, { "chips": ["gfx10"], - "map": {"at": 166464, "to": "mm"}, - "name": "VGT_GS_MODE", - "type_ref": "VGT_GS_MODE" + "map": {"at": 226048, "to": "mm"}, + "name": "RLC_PERFMON_CNTL", + "type_ref": "RLC_PERFMON_CNTL" }, { "chips": ["gfx10"], - "map": {"at": 166468, "to": "mm"}, - "name": "VGT_GS_ONCHIP_CNTL", - "type_ref": "VGT_GS_ONCHIP_CNTL" + "map": {"at": 226052, "to": "mm"}, + "name": "RLC_PERFCOUNTER0_SELECT", + "type_ref": "RLC_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 166508, "to": "mm"}, - "name": "VGT_GS_OUT_PRIM_TYPE", - "type_ref": "VGT_GS_OUT_PRIM_TYPE" + "map": {"at": 226056, "to": "mm"}, + "name": "RLC_PERFCOUNTER1_SELECT", + "type_ref": "RLC_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 166484, "to": "mm"}, - "name": "VGT_GS_PER_ES", - "type_ref": "VGT_GS_PER_ES" + "map": {"at": 226060, "to": "mm"}, + "name": "RLC_GPU_IOV_PERF_CNT_CNTL", + "type_ref": "RLC_GPU_IOV_PERF_CNT_CNTL" }, { "chips": ["gfx10"], - "map": {"at": 166492, "to": "mm"}, - "name": "VGT_GS_PER_VS", - "type_ref": "VGT_GS_PER_VS" + "map": {"at": 226064, "to": "mm"}, + "name": "RLC_GPU_IOV_PERF_CNT_WR_ADDR", + "type_ref": "RLC_GPU_IOV_PERF_CNT_WR_ADDR" }, { "chips": ["gfx10"], - "map": {"at": 166748, "to": "mm"}, - "name": "VGT_GS_VERT_ITEMSIZE", - "type_ref": "VGT_ESGS_RING_ITEMSIZE" + "map": {"at": 226068, "to": "mm"}, + "name": "RLC_GPU_IOV_PERF_CNT_WR_DATA", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 166752, "to": "mm"}, - "name": "VGT_GS_VERT_ITEMSIZE_1", - "type_ref": "VGT_ESGS_RING_ITEMSIZE" + "map": {"at": 226072, "to": "mm"}, + "name": "RLC_GPU_IOV_PERF_CNT_RD_ADDR", + "type_ref": "RLC_GPU_IOV_PERF_CNT_WR_ADDR" }, { "chips": ["gfx10"], - "map": {"at": 166756, "to": "mm"}, - "name": "VGT_GS_VERT_ITEMSIZE_2", - "type_ref": "VGT_ESGS_RING_ITEMSIZE" + "map": {"at": 226076, "to": "mm"}, + "name": "RLC_GPU_IOV_PERF_CNT_RD_DATA", + "type_ref": "SPI_SHADER_USER_DATA_PS_0" }, { "chips": ["gfx10"], - "map": {"at": 166760, "to": "mm"}, - "name": "VGT_GS_VERT_ITEMSIZE_3", - "type_ref": "VGT_ESGS_RING_ITEMSIZE" + "map": {"at": 226192, "to": "mm"}, + "name": "RLC_PERFMON_CLK_CNTL", + "type_ref": "RLC_PERFMON_CLK_CNTL" }, { "chips": ["gfx10"], - "map": {"at": 166424, "to": "mm"}, - "name": "VGT_HOS_MAX_TESS_LEVEL", - "type_ref": "VGT_HOS_MAX_TESS_LEVEL" + "map": {"at": 226196, "to": "mm"}, + "name": "RLC_PERFMON_CLK_CNTL_UCODE", + "type_ref": "RLC_PERFMON_CLK_CNTL" }, { "chips": ["gfx10"], - "map": {"at": 166428, "to": "mm"}, - "name": "VGT_HOS_MIN_TESS_LEVEL", - "type_ref": "VGT_HOS_MIN_TESS_LEVEL" + "map": {"at": 226304, "to": "mm"}, + "name": "RMI_PERFCOUNTER0_SELECT", + "type_ref": "CB_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 198972, "to": "mm"}, - "name": "VGT_HS_OFFCHIP_PARAM_UMD", - "type_ref": "VGT_HS_OFFCHIP_PARAM_UMD" + "map": {"at": 226308, "to": "mm"}, + "name": "RMI_PERFCOUNTER0_SELECT1", + "type_ref": "CB_PERFCOUNTER0_SELECT1" }, { "chips": ["gfx10"], - "map": {"at": 165876, "to": "mm"}, - "name": "VGT_IMMED_DATA", - "type_ref": "COMPUTE_PGM_LO" + "map": {"at": 226312, "to": "mm"}, + "name": "RMI_PERFCOUNTER1_SELECT", + "type_ref": "CB_PERFCOUNTER1_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 198924, "to": "mm"}, - "name": "VGT_INDEX_TYPE", - "type_ref": "CP_INDEX_TYPE" + "map": {"at": 226316, "to": "mm"}, + "name": "RMI_PERFCOUNTER2_SELECT", + "type_ref": "CB_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 164872, "to": "mm"}, - "name": "VGT_INDX_OFFSET", - "type_ref": "GE_INDX_OFFSET" + "map": {"at": 226320, "to": "mm"}, + "name": "RMI_PERFCOUNTER2_SELECT1", + "type_ref": "CB_PERFCOUNTER0_SELECT1" }, { "chips": ["gfx10"], - "map": {"at": 199016, "to": "mm"}, - "name": "VGT_INSTANCE_BASE_ID", - "type_ref": "VGT_INSTANCE_BASE_ID" + "map": {"at": 226324, "to": "mm"}, + "name": "RMI_PERFCOUNTER3_SELECT", + "type_ref": "CB_PERFCOUNTER1_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 166560, "to": "mm"}, - "name": "VGT_INSTANCE_STEP_RATE_0", - "type_ref": "VGT_INSTANCE_STEP_RATE_0" + "map": {"at": 226328, "to": "mm"}, + "name": "RMI_PERF_COUNTER_CNTL", + "type_ref": "RMI_PERF_COUNTER_CNTL" }, { "chips": ["gfx10"], - "map": {"at": 166564, "to": "mm"}, - "name": "VGT_INSTANCE_STEP_RATE_1", - "type_ref": "VGT_INSTANCE_STEP_RATE_0" + "map": {"at": 226432, "to": "mm"}, + "name": "GC_ATC_L2_PERFCOUNTER0_CFG", + "type_ref": "GC_ATC_L2_PERFCOUNTER0_CFG" }, { "chips": ["gfx10"], - "map": {"at": 166744, "to": "mm"}, - "name": "VGT_LS_HS_CONFIG", - "type_ref": "VGT_LS_HS_CONFIG" + "map": {"at": 226436, "to": "mm"}, + "name": "GC_ATC_L2_PERFCOUNTER1_CFG", + "type_ref": "GC_ATC_L2_PERFCOUNTER0_CFG" }, { "chips": ["gfx10"], - "map": {"at": 164864, "to": "mm"}, - "name": "VGT_MAX_VTX_INDX", - "type_ref": "GE_MAX_VTX_INDX" + "map": {"at": 226440, "to": "mm"}, + "name": "GC_ATC_L2_PERFCOUNTER_RSLT_CNTL", + "type_ref": "GC_ATC_L2_PERFCOUNTER_RSLT_CNTL" }, { "chips": ["gfx10"], - "map": {"at": 164868, "to": "mm"}, - "name": "VGT_MIN_VTX_INDX", - "type_ref": "GE_MIN_VTX_INDX" + "map": {"at": 226480, "to": "mm"}, + "name": "GCMC_VM_L2_PERFCOUNTER0_CFG", + "type_ref": "GC_ATC_L2_PERFCOUNTER0_CFG" }, { "chips": ["gfx10"], - "map": {"at": 166548, "to": "mm"}, - "name": "VGT_MULTI_PRIM_IB_RESET_EN", - "type_ref": "GE_MULTI_PRIM_IB_RESET_EN" + "map": {"at": 226484, "to": "mm"}, + "name": "GCMC_VM_L2_PERFCOUNTER1_CFG", + "type_ref": "GC_ATC_L2_PERFCOUNTER0_CFG" }, { "chips": ["gfx10"], - "map": {"at": 164876, "to": "mm"}, - "name": "VGT_MULTI_PRIM_IB_RESET_INDX", - "type_ref": "VGT_MULTI_PRIM_IB_RESET_INDX" + "map": {"at": 226488, "to": "mm"}, + "name": "GCMC_VM_L2_PERFCOUNTER2_CFG", + "type_ref": "GC_ATC_L2_PERFCOUNTER0_CFG" }, { "chips": ["gfx10"], - "map": {"at": 198960, "to": "mm"}, - "name": "VGT_NUM_INDICES", - "type_ref": "VGT_DMA_SIZE" + "map": {"at": 226492, "to": "mm"}, + "name": "GCMC_VM_L2_PERFCOUNTER3_CFG", + "type_ref": "GC_ATC_L2_PERFCOUNTER0_CFG" }, { "chips": ["gfx10"], - "map": {"at": 198964, "to": "mm"}, - "name": "VGT_NUM_INSTANCES", - "type_ref": "VGT_DMA_NUM_INSTANCES" + "map": {"at": 226496, "to": "mm"}, + "name": "GCMC_VM_L2_PERFCOUNTER4_CFG", + "type_ref": "GC_ATC_L2_PERFCOUNTER0_CFG" }, { "chips": ["gfx10"], - "map": {"at": 166416, "to": "mm"}, - "name": "VGT_OUTPUT_PATH_CNTL", - "type_ref": "VGT_OUTPUT_PATH_CNTL" + "map": {"at": 226500, "to": "mm"}, + "name": "GCMC_VM_L2_PERFCOUNTER5_CFG", + "type_ref": "GC_ATC_L2_PERFCOUNTER0_CFG" }, { "chips": ["gfx10"], - "map": {"at": 167004, "to": "mm"}, - "name": "VGT_OUT_DEALLOC_CNTL", - "type_ref": "VGT_OUT_DEALLOC_CNTL" + "map": {"at": 226504, "to": "mm"}, + "name": "GCMC_VM_L2_PERFCOUNTER6_CFG", + "type_ref": "GC_ATC_L2_PERFCOUNTER0_CFG" }, { "chips": ["gfx10"], - "map": {"at": 166532, "to": "mm"}, - "name": "VGT_PRIMITIVEID_EN", - "type_ref": "VGT_PRIMITIVEID_EN" + "map": {"at": 226508, "to": "mm"}, + "name": "GCMC_VM_L2_PERFCOUNTER7_CFG", + "type_ref": "GC_ATC_L2_PERFCOUNTER0_CFG" }, { "chips": ["gfx10"], - "map": {"at": 166540, "to": "mm"}, - "name": "VGT_PRIMITIVEID_RESET", - "type_ref": "VGT_PRIMITIVEID_RESET" + "map": {"at": 226512, "to": "mm"}, + "name": "GCMC_VM_L2_PERFCOUNTER_RSLT_CNTL", + "type_ref": "GC_ATC_L2_PERFCOUNTER_RSLT_CNTL" }, { "chips": ["gfx10"], - "map": {"at": 198920, "to": "mm"}, - "name": "VGT_PRIMITIVE_TYPE", - "type_ref": "VGT_PRIMITIVE_TYPE" + "map": {"at": 226544, "to": "mm"}, + "name": "GCVML2_PERFCOUNTER2_0_SELECT", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 166580, "to": "mm"}, - "name": "VGT_REUSE_OFF", - "type_ref": "VGT_REUSE_OFF" + "map": {"at": 226548, "to": "mm"}, + "name": "GCVML2_PERFCOUNTER2_1_SELECT", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 166740, "to": "mm"}, - "name": "VGT_SHADER_STAGES_EN", - "type_ref": "VGT_SHADER_STAGES_EN" + "map": {"at": 226552, "to": "mm"}, + "name": "GCVML2_PERFCOUNTER2_0_SELECT1", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT1" }, { "chips": ["gfx10"], - "map": {"at": 166808, "to": "mm"}, - "name": "VGT_STRMOUT_BUFFER_CONFIG", - "type_ref": "VGT_STRMOUT_BUFFER_CONFIG" + "map": {"at": 226556, "to": "mm"}, + "name": "GCVML2_PERFCOUNTER2_1_SELECT1", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT1" }, { "chips": ["gfx10"], - "map": {"at": 198928, "to": "mm"}, - "name": "VGT_STRMOUT_BUFFER_FILLED_SIZE_0", - "type_ref": "COMPUTE_DIM_X" + "map": {"at": 226560, "to": "mm"}, + "name": "GCVML2_PERFCOUNTER2_0_MODE", + "type_ref": "GCEA_PERFCOUNTER2_MODE" }, { "chips": ["gfx10"], - "map": {"at": 198932, "to": "mm"}, - "name": "VGT_STRMOUT_BUFFER_FILLED_SIZE_1", - "type_ref": "COMPUTE_DIM_X" + "map": {"at": 226564, "to": "mm"}, + "name": "GCVML2_PERFCOUNTER2_1_MODE", + "type_ref": "GCEA_PERFCOUNTER2_MODE" }, { "chips": ["gfx10"], - "map": {"at": 198936, "to": "mm"}, - "name": "VGT_STRMOUT_BUFFER_FILLED_SIZE_2", - "type_ref": "COMPUTE_DIM_X" + "map": {"at": 226608, "to": "mm"}, + "name": "GC_ATC_L2_PERFCOUNTER2_SELECT", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 198940, "to": "mm"}, - "name": "VGT_STRMOUT_BUFFER_FILLED_SIZE_3", - "type_ref": "COMPUTE_DIM_X" + "map": {"at": 226612, "to": "mm"}, + "name": "GC_ATC_L2_PERFCOUNTER2_SELECT1", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT1" }, { "chips": ["gfx10"], - "map": {"at": 166620, "to": "mm"}, - "name": "VGT_STRMOUT_BUFFER_OFFSET_0", - "type_ref": "CP_HQD_PQ_WPTR_LO" + "map": {"at": 226616, "to": "mm"}, + "name": "GC_ATC_L2_PERFCOUNTER2_MODE", + "type_ref": "GCEA_PERFCOUNTER2_MODE" }, { "chips": ["gfx10"], - "map": {"at": 166636, "to": "mm"}, - "name": "VGT_STRMOUT_BUFFER_OFFSET_1", - "type_ref": "CP_HQD_PQ_WPTR_LO" + "map": {"at": 226688, "to": "mm"}, + "name": "GCR_PERFCOUNTER0_SELECT", + "type_ref": "CB_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 166652, "to": "mm"}, - "name": "VGT_STRMOUT_BUFFER_OFFSET_2", - "type_ref": "CP_HQD_PQ_WPTR_LO" + "map": {"at": 226692, "to": "mm"}, + "name": "GCR_PERFCOUNTER0_SELECT1", + "type_ref": "CB_PERFCOUNTER0_SELECT1" }, { "chips": ["gfx10"], - "map": {"at": 166668, "to": "mm"}, - "name": "VGT_STRMOUT_BUFFER_OFFSET_3", - "type_ref": "CP_HQD_PQ_WPTR_LO" + "map": {"at": 226696, "to": "mm"}, + "name": "GCR_PERFCOUNTER1_SELECT", + "type_ref": "GCR_PERFCOUNTER1_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 166608, "to": "mm"}, - "name": "VGT_STRMOUT_BUFFER_SIZE_0", - "type_ref": "COMPUTE_DIM_X" + "map": {"at": 226700, "to": "mm"}, + "name": "UTCL1_PERFCOUNTER0_SELECT", + "type_ref": "UTCL1_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 166624, "to": "mm"}, - "name": "VGT_STRMOUT_BUFFER_SIZE_1", - "type_ref": "COMPUTE_DIM_X" + "map": {"at": 226704, "to": "mm"}, + "name": "UTCL1_PERFCOUNTER1_SELECT", + "type_ref": "UTCL1_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 166640, "to": "mm"}, - "name": "VGT_STRMOUT_BUFFER_SIZE_2", - "type_ref": "COMPUTE_DIM_X" + "map": {"at": 226816, "to": "mm"}, + "name": "PA_PH_PERFCOUNTER0_SELECT", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 166656, "to": "mm"}, - "name": "VGT_STRMOUT_BUFFER_SIZE_3", - "type_ref": "COMPUTE_DIM_X" + "map": {"at": 226820, "to": "mm"}, + "name": "PA_PH_PERFCOUNTER0_SELECT1", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT1" }, { "chips": ["gfx10"], - "map": {"at": 166804, "to": "mm"}, - "name": "VGT_STRMOUT_CONFIG", - "type_ref": "VGT_STRMOUT_CONFIG" + "map": {"at": 226824, "to": "mm"}, + "name": "PA_PH_PERFCOUNTER1_SELECT", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 166700, "to": "mm"}, - "name": "VGT_STRMOUT_DRAW_OPAQUE_BUFFER_FILLED_SIZE", - "type_ref": "COMPUTE_DIM_X" + "map": {"at": 226828, "to": "mm"}, + "name": "PA_PH_PERFCOUNTER2_SELECT", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 166696, "to": "mm"}, - "name": "VGT_STRMOUT_DRAW_OPAQUE_OFFSET", - "type_ref": "CP_HQD_PQ_WPTR_LO" + "map": {"at": 226832, "to": "mm"}, + "name": "PA_PH_PERFCOUNTER3_SELECT", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 166704, "to": "mm"}, - "name": "VGT_STRMOUT_DRAW_OPAQUE_VERTEX_STRIDE", - "type_ref": "VGT_STRMOUT_DRAW_OPAQUE_VERTEX_STRIDE" + "map": {"at": 226836, "to": "mm"}, + "name": "PA_PH_PERFCOUNTER4_SELECT", + "type_ref": "PA_SC_PERFCOUNTER1_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 166612, "to": "mm"}, - "name": "VGT_STRMOUT_VTX_STRIDE_0", - "type_ref": "VGT_STRMOUT_VTX_STRIDE_0" + "map": {"at": 226840, "to": "mm"}, + "name": "PA_PH_PERFCOUNTER5_SELECT", + "type_ref": "PA_SC_PERFCOUNTER1_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 166628, "to": "mm"}, - "name": "VGT_STRMOUT_VTX_STRIDE_1", - "type_ref": "VGT_STRMOUT_VTX_STRIDE_0" + "map": {"at": 226844, "to": "mm"}, + "name": "PA_PH_PERFCOUNTER6_SELECT", + "type_ref": "PA_SC_PERFCOUNTER1_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 166644, "to": "mm"}, - "name": "VGT_STRMOUT_VTX_STRIDE_2", - "type_ref": "VGT_STRMOUT_VTX_STRIDE_0" + "map": {"at": 226848, "to": "mm"}, + "name": "PA_PH_PERFCOUNTER7_SELECT", + "type_ref": "PA_SC_PERFCOUNTER1_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 166660, "to": "mm"}, - "name": "VGT_STRMOUT_VTX_STRIDE_3", - "type_ref": "VGT_STRMOUT_VTX_STRIDE_0" + "map": {"at": 226880, "to": "mm"}, + "name": "PA_PH_PERFCOUNTER1_SELECT1", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT1" }, { "chips": ["gfx10"], - "map": {"at": 166736, "to": "mm"}, - "name": "VGT_TESS_DISTRIBUTION", - "type_ref": "VGT_TESS_DISTRIBUTION" + "map": {"at": 226884, "to": "mm"}, + "name": "PA_PH_PERFCOUNTER2_SELECT1", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT1" }, { "chips": ["gfx10"], - "map": {"at": 199044, "to": "mm"}, - "name": "VGT_TF_MEMORY_BASE_HI_UMD", - "type_ref": "DB_Z_READ_BASE_HI" + "map": {"at": 226888, "to": "mm"}, + "name": "PA_PH_PERFCOUNTER3_SELECT1", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT1" }, { "chips": ["gfx10"], - "map": {"at": 198976, "to": "mm"}, - "name": "VGT_TF_MEMORY_BASE_UMD", - "type_ref": "VGT_TF_MEMORY_BASE" + "map": {"at": 227072, "to": "mm"}, + "name": "GL1A_PERFCOUNTER0_SELECT", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT" + }, + { + "chips": ["gfx10"], + "map": {"at": 227076, "to": "mm"}, + "name": "GL1A_PERFCOUNTER0_SELECT1", + "type_ref": "GE_PERFCOUNTER0_SELECT1" }, { "chips": ["gfx10"], - "map": {"at": 166764, "to": "mm"}, - "name": "VGT_TF_PARAM", - "type_ref": "VGT_TF_PARAM" + "map": {"at": 227080, "to": "mm"}, + "name": "GL1A_PERFCOUNTER1_SELECT", + "type_ref": "TCP_PERFCOUNTER2_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 198968, "to": "mm"}, - "name": "VGT_TF_RING_SIZE_UMD", - "type_ref": "VGT_TF_RING_SIZE" + "map": {"at": 227084, "to": "mm"}, + "name": "GL1A_PERFCOUNTER2_SELECT", + "type_ref": "TCP_PERFCOUNTER2_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 167000, "to": "mm"}, - "name": "VGT_VERTEX_REUSE_BLOCK_CNTL", - "type_ref": "VGT_VERTEX_REUSE_BLOCK_CNTL" + "map": {"at": 227088, "to": "mm"}, + "name": "GL1A_PERFCOUNTER3_SELECT", + "type_ref": "TCP_PERFCOUNTER2_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 166584, "to": "mm"}, - "name": "VGT_VTX_CNT_EN", - "type_ref": "VGT_VTX_CNT_EN" + "map": {"at": 227200, "to": "mm"}, + "name": "CHA_PERFCOUNTER0_SELECT", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 198992, "to": "mm"}, - "name": "WD_CNTL_SB_BUF_BASE", - "type_ref": "VGT_TF_MEMORY_BASE" + "map": {"at": 227204, "to": "mm"}, + "name": "CHA_PERFCOUNTER0_SELECT1", + "type_ref": "GE_PERFCOUNTER0_SELECT1" }, { "chips": ["gfx10"], - "map": {"at": 198996, "to": "mm"}, - "name": "WD_CNTL_SB_BUF_BASE_HI", - "type_ref": "DB_Z_READ_BASE_HI" + "map": {"at": 227208, "to": "mm"}, + "name": "CHA_PERFCOUNTER1_SELECT", + "type_ref": "TCP_PERFCOUNTER2_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 166528, "to": "mm"}, - "name": "WD_ENHANCE", - "type_ref": "IA_ENHANCE" + "map": {"at": 227212, "to": "mm"}, + "name": "CHA_PERFCOUNTER2_SELECT", + "type_ref": "TCP_PERFCOUNTER2_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 199000, "to": "mm"}, - "name": "WD_INDEX_BUF_BASE", - "type_ref": "VGT_TF_MEMORY_BASE" + "map": {"at": 227216, "to": "mm"}, + "name": "CHA_PERFCOUNTER3_SELECT", + "type_ref": "TCP_PERFCOUNTER2_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 199004, "to": "mm"}, - "name": "WD_INDEX_BUF_BASE_HI", - "type_ref": "DB_Z_READ_BASE_HI" + "map": {"at": 227328, "to": "mm"}, + "name": "GUS_PERFCOUNTER2_SELECT", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT" }, { "chips": ["gfx10"], - "map": {"at": 198984, "to": "mm"}, - "name": "WD_POS_BUF_BASE", - "type_ref": "VGT_TF_MEMORY_BASE" + "map": {"at": 227332, "to": "mm"}, + "name": "GUS_PERFCOUNTER2_SELECT1", + "type_ref": "PA_SU_PERFCOUNTER0_SELECT1" }, { "chips": ["gfx10"], - "map": {"at": 198988, "to": "mm"}, - "name": "WD_POS_BUF_BASE_HI", - "type_ref": "DB_Z_READ_BASE_HI" + "map": {"at": 227336, "to": "mm"}, + "name": "GUS_PERFCOUNTER2_MODE", + "type_ref": "GCEA_PERFCOUNTER2_MODE" } ], "register_types": { - "BCI_DEBUG_READ": { - "fields": [ - {"bits": [0, 23], "name": "DATA"} - ] - }, "CB_BLEND0_CONTROL": { "fields": [ {"bits": [0, 4], "enum_ref": "BlendOp", "name": "COLOR_SRCBLEND"}, @@ -17258,11 +12590,6 @@ {"bits": [30, 30], "name": "DCC_PIPE_ALIGNED"} ] }, - "CB_COLOR0_BASE": { - "fields": [ - {"bits": [0, 31], "name": "BASE_256B"} - ] - }, "CB_COLOR0_BASE_EXT": { "fields": [ {"bits": [0, 7], "name": "BASE_256B"} @@ -17278,12 +12605,17 @@ {"bits": [0, 31], "name": "CLEAR_WORD1"} ] }, + "CB_COLOR0_CMASK_SLICE": { + "fields": [ + {"bits": [0, 13], "name": "TILE_MAX"} + ] + }, "CB_COLOR0_DCC_CONTROL": { "fields": [ {"bits": [0, 0], "name": "OVERWRITE_COMBINER_DISABLE"}, {"bits": [1, 1], "name": "KEY_CLEAR_ENABLE"}, - {"bits": [2, 3], "name": "MAX_UNCOMPRESSED_BLOCK_SIZE"}, - {"bits": [4, 4], "name": "MIN_COMPRESSED_BLOCK_SIZE"}, + {"bits": [2, 3], "enum_ref": "CB_COLOR_DCC_CONTROL__MAX_UNCOMPRESSED_BLOCK_SIZE", "name": "MAX_UNCOMPRESSED_BLOCK_SIZE"}, + {"bits": [4, 4], "enum_ref": "CB_COLOR_DCC_CONTROL__MIN_COMPRESSED_BLOCK_SIZE", "name": "MIN_COMPRESSED_BLOCK_SIZE"}, {"bits": [5, 6], "name": "MAX_COMPRESSED_BLOCK_SIZE"}, {"bits": [7, 8], "name": "COLOR_TRANSFORM"}, {"bits": [9, 9], "name": "INDEPENDENT_64B_BLOCKS"}, @@ -17291,18 +12623,16 @@ {"bits": [14, 17], "name": "LOSSY_ALPHA_PRECISION"}, {"bits": [18, 18], "name": "DISABLE_CONSTANT_ENCODE_REG"}, {"bits": [19, 19], "name": "ENABLE_CONSTANT_ENCODE_REG_WRITE"}, - {"bits": [20, 20], "name": "INDEPENDENT_128B_BLOCKS"}, - {"bits": [21, 21], "name": "SKIP_LOW_COMP_RATIO_GFX103"}, - {"bits": [22, 22], "name": "DCC_COMPRESS_DISABLE_GFX103"} + {"bits": [20, 20], "name": "INDEPENDENT_128B_BLOCKS"} ] }, "CB_COLOR0_INFO": { "fields": [ - {"bits": [0, 1], "name": "ENDIAN"}, - {"bits": [2, 6], "enum_ref": "CB_COLOR0_INFO__FORMAT", "name": "FORMAT"}, + {"bits": [0, 1], "enum_ref": "SurfaceEndian", "name": "ENDIAN"}, + {"bits": [2, 6], "enum_ref": "ColorFormat", "name": "FORMAT"}, {"bits": [7, 7], "name": "LINEAR_GENERAL"}, - {"bits": [8, 10], "name": "NUMBER_TYPE"}, - {"bits": [11, 12], "name": "COMP_SWAP"}, + {"bits": [8, 10], "enum_ref": "SurfaceNumber", "name": "NUMBER_TYPE"}, + {"bits": [11, 12], "enum_ref": "SurfaceSwap", "name": "COMP_SWAP"}, {"bits": [13, 13], "name": "FAST_CLEAR"}, {"bits": [14, 14], "name": "COMPRESSION"}, {"bits": [15, 15], "name": "BLEND_CLAMP"}, @@ -17319,6 +12649,17 @@ {"bits": [31, 31], "name": "ALT_TILE_MODE"} ] }, + "CB_COLOR0_PITCH": { + "fields": [ + {"bits": [0, 10], "name": "TILE_MAX"}, + {"bits": [20, 30], "name": "FMASK_TILE_MAX"} + ] + }, + "CB_COLOR0_SLICE": { + "fields": [ + {"bits": [0, 21], "name": "TILE_MAX"} + ] + }, "CB_COLOR0_VIEW": { "fields": [ {"bits": [0, 12], "name": "SLICE_START"}, @@ -17331,7 +12672,7 @@ {"bits": [0, 0], "name": "DISABLE_DUAL_QUAD"}, {"bits": [3, 3], "name": "DEGAMMA_ENABLE"}, {"bits": [4, 6], "enum_ref": "CBMode", "name": "MODE"}, - {"bits": [16, 23], "name": "ROP3"} + {"bits": [16, 23], "enum_ref": "ROP3", "name": "ROP3"} ] }, "CB_COVERAGE_OUT_CONTROL": { @@ -17354,20 +12695,10 @@ {"bits": [14, 14], "name": "ENABLE_ELIMFC_SKIP_OF_REG"} ] }, - "CB_PERFCOUNTER0_HI": { - "fields": [ - {"bits": [0, 31], "name": "PERFCOUNTER_HI"} - ] - }, - "CB_PERFCOUNTER0_LO": { - "fields": [ - {"bits": [0, 31], "name": "PERFCOUNTER_LO"} - ] - }, "CB_PERFCOUNTER0_SELECT": { "fields": [ - {"bits": [0, 8], "enum_ref": "CBPerfSel", "name": "PERF_SEL"}, - {"bits": [10, 18], "enum_ref": "CBPerfSel", "name": "PERF_SEL1"}, + {"bits": [0, 8], "name": "PERF_SEL"}, + {"bits": [10, 18], "name": "PERF_SEL1"}, {"bits": [20, 23], "name": "CNTR_MODE"}, {"bits": [24, 27], "name": "PERF_MODE1"}, {"bits": [28, 31], "name": "PERF_MODE"} @@ -17375,15 +12706,15 @@ }, "CB_PERFCOUNTER0_SELECT1": { "fields": [ - {"bits": [0, 8], "enum_ref": "CBPerfSel", "name": "PERF_SEL2"}, - {"bits": [10, 18], "enum_ref": "CBPerfSel", "name": "PERF_SEL3"}, + {"bits": [0, 8], "name": "PERF_SEL2"}, + {"bits": [10, 18], "name": "PERF_SEL3"}, {"bits": [24, 27], "name": "PERF_MODE3"}, {"bits": [28, 31], "name": "PERF_MODE2"} ] }, "CB_PERFCOUNTER1_SELECT": { "fields": [ - {"bits": [0, 8], "enum_ref": "CBPerfSel", "name": "PERF_SEL"}, + {"bits": [0, 8], "name": "PERF_SEL"}, {"bits": [28, 31], "name": "PERF_MODE"} ] }, @@ -17441,79 +12772,7 @@ {"bits": [28, 31], "name": "TARGET7_ENABLE"} ] }, - "CHA_PERFCOUNTER0_SELECT": { - "fields": [ - {"bits": [0, 9], "enum_ref": "CHA_PERF_SEL", "name": "PERF_SEL"}, - {"bits": [10, 19], "enum_ref": "CHA_PERF_SEL", "name": "PERF_SEL1"}, - {"bits": [20, 23], "name": "CNTR_MODE"}, - {"bits": [24, 27], "name": "PERF_MODE1"}, - {"bits": [28, 31], "name": "PERF_MODE"} - ] - }, - "CHA_PERFCOUNTER0_SELECT1": { - "fields": [ - {"bits": [0, 9], "enum_ref": "CHA_PERF_SEL", "name": "PERF_SEL2"}, - {"bits": [10, 19], "enum_ref": "CHA_PERF_SEL", "name": "PERF_SEL3"}, - {"bits": [24, 27], "name": "PERF_MODE2"}, - {"bits": [28, 31], "name": "PERF_MODE3"} - ] - }, - "CHA_PERFCOUNTER1_SELECT": { - "fields": [ - {"bits": [0, 9], "enum_ref": "CHA_PERF_SEL", "name": "PERF_SEL"}, - {"bits": [20, 23], "name": "CNTR_MODE"}, - {"bits": [28, 31], "name": "PERF_MODE"} - ] - }, - "CHCG_PERFCOUNTER0_SELECT": { - "fields": [ - {"bits": [0, 9], "enum_ref": "CHCG_PERF_SEL", "name": "PERF_SEL"}, - {"bits": [10, 19], "enum_ref": "CHCG_PERF_SEL", "name": "PERF_SEL1"}, - {"bits": [20, 23], "name": "CNTR_MODE"}, - {"bits": [24, 27], "name": "PERF_MODE1"}, - {"bits": [28, 31], "name": "PERF_MODE"} - ] - }, - "CHCG_PERFCOUNTER0_SELECT1": { - "fields": [ - {"bits": [0, 9], "enum_ref": "CHCG_PERF_SEL", "name": "PERF_SEL2"}, - {"bits": [10, 19], "enum_ref": "CHCG_PERF_SEL", "name": "PERF_SEL3"}, - {"bits": [24, 27], "name": "PERF_MODE2"}, - {"bits": [28, 31], "name": "PERF_MODE3"} - ] - }, - "CHCG_PERFCOUNTER1_SELECT": { - "fields": [ - {"bits": [0, 9], "enum_ref": "CHCG_PERF_SEL", "name": "PERF_SEL"}, - {"bits": [20, 23], "name": "CNTR_MODE"}, - {"bits": [28, 31], "name": "PERF_MODE"} - ] - }, - "CHC_PERFCOUNTER0_SELECT": { - "fields": [ - {"bits": [0, 9], "enum_ref": "CHC_PERF_SEL", "name": "PERF_SEL"}, - {"bits": [10, 19], "enum_ref": "CHC_PERF_SEL", "name": "PERF_SEL1"}, - {"bits": [20, 23], "name": "CNTR_MODE"}, - {"bits": [24, 27], "name": "PERF_MODE1"}, - {"bits": [28, 31], "name": "PERF_MODE"} - ] - }, - "CHC_PERFCOUNTER0_SELECT1": { - "fields": [ - {"bits": [0, 9], "enum_ref": "CHC_PERF_SEL", "name": "PERF_SEL2"}, - {"bits": [10, 19], "enum_ref": "CHC_PERF_SEL", "name": "PERF_SEL3"}, - {"bits": [24, 27], "name": "PERF_MODE2"}, - {"bits": [28, 31], "name": "PERF_MODE3"} - ] - }, - "CHC_PERFCOUNTER1_SELECT": { - "fields": [ - {"bits": [0, 9], "enum_ref": "CHC_PERF_SEL", "name": "PERF_SEL"}, - {"bits": [20, 23], "name": "CNTR_MODE"}, - {"bits": [28, 31], "name": "PERF_MODE"} - ] - }, - "COHER_DEST_BASE_0": { + "COHER_DEST_BASE_2": { "fields": [ {"bits": [0, 31], "name": "DEST_BASE_256B"} ] @@ -17591,23 +12850,16 @@ {"bits": [0, 7], "name": "DATA"} ] }, - "COMPUTE_PGM_LO": { - "fields": [ - {"bits": [0, 31], "name": "DATA"} - ] - }, "COMPUTE_PGM_RSRC1": { "fields": [ {"bits": [0, 5], "name": "VGPRS"}, {"bits": [6, 9], "name": "SGPRS"}, {"bits": [10, 11], "name": "PRIORITY"}, - {"bits": [12, 19], "name": "FLOAT_MODE"}, + {"bits": [12, 19], "enum_ref": "FLOAT_MODE", "name": "FLOAT_MODE"}, {"bits": [20, 20], "name": "PRIV"}, {"bits": [21, 21], "name": "DX10_CLAMP"}, - {"bits": [22, 22], "name": "DEBUG_MODE"}, {"bits": [23, 23], "name": "IEEE_MODE"}, {"bits": [24, 24], "name": "BULKY"}, - {"bits": [25, 25], "name": "CDBG_USER"}, {"bits": [26, 26], "name": "FP16_OVFL"}, {"bits": [29, 29], "name": "WGP_MODE"}, {"bits": [30, 30], "name": "MEM_ORDERED"}, @@ -17626,7 +12878,7 @@ {"bits": [11, 12], "name": "TIDIG_COMP_CNT"}, {"bits": [13, 14], "name": "EXCP_EN_MSB"}, {"bits": [15, 23], "name": "LDS_SIZE"}, - {"bits": [24, 30], "name": "EXCP_EN"} + {"bits": [24, 30], "enum_ref": "EXCP_EN", "name": "EXCP_EN"} ] }, "COMPUTE_PGM_RSRC3": { @@ -17639,20 +12891,6 @@ {"bits": [0, 0], "name": "PIPELINESTAT_ENABLE"} ] }, - "COMPUTE_USER_ACCUM_0": { - "fields": [ - {"bits": [0, 6], "name": "CONTRIBUTION"} - ] - }, - "COMPUTE_PREF_PRI_CNTR_CTRL": { - "fields": [ - {"bits": [0, 2], "name": "TOTAL_WAVE_COUNT_HIER_SELECT"}, - {"bits": [3, 5], "name": "PER_TYPE_WAVE_COUNT_HIER_SELECT"}, - {"bits": [6, 6], "name": "GROUP_UPDATE_EN"}, - {"bits": [8, 15], "name": "TOTAL_WAVE_COUNT_COEFFICIENT"}, - {"bits": [16, 23], "name": "PER_TYPE_WAVE_COUNT_COEFFICIENT"} - ] - }, "COMPUTE_RELAUNCH": { "fields": [ {"bits": [0, 29], "name": "PAYLOAD"}, @@ -17688,11 +12926,6 @@ {"bits": [0, 31], "name": "RESTART"} ] }, - "COMPUTE_SHADER_CHKSUM": { - "fields": [ - {"bits": [0, 31], "name": "CHECKSUM"} - ] - }, "COMPUTE_START_X": { "fields": [ {"bits": [0, 31], "name": "START"} @@ -17729,90 +12962,49 @@ {"bits": [0, 31], "name": "ADDR"} ] }, - "CPC_INT_CNTX_ID": { - "fields": [ - {"bits": [0, 31], "name": "CNTX_ID"} - ] - }, - "CPC_LATENCY_STATS_SELECT": { - "fields": [ - {"bits": [0, 3], "enum_ref": "CPC_LATENCY_STATS_SEL", "name": "INDEX"}, - {"bits": [30, 30], "name": "CLEAR"}, - {"bits": [31, 31], "name": "ENABLE"} - ] - }, - "CPC_PERFCOUNTER0_SELECT1": { - "fields": [ - {"bits": [0, 9], "enum_ref": "CPC_PERFCOUNT_SEL", "name": "PERF_SEL2"}, - {"bits": [10, 19], "enum_ref": "CPC_PERFCOUNT_SEL", "name": "PERF_SEL3"}, - {"bits": [24, 27], "name": "CNTR_MODE3"}, - {"bits": [28, 31], "name": "CNTR_MODE2"} - ] - }, - "CPC_PERFCOUNTER1_SELECT": { - "fields": [ - {"bits": [0, 9], "enum_ref": "CPC_PERFCOUNT_SEL", "name": "PERF_SEL"}, - {"bits": [10, 19], "enum_ref": "CPC_PERFCOUNT_SEL", "name": "PERF_SEL1"}, - {"bits": [20, 23], "name": "SPM_MODE"}, - {"bits": [24, 27], "name": "CNTR_MODE1"}, - {"bits": [28, 31], "name": "CNTR_MODE0"} - ] - }, - "CPC_SUSPEND_CTX_SAVE_BASE_ADDR_HI": { - "fields": [ - {"bits": [0, 15], "name": "ADDR_HI"} - ] - }, "CPF_LATENCY_STATS_SELECT": { "fields": [ - {"bits": [0, 3], "enum_ref": "CPF_LATENCY_STATS_SEL", "name": "INDEX"}, + {"bits": [0, 3], "name": "INDEX"}, {"bits": [30, 30], "name": "CLEAR"}, {"bits": [31, 31], "name": "ENABLE"} ] }, - "CPF_PERFCOUNTER0_SELECT1": { - "fields": [ - {"bits": [0, 9], "enum_ref": "CPF_PERFCOUNT_SEL", "name": "PERF_SEL2"}, - {"bits": [10, 19], "enum_ref": "CPF_PERFCOUNT_SEL", "name": "PERF_SEL3"}, - {"bits": [24, 27], "name": "CNTR_MODE3"}, - {"bits": [28, 31], "name": "CNTR_MODE2"} - ] - }, - "CPF_PERFCOUNTER1_SELECT": { - "fields": [ - {"bits": [0, 9], "enum_ref": "CPF_PERFCOUNT_SEL", "name": "PERF_SEL"}, - {"bits": [10, 19], "enum_ref": "CPF_PERFCOUNT_SEL", "name": "PERF_SEL1"}, - {"bits": [20, 23], "name": "SPM_MODE"}, - {"bits": [24, 27], "name": "CNTR_MODE1"}, - {"bits": [28, 31], "name": "CNTR_MODE0"} - ] - }, "CPF_TC_PERF_COUNTER_WINDOW_SELECT": { "fields": [ - {"bits": [0, 2], "enum_ref": "CPF_PERFCOUNTWINDOW_SEL", "name": "INDEX"}, + {"bits": [0, 2], "name": "INDEX"}, {"bits": [30, 30], "name": "ALWAYS"}, {"bits": [31, 31], "name": "ENABLE"} ] }, "CPG_LATENCY_STATS_SELECT": { "fields": [ - {"bits": [0, 4], "enum_ref": "CPG_LATENCY_STATS_SEL", "name": "INDEX"}, + {"bits": [0, 4], "name": "INDEX"}, {"bits": [30, 30], "name": "CLEAR"}, {"bits": [31, 31], "name": "ENABLE"} ] }, "CPG_PERFCOUNTER0_SELECT1": { "fields": [ - {"bits": [0, 9], "enum_ref": "CPG_PERFCOUNT_SEL", "name": "PERF_SEL2"}, - {"bits": [10, 19], "enum_ref": "CPG_PERFCOUNT_SEL", "name": "PERF_SEL3"}, + {"bits": [0, 9], "name": "PERF_SEL2"}, + {"bits": [10, 19], "name": "PERF_SEL3"}, {"bits": [24, 27], "name": "CNTR_MODE3"}, {"bits": [28, 31], "name": "CNTR_MODE2"} ] }, + "CPG_PERFCOUNTER1_HI": { + "fields": [ + {"bits": [0, 31], "name": "PERFCOUNTER_HI"} + ] + }, + "CPG_PERFCOUNTER1_LO": { + "fields": [ + {"bits": [0, 31], "name": "PERFCOUNTER_LO"} + ] + }, "CPG_PERFCOUNTER1_SELECT": { "fields": [ - {"bits": [0, 9], "enum_ref": "CPG_PERFCOUNT_SEL", "name": "PERF_SEL"}, - {"bits": [10, 19], "enum_ref": "CPG_PERFCOUNT_SEL", "name": "PERF_SEL1"}, + {"bits": [0, 9], "name": "PERF_SEL"}, + {"bits": [10, 19], "name": "PERF_SEL1"}, {"bits": [20, 23], "name": "SPM_MODE"}, {"bits": [24, 27], "name": "CNTR_MODE1"}, {"bits": [28, 31], "name": "CNTR_MODE0"} @@ -17820,7 +13012,7 @@ }, "CPG_TC_PERF_COUNTER_WINDOW_SELECT": { "fields": [ - {"bits": [0, 4], "enum_ref": "CPG_PERFCOUNTWINDOW_SEL", "name": "INDEX"}, + {"bits": [0, 4], "name": "INDEX"}, {"bits": [30, 30], "name": "ALWAYS"}, {"bits": [31, 31], "name": "ENABLE"} ] @@ -17843,6 +13035,11 @@ {"bits": [0, 31], "name": "LAST_FENCE"} ] }, + "CP_CE_COUNTER": { + "fields": [ + {"bits": [0, 31], "name": "CONST_ENGINE_COUNT"} + ] + }, "CP_CE_IB1_BASE_HI": { "fields": [ {"bits": [0, 15], "name": "IB1_BASE_HI"} @@ -17951,46 +13148,302 @@ {"bits": [31, 31], "name": "STATUS"} ] }, - "CP_DB_BASE_HI": { + "CP_CPC_BUSY_STAT": { + "fields": [ + {"bits": [0, 0], "name": "MEC1_LOAD_BUSY"}, + {"bits": [1, 1], "name": "MEC1_SEMAPOHRE_BUSY"}, + {"bits": [2, 2], "name": "MEC1_MUTEX_BUSY"}, + {"bits": [3, 3], "name": "MEC1_MESSAGE_BUSY"}, + {"bits": [4, 4], "name": "MEC1_EOP_QUEUE_BUSY"}, + {"bits": [5, 5], "name": "MEC1_IQ_QUEUE_BUSY"}, + {"bits": [6, 6], "name": "MEC1_IB_QUEUE_BUSY"}, + {"bits": [7, 7], "name": "MEC1_TC_BUSY"}, + {"bits": [8, 8], "name": "MEC1_DMA_BUSY"}, + {"bits": [9, 9], "name": "MEC1_PARTIAL_FLUSH_BUSY"}, + {"bits": [10, 10], "name": "MEC1_PIPE0_BUSY"}, + {"bits": [11, 11], "name": "MEC1_PIPE1_BUSY"}, + {"bits": [12, 12], "name": "MEC1_PIPE2_BUSY"}, + {"bits": [13, 13], "name": "MEC1_PIPE3_BUSY"}, + {"bits": [16, 16], "name": "MEC2_LOAD_BUSY"}, + {"bits": [17, 17], "name": "MEC2_SEMAPOHRE_BUSY"}, + {"bits": [18, 18], "name": "MEC2_MUTEX_BUSY"}, + {"bits": [19, 19], "name": "MEC2_MESSAGE_BUSY"}, + {"bits": [20, 20], "name": "MEC2_EOP_QUEUE_BUSY"}, + {"bits": [21, 21], "name": "MEC2_IQ_QUEUE_BUSY"}, + {"bits": [22, 22], "name": "MEC2_IB_QUEUE_BUSY"}, + {"bits": [23, 23], "name": "MEC2_TC_BUSY"}, + {"bits": [24, 24], "name": "MEC2_DMA_BUSY"}, + {"bits": [25, 25], "name": "MEC2_PARTIAL_FLUSH_BUSY"}, + {"bits": [26, 26], "name": "MEC2_PIPE0_BUSY"}, + {"bits": [27, 27], "name": "MEC2_PIPE1_BUSY"}, + {"bits": [28, 28], "name": "MEC2_PIPE2_BUSY"}, + {"bits": [29, 29], "name": "MEC2_PIPE3_BUSY"} + ] + }, + "CP_CPC_BUSY_STAT2": { + "fields": [ + {"bits": [0, 0], "name": "MES_LOAD_BUSY"}, + {"bits": [2, 2], "name": "MES_MUTEX_BUSY"}, + {"bits": [3, 3], "name": "MES_MESSAGE_BUSY"}, + {"bits": [7, 7], "name": "MES_TC_BUSY"}, + {"bits": [8, 8], "name": "MES_DMA_BUSY"}, + {"bits": [10, 10], "name": "MES_PIPE0_BUSY"}, + {"bits": [11, 11], "name": "MES_PIPE1_BUSY"}, + {"bits": [12, 12], "name": "MES_PIPE2_BUSY"}, + {"bits": [13, 13], "name": "MES_PIPE3_BUSY"} + ] + }, + "CP_CPC_GRBM_FREE_COUNT": { + "fields": [ + {"bits": [0, 5], "name": "FREE_COUNT"} + ] + }, + "CP_CPC_HALT_HYST_COUNT": { + "fields": [ + {"bits": [0, 3], "name": "COUNT"} + ] + }, + "CP_CPC_SCRATCH_DATA": { + "fields": [ + {"bits": [0, 31], "name": "SCRATCH_DATA"} + ] + }, + "CP_CPC_SCRATCH_INDEX": { + "fields": [ + {"bits": [0, 8], "name": "SCRATCH_INDEX"}, + {"bits": [31, 31], "name": "SCRATCH_INDEX_64BIT_MODE"} + ] + }, + "CP_CPC_STALLED_STAT1": { + "fields": [ + {"bits": [3, 3], "name": "RCIU_TX_FREE_STALL"}, + {"bits": [4, 4], "name": "RCIU_PRIV_VIOLATION"}, + {"bits": [6, 6], "name": "TCIU_TX_FREE_STALL"}, + {"bits": [8, 8], "name": "MEC1_DECODING_PACKET"}, + {"bits": [9, 9], "name": "MEC1_WAIT_ON_RCIU"}, + {"bits": [10, 10], "name": "MEC1_WAIT_ON_RCIU_READ"}, + {"bits": [13, 13], "name": "MEC1_WAIT_ON_ROQ_DATA"}, + {"bits": [16, 16], "name": "MEC2_DECODING_PACKET"}, + {"bits": [17, 17], "name": "MEC2_WAIT_ON_RCIU"}, + {"bits": [18, 18], "name": "MEC2_WAIT_ON_RCIU_READ"}, + {"bits": [21, 21], "name": "MEC2_WAIT_ON_ROQ_DATA"}, + {"bits": [22, 22], "name": "UTCL2IU_WAITING_ON_FREE"}, + {"bits": [23, 23], "name": "UTCL2IU_WAITING_ON_TAGS"}, + {"bits": [24, 24], "name": "UTCL1_WAITING_ON_TRANS"}, + {"bits": [25, 25], "name": "GCRIU_WAITING_ON_FREE"} + ] + }, + "CP_CPC_STATUS": { + "fields": [ + {"bits": [0, 0], "name": "MEC1_BUSY"}, + {"bits": [1, 1], "name": "MEC2_BUSY"}, + {"bits": [2, 2], "name": "DC0_BUSY"}, + {"bits": [3, 3], "name": "DC1_BUSY"}, + {"bits": [4, 4], "name": "RCIU1_BUSY"}, + {"bits": [5, 5], "name": "RCIU2_BUSY"}, + {"bits": [6, 6], "name": "ROQ1_BUSY"}, + {"bits": [7, 7], "name": "ROQ2_BUSY"}, + {"bits": [10, 10], "name": "TCIU_BUSY"}, + {"bits": [11, 11], "name": "SCRATCH_RAM_BUSY"}, + {"bits": [12, 12], "name": "QU_BUSY"}, + {"bits": [13, 13], "name": "UTCL2IU_BUSY"}, + {"bits": [14, 14], "name": "SAVE_RESTORE_BUSY"}, + {"bits": [15, 15], "name": "GCRIU_BUSY"}, + {"bits": [16, 16], "name": "MES_BUSY"}, + {"bits": [17, 17], "name": "MES_SCRATCH_RAM_BUSY"}, + {"bits": [18, 18], "name": "RCIU3_BUSY"}, + {"bits": [19, 19], "name": "MES_INSTRUCTION_CACHE_BUSY"}, + {"bits": [29, 29], "name": "CPG_CPC_BUSY"}, + {"bits": [30, 30], "name": "CPF_CPC_BUSY"}, + {"bits": [31, 31], "name": "CPC_BUSY"} + ] + }, + "CP_CPF_BUSY_STAT": { + "fields": [ + {"bits": [0, 0], "name": "REG_BUS_FIFO_BUSY"}, + {"bits": [1, 1], "name": "CSF_RING_BUSY"}, + {"bits": [2, 2], "name": "CSF_INDIRECT1_BUSY"}, + {"bits": [3, 3], "name": "CSF_INDIRECT2_BUSY"}, + {"bits": [4, 4], "name": "CSF_STATE_BUSY"}, + {"bits": [5, 5], "name": "CSF_CE_INDR1_BUSY"}, + {"bits": [6, 6], "name": "CSF_CE_INDR2_BUSY"}, + {"bits": [7, 7], "name": "CSF_ARBITER_BUSY"}, + {"bits": [8, 8], "name": "CSF_INPUT_BUSY"}, + {"bits": [9, 9], "name": "CSF_DATA_BUSY"}, + {"bits": [10, 10], "name": "CSF_CE_DATA_BUSY"}, + {"bits": [11, 11], "name": "HPD_PROCESSING_EOP_BUSY"}, + {"bits": [12, 12], "name": "HQD_DISPATCH_BUSY"}, + {"bits": [13, 13], "name": "HQD_IQ_TIMER_BUSY"}, + {"bits": [14, 14], "name": "HQD_DMA_OFFLOAD_BUSY"}, + {"bits": [15, 15], "name": "HQD_WAIT_SEMAPHORE_BUSY"}, + {"bits": [16, 16], "name": "HQD_SIGNAL_SEMAPHORE_BUSY"}, + {"bits": [17, 17], "name": "HQD_MESSAGE_BUSY"}, + {"bits": [18, 18], "name": "HQD_PQ_FETCHER_BUSY"}, + {"bits": [19, 19], "name": "HQD_IB_FETCHER_BUSY"}, + {"bits": [20, 20], "name": "HQD_IQ_FETCHER_BUSY"}, + {"bits": [21, 21], "name": "HQD_EOP_FETCHER_BUSY"}, + {"bits": [22, 22], "name": "HQD_CONSUMED_RPTR_BUSY"}, + {"bits": [23, 23], "name": "HQD_FETCHER_ARB_BUSY"}, + {"bits": [24, 24], "name": "HQD_ROQ_ALIGN_BUSY"}, + {"bits": [25, 25], "name": "HQD_ROQ_EOP_BUSY"}, + {"bits": [26, 26], "name": "HQD_ROQ_IQ_BUSY"}, + {"bits": [27, 27], "name": "HQD_ROQ_PQ_BUSY"}, + {"bits": [28, 28], "name": "HQD_ROQ_IB_BUSY"}, + {"bits": [29, 29], "name": "HQD_WPTR_POLL_BUSY"}, + {"bits": [30, 30], "name": "HQD_PQ_BUSY"}, + {"bits": [31, 31], "name": "HQD_IB_BUSY"} + ] + }, + "CP_CPF_BUSY_STAT2": { + "fields": [ + {"bits": [12, 12], "name": "MES_HQD_DISPATCH_BUSY"}, + {"bits": [14, 14], "name": "MES_HQD_DMA_OFFLOAD_BUSY"}, + {"bits": [17, 17], "name": "MES_HQD_MESSAGE_BUSY"}, + {"bits": [18, 18], "name": "MES_HQD_PQ_FETCHER_BUSY"}, + {"bits": [22, 22], "name": "MES_HQD_CONSUMED_RPTR_BUSY"}, + {"bits": [23, 23], "name": "MES_HQD_FETCHER_ARB_BUSY"}, + {"bits": [24, 24], "name": "MES_HQD_ROQ_ALIGN_BUSY"}, + {"bits": [27, 27], "name": "MES_HQD_ROQ_PQ_BUSY"}, + {"bits": [30, 30], "name": "MES_HQD_PQ_BUSY"} + ] + }, + "CP_CPF_GRBM_FREE_COUNT": { + "fields": [ + {"bits": [0, 2], "name": "FREE_COUNT"} + ] + }, + "CP_CPF_STALLED_STAT1": { + "fields": [ + {"bits": [0, 0], "name": "RING_FETCHING_DATA"}, + {"bits": [1, 1], "name": "INDR1_FETCHING_DATA"}, + {"bits": [2, 2], "name": "INDR2_FETCHING_DATA"}, + {"bits": [3, 3], "name": "STATE_FETCHING_DATA"}, + {"bits": [5, 5], "name": "TCIU_WAITING_ON_FREE"}, + {"bits": [6, 6], "name": "TCIU_WAITING_ON_TAGS"}, + {"bits": [7, 7], "name": "UTCL2IU_WAITING_ON_FREE"}, + {"bits": [8, 8], "name": "UTCL2IU_WAITING_ON_TAGS"}, + {"bits": [9, 9], "name": "GFX_UTCL1_WAITING_ON_TRANS"}, + {"bits": [10, 10], "name": "CMP_UTCL1_WAITING_ON_TRANS"}, + {"bits": [11, 11], "name": "RCIU_WAITING_ON_FREE"}, + {"bits": [12, 12], "name": "DATA_FETCHING_DATA"}, + {"bits": [13, 13], "name": "GCRIU_WAIT_ON_FREE"} + ] + }, + "CP_CPF_STATUS": { + "fields": [ + {"bits": [0, 0], "name": "POST_WPTR_GFX_BUSY"}, + {"bits": [1, 1], "name": "CSF_BUSY"}, + {"bits": [4, 4], "name": "ROQ_ALIGN_BUSY"}, + {"bits": [5, 5], "name": "ROQ_RING_BUSY"}, + {"bits": [6, 6], "name": "ROQ_INDIRECT1_BUSY"}, + {"bits": [7, 7], "name": "ROQ_INDIRECT2_BUSY"}, + {"bits": [8, 8], "name": "ROQ_STATE_BUSY"}, + {"bits": [9, 9], "name": "ROQ_CE_RING_BUSY"}, + {"bits": [10, 10], "name": "ROQ_CE_INDIRECT1_BUSY"}, + {"bits": [11, 11], "name": "ROQ_CE_INDIRECT2_BUSY"}, + {"bits": [12, 12], "name": "SEMAPHORE_BUSY"}, + {"bits": [13, 13], "name": "INTERRUPT_BUSY"}, + {"bits": [14, 14], "name": "TCIU_BUSY"}, + {"bits": [15, 15], "name": "HQD_BUSY"}, + {"bits": [16, 16], "name": "PRT_BUSY"}, + {"bits": [17, 17], "name": "UTCL2IU_BUSY"}, + {"bits": [18, 18], "name": "RCIU_BUSY"}, + {"bits": [19, 19], "name": "RCIU_GFX_BUSY"}, + {"bits": [20, 20], "name": "RCIU_CMP_BUSY"}, + {"bits": [21, 21], "name": "ROQ_DATA_BUSY"}, + {"bits": [22, 22], "name": "ROQ_CE_DATA_BUSY"}, + {"bits": [23, 23], "name": "GCRIU_BUSY"}, + {"bits": [24, 24], "name": "MES_HQD_BUSY"}, + {"bits": [26, 26], "name": "CPF_GFX_BUSY"}, + {"bits": [27, 27], "name": "CPF_CMP_BUSY"}, + {"bits": [28, 29], "name": "GRBM_CPF_STAT_BUSY"}, + {"bits": [30, 30], "name": "CPC_CPF_BUSY"}, + {"bits": [31, 31], "name": "CPF_BUSY"} + ] + }, + "CP_DB_BASE_HI": { + "fields": [ + {"bits": [0, 15], "name": "DB_BASE_HI"} + ] + }, + "CP_DB_BASE_LO": { + "fields": [ + {"bits": [2, 31], "name": "DB_BASE_LO"} + ] + }, + "CP_DB_BUFSZ": { + "fields": [ + {"bits": [0, 19], "name": "DB_BUFSZ"} + ] + }, + "CP_DB_CMD_BUFSZ": { + "fields": [ + {"bits": [0, 19], "name": "DB_CMD_REQSZ"} + ] + }, + "CP_DMA_CNTL": { + "fields": [ + {"bits": [0, 0], "name": "UTCL1_FAULT_CONTROL"}, + {"bits": [1, 1], "name": "WATCH_CONTROL"}, + {"bits": [4, 5], "name": "MIN_AVAILSZ"}, + {"bits": [16, 24], "name": "BUFFER_DEPTH"}, + {"bits": [28, 28], "name": "PIO_FIFO_EMPTY"}, + {"bits": [29, 29], "name": "PIO_FIFO_FULL"}, + {"bits": [30, 31], "name": "PIO_COUNT"} + ] + }, + "CP_DMA_ME_CMD_ADDR_HI": { + "fields": [ + {"bits": [0, 15], "name": "ADDR_HI"}, + {"bits": [16, 31], "name": "RSVD"} + ] + }, + "CP_DMA_ME_CMD_ADDR_LO": { "fields": [ - {"bits": [0, 15], "name": "DB_BASE_HI"} + {"bits": [0, 1], "name": "RSVD"}, + {"bits": [2, 31], "name": "ADDR_LO"} ] }, - "CP_DB_BASE_LO": { + "CP_DMA_ME_COMMAND": { "fields": [ - {"bits": [2, 31], "name": "DB_BASE_LO"} + {"bits": [0, 25], "name": "BYTE_COUNT"}, + {"bits": [26, 26], "name": "SAS"}, + {"bits": [27, 27], "name": "DAS"}, + {"bits": [28, 28], "name": "SAIC"}, + {"bits": [29, 29], "name": "DAIC"}, + {"bits": [30, 30], "name": "RAW_WAIT"}, + {"bits": [31, 31], "name": "DIS_WC"} ] }, - "CP_DB_BUFSZ": { + "CP_DMA_ME_DST_ADDR": { "fields": [ - {"bits": [0, 19], "name": "DB_BUFSZ"} + {"bits": [0, 31], "name": "DST_ADDR"} ] }, - "CP_DB_CMD_BUFSZ": { + "CP_DMA_ME_DST_ADDR_HI": { "fields": [ - {"bits": [0, 19], "name": "DB_CMD_REQSZ"} + {"bits": [0, 15], "name": "DST_ADDR_HI"} ] }, - "CP_DE_CE_COUNT": { + "CP_DMA_ME_SRC_ADDR": { "fields": [ - {"bits": [0, 31], "name": "CONST_ENGINE_COUNT"} + {"bits": [0, 31], "name": "SRC_ADDR"} ] }, - "CP_DMA_CNTL": { + "CP_DMA_ME_SRC_ADDR_HI": { "fields": [ - {"bits": [0, 0], "name": "UTCL1_FAULT_CONTROL"}, - {"bits": [1, 1], "name": "WATCH_CONTROL"}, - {"bits": [4, 5], "name": "MIN_AVAILSZ"}, - {"bits": [16, 24], "name": "BUFFER_DEPTH"}, - {"bits": [28, 28], "name": "PIO_FIFO_EMPTY"}, - {"bits": [29, 29], "name": "PIO_FIFO_FULL"}, - {"bits": [30, 31], "name": "PIO_COUNT"} + {"bits": [0, 15], "name": "SRC_ADDR_HI"} ] }, - "CP_DMA_ME_CMD_ADDR_LO": { + "CP_DMA_PFP_CONTROL": { "fields": [ - {"bits": [0, 1], "name": "RSVD"}, - {"bits": [2, 31], "name": "ADDR_LO"} + {"bits": [10, 10], "name": "MEMLOG_CLEAR"}, + {"bits": [13, 14], "name": "SRC_CACHE_POLICY"}, + {"bits": [15, 15], "name": "SRC_VOLATLE"}, + {"bits": [20, 21], "name": "DST_SELECT"}, + {"bits": [25, 26], "name": "DST_CACHE_POLICY"}, + {"bits": [27, 27], "name": "DST_VOLATLE"}, + {"bits": [29, 30], "name": "SRC_SELECT"} ] }, "CP_DMA_READ_TAGS": { @@ -18028,9 +13481,19 @@ {"bits": [0, 31], "name": "WINDOW_MASK_HI"} ] }, - "CP_ECC_FIRSTOCCURRENCE_RING0": { + "CP_EOP_DONE_ADDR_HI": { "fields": [ - {"bits": [0, 31], "name": "OBSOLETE"} + {"bits": [0, 15], "name": "ADDR_HI"} + ] + }, + "CP_EOP_DONE_ADDR_LO": { + "fields": [ + {"bits": [2, 31], "name": "ADDR_LO"} + ] + }, + "CP_EOP_DONE_CNTX_ID": { + "fields": [ + {"bits": [0, 31], "name": "CNTX_ID"} ] }, "CP_EOP_DONE_DATA_CNTL": { @@ -18040,6 +13503,16 @@ {"bits": [29, 31], "name": "DATA_SEL"} ] }, + "CP_EOP_DONE_DATA_HI": { + "fields": [ + {"bits": [0, 31], "name": "DATA_HI"} + ] + }, + "CP_EOP_DONE_DATA_LO": { + "fields": [ + {"bits": [0, 31], "name": "DATA_LO"} + ] + }, "CP_EOP_DONE_DOORBELL": { "fields": [ {"bits": [2, 27], "name": "DOORBELL_OFFSET"} @@ -18063,42 +13536,6 @@ {"bits": [0, 31], "name": "LAST_FENCE_LO"} ] }, - "CP_GFX_DDID_INFLIGHT_COUNT": { - "fields": [ - {"bits": [0, 15], "name": "COUNT"} - ] - }, - "CP_GFX_HPD_OSPRE_FENCE_ADDR_HI": { - "fields": [ - {"bits": [0, 15], "name": "ADDR_HI"}, - {"bits": [16, 31], "name": "RSVD"} - ] - }, - "CP_GFX_HPD_OSPRE_FENCE_ADDR_LO": { - "fields": [ - {"bits": [2, 31], "name": "ADDR_LO"} - ] - }, - "CP_GFX_HPD_OSPRE_FENCE_DATA_HI": { - "fields": [ - {"bits": [0, 31], "name": "DATA_HI"} - ] - }, - "CP_GFX_HPD_OSPRE_FENCE_DATA_LO": { - "fields": [ - {"bits": [0, 31], "name": "DATA_LO"} - ] - }, - "CP_HQD_EOP_BASE_ADDR": { - "fields": [ - {"bits": [0, 31], "name": "BASE_ADDR"} - ] - }, - "CP_HQD_PQ_WPTR_LO": { - "fields": [ - {"bits": [0, 31], "name": "OFFSET"} - ] - }, "CP_IB1_OFFSET": { "fields": [ {"bits": [0, 19], "name": "IB1_OFFSET"} @@ -18131,22 +13568,7 @@ }, "CP_INDEX_TYPE": { "fields": [ - {"bits": [0, 1], "enum_ref": "VGT_DI_INDEX_SIZE", "name": "INDEX_TYPE"} - ] - }, - "CP_ME0_PIPE0_VMID": { - "fields": [ - {"bits": [0, 3], "name": "VMID"} - ] - }, - "CP_MES_MTVEC_HI": { - "fields": [ - {"bits": [0, 31], "name": "ADDR_LO"} - ] - }, - "CP_MES_SCRATCH_DATA": { - "fields": [ - {"bits": [0, 31], "name": "SCRATCH_DATA"} + {"bits": [0, 1], "enum_ref": "VGT_INDEX_TYPE_MODE", "name": "INDEX_TYPE"} ] }, "CP_ME_COHER_CNTL": { @@ -18365,6 +13787,16 @@ {"bits": [24, 24], "name": "SH_CS_REG_EN"} ] }, + "CP_PFP_METADATA_BASE_ADDR": { + "fields": [ + {"bits": [0, 31], "name": "ADDR_LO"} + ] + }, + "CP_PIPEID": { + "fields": [ + {"bits": [0, 1], "name": "PIPE_ID"} + ] + }, "CP_PIPE_STATS_ADDR_HI": { "fields": [ {"bits": [0, 15], "name": "PIPE_STATS_ADDR_HI"} @@ -18385,6 +13817,11 @@ {"bits": [0, 0], "name": "NOT_VISIBLE"} ] }, + "CP_RB_OFFSET": { + "fields": [ + {"bits": [0, 19], "name": "RB_OFFSET"} + ] + }, "CP_SAMPLE_STATUS": { "fields": [ {"bits": [0, 0], "name": "Z_PASS_ACITVE"}, @@ -18413,6 +13850,11 @@ {"bits": [0, 31], "name": "PSINVOC_COUNT0_LO"} ] }, + "CP_SC_PSINVOC_COUNT1_LO": { + "fields": [ + {"bits": [0, 31], "name": "OBSOLETE"} + ] + }, "CP_SEM_WAIT_TIMER": { "fields": [ {"bits": [0, 31], "name": "SEM_WAIT_TIMER"} @@ -18548,6 +13990,11 @@ {"bits": [0, 31], "name": "VSINVOC_COUNT_LO"} ] }, + "CP_VMID": { + "fields": [ + {"bits": [0, 3], "name": "VMID"} + ] + }, "CP_WAIT_REG_MEM_TIMEOUT": { "fields": [ {"bits": [0, 31], "name": "WAIT_REG_MEM_TIMEOUT"} @@ -18606,24 +14053,12 @@ {"bits": [3, 3], "name": "DEPTH_BOUNDS_ENABLE"}, {"bits": [4, 6], "enum_ref": "CompareFrag", "name": "ZFUNC"}, {"bits": [7, 7], "name": "BACKFACE_ENABLE"}, - {"bits": [8, 10], "name": "STENCILFUNC"}, - {"bits": [20, 22], "name": "STENCILFUNC_BF"}, + {"bits": [8, 10], "enum_ref": "CompareFrag", "name": "STENCILFUNC"}, + {"bits": [20, 22], "enum_ref": "CompareFrag", "name": "STENCILFUNC_BF"}, {"bits": [30, 30], "name": "ENABLE_COLOR_WRITES_ON_DEPTH_FAIL"}, {"bits": [31, 31], "name": "DISABLE_COLOR_WRITES_ON_DEPTH_PASS"} ] }, - "DB_DEPTH_INFO": { - "fields": [ - {"bits": [0, 3], "name": "ADDR5_SWIZZLE_MASK"}, - {"bits": [4, 7], "name": "ARRAY_MODE"}, - {"bits": [8, 12], "name": "PIPE_CONFIG"}, - {"bits": [13, 14], "name": "BANK_WIDTH"}, - {"bits": [15, 16], "name": "BANK_HEIGHT"}, - {"bits": [17, 18], "name": "MACRO_TILE_ASPECT"}, - {"bits": [19, 20], "name": "NUM_BANKS"}, - {"bits": [28, 31], "name": "RESOURCE_LEVEL"} - ] - }, "DB_DEPTH_SIZE_XY": { "fields": [ {"bits": [0, 13], "name": "X_MAX"}, @@ -18643,7 +14078,7 @@ }, "DB_DFSM_CONTROL": { "fields": [ - {"bits": [0, 1], "name": "PUNCHOUT_MODE"}, + {"bits": [0, 1], "enum_ref": "DB_DFSM_CONTROL__PUNCHOUT_MODE", "name": "PUNCHOUT_MODE"}, {"bits": [2, 2], "name": "POPS_DRAIN_PS_ON_OVERLAP"}, {"bits": [3, 3], "name": "DISALLOW_OVERFLOW"} ] @@ -18664,34 +14099,32 @@ {"bits": [27, 27], "name": "ENABLE_POSTZ_OVERRASTERIZATION"} ] }, + "DB_HTILE_DATA_BASE": { + "fields": [ + {"bits": [0, 31], "name": "BASE_256B"} + ] + }, "DB_HTILE_SURFACE": { "fields": [ - {"bits": [0, 0], "name": "LINEAR"}, + {"bits": [0, 0], "name": "RESERVED_FIELD_1"}, {"bits": [1, 1], "name": "FULL_CACHE"}, - {"bits": [2, 2], "name": "HTILE_USES_PRELOAD_WIN"}, - {"bits": [3, 3], "name": "PRELOAD"}, - {"bits": [4, 9], "name": "PREFETCH_WIDTH"}, - {"bits": [10, 15], "name": "PREFETCH_HEIGHT"}, + {"bits": [2, 2], "name": "RESERVED_FIELD_2"}, + {"bits": [3, 3], "name": "RESERVED_FIELD_3"}, + {"bits": [4, 9], "name": "RESERVED_FIELD_4"}, + {"bits": [10, 15], "name": "RESERVED_FIELD_5"}, {"bits": [16, 16], "name": "DST_OUTSIDE_ZERO_TO_ONE"}, - {"bits": [17, 17], "name": "TC_COMPATIBLE"}, + {"bits": [17, 17], "name": "RESERVED_FIELD_6"}, {"bits": [18, 18], "name": "PIPE_ALIGNED"} ] }, - "DB_PERFCOUNTER0_SELECT": { + "DB_OCCLUSION_COUNT0_HI": { "fields": [ - {"bits": [0, 9], "enum_ref": "PerfCounter_Vals", "name": "PERF_SEL"}, - {"bits": [10, 19], "enum_ref": "PerfCounter_Vals", "name": "PERF_SEL1"}, - {"bits": [20, 23], "name": "CNTR_MODE"}, - {"bits": [24, 27], "name": "PERF_MODE1"}, - {"bits": [28, 31], "name": "PERF_MODE"} + {"bits": [0, 30], "name": "COUNT_HI"} ] }, - "DB_PERFCOUNTER0_SELECT1": { + "DB_OCCLUSION_COUNT0_LOW": { "fields": [ - {"bits": [0, 9], "enum_ref": "PerfCounter_Vals", "name": "PERF_SEL2"}, - {"bits": [10, 19], "enum_ref": "PerfCounter_Vals", "name": "PERF_SEL3"}, - {"bits": [24, 27], "name": "PERF_MODE3"}, - {"bits": [28, 31], "name": "PERF_MODE2"} + {"bits": [0, 31], "name": "COUNT_LOW"} ] }, "DB_PRELOAD_CONTROL": { @@ -18760,8 +14193,30 @@ {"bits": [21, 21], "name": "PRESERVE_ZRANGE"}, {"bits": [22, 22], "name": "PRESERVE_SRESULTS"}, {"bits": [23, 23], "name": "DISABLE_FAST_PASS"}, - {"bits": [25, 25], "name": "ALLOW_PARTIAL_RES_HIER_KILL"}, - {"bits": [27, 28], "name": "CENTROID_COMPUTATION_MODE_GFX103"} + {"bits": [25, 25], "name": "ALLOW_PARTIAL_RES_HIER_KILL"} + ] + }, + "DB_RESERVED_REG_1": { + "fields": [ + {"bits": [0, 10], "name": "FIELD_1"}, + {"bits": [11, 21], "name": "FIELD_2"} + ] + }, + "DB_RESERVED_REG_2": { + "fields": [ + {"bits": [0, 3], "name": "FIELD_1"}, + {"bits": [4, 7], "name": "FIELD_2"}, + {"bits": [8, 12], "name": "FIELD_3"}, + {"bits": [13, 14], "name": "FIELD_4"}, + {"bits": [15, 16], "name": "FIELD_5"}, + {"bits": [17, 18], "name": "FIELD_6"}, + {"bits": [19, 20], "name": "FIELD_7"}, + {"bits": [28, 31], "name": "RESOURCE_LEVEL"} + ] + }, + "DB_RESERVED_REG_3": { + "fields": [ + {"bits": [0, 21], "name": "FIELD_1"} ] }, "DB_RMI_L2_CACHE_CONTROL": { @@ -18800,7 +14255,7 @@ }, "DB_SRESULTS_COMPARE_STATE0": { "fields": [ - {"bits": [0, 2], "name": "COMPAREFUNC0"}, + {"bits": [0, 2], "enum_ref": "CompareFrag", "name": "COMPAREFUNC0"}, {"bits": [4, 11], "name": "COMPAREVALUE0"}, {"bits": [12, 19], "name": "COMPAREMASK0"}, {"bits": [24, 24], "name": "ENABLE0"} @@ -18808,7 +14263,7 @@ }, "DB_SRESULTS_COMPARE_STATE1": { "fields": [ - {"bits": [0, 2], "name": "COMPAREFUNC1"}, + {"bits": [0, 2], "enum_ref": "CompareFrag", "name": "COMPAREFUNC1"}, {"bits": [4, 11], "name": "COMPAREVALUE1"}, {"bits": [12, 19], "name": "COMPAREMASK1"}, {"bits": [24, 24], "name": "ENABLE1"} @@ -18847,36 +14302,26 @@ }, "DB_STENCIL_INFO": { "fields": [ - {"bits": [0, 0], "name": "FORMAT"}, + {"bits": [0, 0], "enum_ref": "StencilFormat", "name": "FORMAT"}, {"bits": [4, 8], "name": "SW_MODE"}, {"bits": [9, 10], "enum_ref": "DbPRTFaultBehavior", "name": "FAULT_BEHAVIOR"}, {"bits": [11, 11], "name": "ITERATE_FLUSH"}, {"bits": [12, 12], "name": "PARTIALLY_RESIDENT"}, - {"bits": [13, 15], "name": "TILE_SPLIT"}, + {"bits": [13, 15], "name": "RESERVED_FIELD_1"}, {"bits": [20, 20], "name": "ITERATE_256"}, {"bits": [27, 27], "name": "ALLOW_EXPCLEAR"}, {"bits": [29, 29], "name": "TILE_STENCIL_DISABLE"} ] }, - "DB_ZPASS_COUNT_HI": { - "fields": [ - {"bits": [0, 30], "name": "COUNT_HI"} - ] - }, - "DB_ZPASS_COUNT_LOW": { - "fields": [ - {"bits": [0, 31], "name": "COUNT_LOW"} - ] - }, "DB_Z_INFO": { "fields": [ - {"bits": [0, 1], "name": "FORMAT"}, + {"bits": [0, 1], "enum_ref": "ZFormat", "name": "FORMAT"}, {"bits": [2, 3], "name": "NUM_SAMPLES"}, {"bits": [4, 8], "name": "SW_MODE"}, {"bits": [9, 10], "enum_ref": "DbPRTFaultBehavior", "name": "FAULT_BEHAVIOR"}, {"bits": [11, 11], "name": "ITERATE_FLUSH"}, {"bits": [12, 12], "name": "PARTIALLY_RESIDENT"}, - {"bits": [13, 15], "name": "TILE_SPLIT"}, + {"bits": [13, 15], "name": "RESERVED_FIELD_1"}, {"bits": [16, 19], "name": "MAXMIP"}, {"bits": [20, 20], "name": "ITERATE_256"}, {"bits": [23, 26], "name": "DECOMPRESS_ON_N_ZPLANES"}, @@ -18891,30 +14336,81 @@ {"bits": [0, 7], "name": "BASE_HI"} ] }, - "GCR_PERFCOUNTER0_SELECT": { + "GB_ADDR_CONFIG": { "fields": [ - {"bits": [0, 8], "enum_ref": "GCRPerfSel", "name": "PERF_SEL"}, - {"bits": [10, 18], "enum_ref": "GCRPerfSel", "name": "PERF_SEL1"}, - {"bits": [20, 23], "name": "CNTR_MODE"}, - {"bits": [24, 27], "name": "PERF_MODE1"}, - {"bits": [28, 31], "name": "PERF_MODE"} + {"bits": [0, 2], "name": "NUM_PIPES"}, + {"bits": [3, 5], "name": "PIPE_INTERLEAVE_SIZE"}, + {"bits": [6, 7], "name": "MAX_COMPRESSED_FRAGS"}, + {"bits": [19, 20], "name": "NUM_SHADER_ENGINES"}, + {"bits": [26, 27], "name": "NUM_RB_PER_SE"} ] }, - "GCR_PERFCOUNTER0_SELECT1": { + "GB_MACROTILE_MODE0": { "fields": [ - {"bits": [0, 8], "enum_ref": "GCRPerfSel", "name": "PERF_SEL2"}, - {"bits": [10, 18], "enum_ref": "GCRPerfSel", "name": "PERF_SEL3"}, - {"bits": [24, 27], "name": "PERF_MODE3"}, - {"bits": [28, 31], "name": "PERF_MODE2"} + {"bits": [0, 1], "enum_ref": "BankWidth", "name": "BANK_WIDTH"}, + {"bits": [2, 3], "enum_ref": "BankHeight", "name": "BANK_HEIGHT"}, + {"bits": [4, 5], "enum_ref": "MacroTileAspect", "name": "MACRO_TILE_ASPECT"}, + {"bits": [6, 7], "enum_ref": "NumBanks", "name": "NUM_BANKS"} + ] + }, + "GB_TILE_MODE0": { + "fields": [ + {"bits": [2, 5], "enum_ref": "ArrayMode", "name": "ARRAY_MODE"}, + {"bits": [6, 10], "enum_ref": "PipeConfig", "name": "PIPE_CONFIG"}, + {"bits": [11, 13], "enum_ref": "TileSplit", "name": "TILE_SPLIT"}, + {"bits": [22, 24], "enum_ref": "MicroTileMode", "name": "MICRO_TILE_MODE_NEW"}, + {"bits": [25, 26], "name": "SAMPLE_SPLIT"} + ] + }, + "GCEA_PERFCOUNTER2_MODE": { + "fields": [ + {"bits": [0, 1], "name": "COMPARE_MODE0"}, + {"bits": [2, 3], "name": "COMPARE_MODE1"}, + {"bits": [4, 5], "name": "COMPARE_MODE2"}, + {"bits": [6, 7], "name": "COMPARE_MODE3"}, + {"bits": [8, 11], "name": "COMPARE_VALUE0"}, + {"bits": [12, 15], "name": "COMPARE_VALUE1"}, + {"bits": [16, 19], "name": "COMPARE_VALUE2"}, + {"bits": [20, 23], "name": "COMPARE_VALUE3"} ] }, "GCR_PERFCOUNTER1_SELECT": { "fields": [ - {"bits": [0, 8], "enum_ref": "GCRPerfSel", "name": "PERF_SEL"}, + {"bits": [0, 8], "name": "PERF_SEL"}, {"bits": [24, 27], "name": "PERF_MODE"}, {"bits": [28, 31], "name": "CNTL_MODE"} ] }, + "GC_ATC_L2_PERFCOUNTER0_CFG": { + "fields": [ + {"bits": [0, 7], "name": "PERF_SEL"}, + {"bits": [8, 15], "name": "PERF_SEL_END"}, + {"bits": [24, 27], "name": "PERF_MODE"}, + {"bits": [28, 28], "name": "ENABLE"}, + {"bits": [29, 29], "name": "CLEAR"} + ] + }, + "GC_ATC_L2_PERFCOUNTER_HI": { + "fields": [ + {"bits": [0, 15], "name": "COUNTER_HI"}, + {"bits": [16, 31], "name": "COMPARE_VALUE"} + ] + }, + "GC_ATC_L2_PERFCOUNTER_LO": { + "fields": [ + {"bits": [0, 31], "name": "COUNTER_LO"} + ] + }, + "GC_ATC_L2_PERFCOUNTER_RSLT_CNTL": { + "fields": [ + {"bits": [0, 3], "name": "PERF_COUNTER_SELECT"}, + {"bits": [8, 15], "name": "START_TRIGGER"}, + {"bits": [16, 23], "name": "STOP_TRIGGER"}, + {"bits": [24, 24], "name": "ENABLE_ANY"}, + {"bits": [25, 25], "name": "CLEAR_ALL"}, + {"bits": [26, 26], "name": "STOP_ALL_ON_SATURATE"} + ] + }, "GDS_ATOM_BASE": { "fields": [ {"bits": [0, 15], "name": "BASE"}, @@ -19070,204 +14566,63 @@ {"bits": [19, 19], "name": "PACKET_TO_ONE_PA"} ] }, - "GE_USER_VGPR_EN": { - "fields": [ - {"bits": [0, 0], "name": "EN_USER_VGPR1"}, - {"bits": [1, 1], "name": "EN_USER_VGPR2"}, - {"bits": [2, 2], "name": "EN_USER_VGPR3"} - ] - }, "GE_DMA_FIRST_INDEX": { "fields": [ - {"bits": [0, 31], "name": "FIRST_INDEX"} - ] - }, - "GE_INDX_OFFSET": { - "fields": [ - {"bits": [0, 31], "name": "INDX_OFFSET"} - ] - }, - "GE_MAX_OUTPUT_PER_SUBGROUP": { - "fields": [ - {"bits": [0, 9], "name": "MAX_VERTS_PER_SUBGROUP"} - ] - }, - "GE_MAX_VTX_INDX": { - "fields": [ - {"bits": [0, 31], "name": "MAX_INDX"} - ] - }, - "GE_MIN_VTX_INDX": { - "fields": [ - {"bits": [0, 31], "name": "MIN_INDX"} - ] - }, - "GE_MULTI_PRIM_IB_RESET_EN": { - "fields": [ - {"bits": [0, 0], "name": "RESET_EN"}, - {"bits": [1, 1], "name": "MATCH_ALL_BITS"} - ] - }, - "GE_NGG_SUBGRP_CNTL": { - "fields": [ - {"bits": [0, 8], "name": "PRIM_AMP_FACTOR"}, - {"bits": [9, 17], "name": "THDS_PER_SUBGRP"} - ] - }, - "GE_PC_ALLOC": { - "fields": [ - {"bits": [0, 0], "name": "OVERSUB_EN"}, - {"bits": [1, 10], "name": "NUM_PC_LINES"} - ] - }, - "GE_PERFCOUNTER0_SELECT": { - "fields": [ - {"bits": [0, 9], "enum_ref": "GE_PERFCOUNT_SELECT", "name": "PERF_SEL0"}, - {"bits": [10, 19], "enum_ref": "GE_PERFCOUNT_SELECT", "name": "PERF_SEL1"}, - {"bits": [20, 23], "name": "CNTR_MODE"}, - {"bits": [24, 27], "name": "PERF_MODE0"}, - {"bits": [28, 31], "name": "PERF_MODE1"} - ] - }, - "GE_PERFCOUNTER0_SELECT1": { - "fields": [ - {"bits": [0, 9], "enum_ref": "GE_PERFCOUNT_SELECT", "name": "PERF_SEL2"}, - {"bits": [10, 19], "enum_ref": "GE_PERFCOUNT_SELECT", "name": "PERF_SEL3"}, - {"bits": [24, 27], "name": "PERF_MODE2"}, - {"bits": [28, 31], "name": "PERF_MODE3"} - ] - }, - "GE_PERFCOUNTER4_SELECT": { - "fields": [ - {"bits": [0, 9], "enum_ref": "GE_PERFCOUNT_SELECT", "name": "PERF_SEL0"}, - {"bits": [28, 31], "name": "PERF_MODE"} - ] - }, - "GE_STEREO_CNTL": { - "fields": [ - {"bits": [0, 2], "name": "RT_SLICE"}, - {"bits": [3, 6], "name": "VIEWPORT"}, - {"bits": [8, 8], "name": "EN_STEREO"} - ] - }, - "GL1A_PERFCOUNTER0_SELECT": { - "fields": [ - {"bits": [0, 9], "enum_ref": "GL1A_PERF_SEL", "name": "PERF_SEL"}, - {"bits": [10, 19], "enum_ref": "GL1A_PERF_SEL", "name": "PERF_SEL1"}, - {"bits": [20, 23], "name": "CNTR_MODE"}, - {"bits": [24, 27], "name": "PERF_MODE1"}, - {"bits": [28, 31], "name": "PERF_MODE"} - ] - }, - "GL1A_PERFCOUNTER0_SELECT1": { - "fields": [ - {"bits": [0, 9], "enum_ref": "GL1A_PERF_SEL", "name": "PERF_SEL2"}, - {"bits": [10, 19], "enum_ref": "GL1A_PERF_SEL", "name": "PERF_SEL3"}, - {"bits": [24, 27], "name": "PERF_MODE2"}, - {"bits": [28, 31], "name": "PERF_MODE3"} - ] - }, - "GL1A_PERFCOUNTER1_SELECT": { - "fields": [ - {"bits": [0, 9], "enum_ref": "GL1A_PERF_SEL", "name": "PERF_SEL"}, - {"bits": [20, 23], "name": "CNTR_MODE"}, - {"bits": [28, 31], "name": "PERF_MODE"} - ] - }, - "GL1CG_PERFCOUNTER0_SELECT": { - "fields": [ - {"bits": [0, 9], "enum_ref": "GL1CG_PERF_SEL", "name": "PERF_SEL"}, - {"bits": [10, 19], "enum_ref": "GL1CG_PERF_SEL", "name": "PERF_SEL1"}, - {"bits": [20, 23], "name": "CNTR_MODE"}, - {"bits": [24, 27], "name": "PERF_MODE1"}, - {"bits": [28, 31], "name": "PERF_MODE"} - ] - }, - "GL1CG_PERFCOUNTER0_SELECT1": { - "fields": [ - {"bits": [0, 9], "enum_ref": "GL1CG_PERF_SEL", "name": "PERF_SEL2"}, - {"bits": [10, 19], "enum_ref": "GL1CG_PERF_SEL", "name": "PERF_SEL3"}, - {"bits": [24, 27], "name": "PERF_MODE2"}, - {"bits": [28, 31], "name": "PERF_MODE3"} - ] - }, - "GL1CG_PERFCOUNTER1_SELECT": { - "fields": [ - {"bits": [0, 9], "enum_ref": "GL1CG_PERF_SEL", "name": "PERF_SEL"}, - {"bits": [20, 23], "name": "CNTR_MODE"}, - {"bits": [28, 31], "name": "PERF_MODE"} + {"bits": [0, 31], "name": "FIRST_INDEX"} ] }, - "GL1C_PERFCOUNTER0_SELECT": { + "GE_MAX_OUTPUT_PER_SUBGROUP": { "fields": [ - {"bits": [0, 9], "enum_ref": "GL1C_PERF_SEL", "name": "PERF_SEL"}, - {"bits": [10, 19], "enum_ref": "GL1C_PERF_SEL", "name": "PERF_SEL1"}, - {"bits": [20, 23], "name": "CNTR_MODE"}, - {"bits": [24, 27], "name": "PERF_MODE1"}, - {"bits": [28, 31], "name": "PERF_MODE"} + {"bits": [0, 9], "name": "MAX_VERTS_PER_SUBGROUP"} ] }, - "GL1C_PERFCOUNTER0_SELECT1": { + "GE_NGG_SUBGRP_CNTL": { "fields": [ - {"bits": [0, 9], "enum_ref": "GL1C_PERF_SEL", "name": "PERF_SEL2"}, - {"bits": [10, 19], "enum_ref": "GL1C_PERF_SEL", "name": "PERF_SEL3"}, - {"bits": [24, 27], "name": "PERF_MODE2"}, - {"bits": [28, 31], "name": "PERF_MODE3"} + {"bits": [0, 8], "name": "PRIM_AMP_FACTOR"}, + {"bits": [9, 17], "name": "THDS_PER_SUBGRP"} ] }, - "GL1C_PERFCOUNTER1_SELECT": { + "GE_PC_ALLOC": { "fields": [ - {"bits": [0, 9], "enum_ref": "GL1C_PERF_SEL", "name": "PERF_SEL"}, - {"bits": [20, 23], "name": "CNTR_MODE"}, - {"bits": [28, 31], "name": "PERF_MODE"} + {"bits": [0, 0], "name": "OVERSUB_EN"}, + {"bits": [1, 10], "name": "NUM_PC_LINES"} ] }, - "GL2A_PERFCOUNTER0_SELECT": { + "GE_PERFCOUNTER0_SELECT": { "fields": [ - {"bits": [0, 9], "enum_ref": "GL2A_PERF_SEL", "name": "PERF_SEL"}, - {"bits": [10, 19], "enum_ref": "GL2A_PERF_SEL", "name": "PERF_SEL1"}, + {"bits": [0, 9], "name": "PERF_SEL0"}, + {"bits": [10, 19], "name": "PERF_SEL1"}, {"bits": [20, 23], "name": "CNTR_MODE"}, - {"bits": [24, 27], "name": "PERF_MODE1"}, - {"bits": [28, 31], "name": "PERF_MODE"} + {"bits": [24, 27], "name": "PERF_MODE0"}, + {"bits": [28, 31], "name": "PERF_MODE1"} ] }, - "GL2A_PERFCOUNTER0_SELECT1": { + "GE_PERFCOUNTER0_SELECT1": { "fields": [ - {"bits": [0, 9], "enum_ref": "GL2A_PERF_SEL", "name": "PERF_SEL2"}, - {"bits": [10, 19], "enum_ref": "GL2A_PERF_SEL", "name": "PERF_SEL3"}, + {"bits": [0, 9], "name": "PERF_SEL2"}, + {"bits": [10, 19], "name": "PERF_SEL3"}, {"bits": [24, 27], "name": "PERF_MODE2"}, {"bits": [28, 31], "name": "PERF_MODE3"} ] }, - "GL2A_PERFCOUNTER2_SELECT": { - "fields": [ - {"bits": [0, 9], "enum_ref": "GL2A_PERF_SEL", "name": "PERF_SEL"}, - {"bits": [20, 23], "name": "CNTR_MODE"}, - {"bits": [28, 31], "name": "PERF_MODE"} - ] - }, - "GL2C_PERFCOUNTER0_SELECT": { + "GE_PERFCOUNTER4_SELECT": { "fields": [ - {"bits": [0, 9], "enum_ref": "GL2C_PERF_SEL", "name": "PERF_SEL"}, - {"bits": [10, 19], "enum_ref": "GL2C_PERF_SEL", "name": "PERF_SEL1"}, - {"bits": [20, 23], "name": "CNTR_MODE"}, - {"bits": [24, 27], "name": "PERF_MODE1"}, + {"bits": [0, 9], "name": "PERF_SEL0"}, {"bits": [28, 31], "name": "PERF_MODE"} ] }, - "GL2C_PERFCOUNTER0_SELECT1": { + "GE_STEREO_CNTL": { "fields": [ - {"bits": [0, 9], "enum_ref": "GL2C_PERF_SEL", "name": "PERF_SEL2"}, - {"bits": [10, 19], "enum_ref": "GL2C_PERF_SEL", "name": "PERF_SEL3"}, - {"bits": [24, 27], "name": "PERF_MODE2"}, - {"bits": [28, 31], "name": "PERF_MODE3"} + {"bits": [0, 2], "name": "RT_SLICE"}, + {"bits": [3, 6], "name": "VIEWPORT"}, + {"bits": [8, 8], "name": "EN_STEREO"} ] }, - "GL2C_PERFCOUNTER2_SELECT": { + "GE_USER_VGPR_EN": { "fields": [ - {"bits": [0, 9], "enum_ref": "GL2C_PERF_SEL", "name": "PERF_SEL"}, - {"bits": [20, 23], "name": "CNTR_MODE"}, - {"bits": [28, 31], "name": "PERF_MODE"} + {"bits": [0, 0], "name": "EN_USER_VGPR1"}, + {"bits": [1, 1], "name": "EN_USER_VGPR2"}, + {"bits": [2, 2], "name": "EN_USER_VGPR3"} ] }, "GRBM_GFX_INDEX": { @@ -19282,7 +14637,7 @@ }, "GRBM_PERFCOUNTER0_SELECT": { "fields": [ - {"bits": [0, 5], "enum_ref": "GRBM_PERF_SEL", "name": "PERF_SEL"}, + {"bits": [0, 5], "name": "PERF_SEL"}, {"bits": [10, 10], "name": "DB_CLEAN_USER_DEFINED_MASK"}, {"bits": [11, 11], "name": "CB_CLEAN_USER_DEFINED_MASK"}, {"bits": [13, 13], "name": "TA_BUSY_USER_DEFINED_MASK"}, @@ -19318,64 +14673,7 @@ }, "GRBM_SE0_PERFCOUNTER_SELECT": { "fields": [ - {"bits": [0, 5], "enum_ref": "GRBM_SE0_PERF_SEL", "name": "PERF_SEL"}, - {"bits": [10, 10], "name": "DB_CLEAN_USER_DEFINED_MASK"}, - {"bits": [11, 11], "name": "CB_CLEAN_USER_DEFINED_MASK"}, - {"bits": [12, 12], "name": "TA_BUSY_USER_DEFINED_MASK"}, - {"bits": [13, 13], "name": "SX_BUSY_USER_DEFINED_MASK"}, - {"bits": [15, 15], "name": "SPI_BUSY_USER_DEFINED_MASK"}, - {"bits": [16, 16], "name": "SC_BUSY_USER_DEFINED_MASK"}, - {"bits": [17, 17], "name": "DB_BUSY_USER_DEFINED_MASK"}, - {"bits": [18, 18], "name": "CB_BUSY_USER_DEFINED_MASK"}, - {"bits": [20, 20], "name": "PA_BUSY_USER_DEFINED_MASK"}, - {"bits": [21, 21], "name": "BCI_BUSY_USER_DEFINED_MASK"}, - {"bits": [22, 22], "name": "RMI_BUSY_USER_DEFINED_MASK"}, - {"bits": [23, 23], "name": "UTCL1_BUSY_USER_DEFINED_MASK"}, - {"bits": [24, 24], "name": "TCP_BUSY_USER_DEFINED_MASK"}, - {"bits": [25, 25], "name": "GL1CC_BUSY_USER_DEFINED_MASK"} - ] - }, - "GRBM_SE1_PERFCOUNTER_SELECT": { - "fields": [ - {"bits": [0, 5], "enum_ref": "GRBM_SE1_PERF_SEL", "name": "PERF_SEL"}, - {"bits": [10, 10], "name": "DB_CLEAN_USER_DEFINED_MASK"}, - {"bits": [11, 11], "name": "CB_CLEAN_USER_DEFINED_MASK"}, - {"bits": [12, 12], "name": "TA_BUSY_USER_DEFINED_MASK"}, - {"bits": [13, 13], "name": "SX_BUSY_USER_DEFINED_MASK"}, - {"bits": [15, 15], "name": "SPI_BUSY_USER_DEFINED_MASK"}, - {"bits": [16, 16], "name": "SC_BUSY_USER_DEFINED_MASK"}, - {"bits": [17, 17], "name": "DB_BUSY_USER_DEFINED_MASK"}, - {"bits": [18, 18], "name": "CB_BUSY_USER_DEFINED_MASK"}, - {"bits": [20, 20], "name": "PA_BUSY_USER_DEFINED_MASK"}, - {"bits": [21, 21], "name": "BCI_BUSY_USER_DEFINED_MASK"}, - {"bits": [22, 22], "name": "RMI_BUSY_USER_DEFINED_MASK"}, - {"bits": [23, 23], "name": "UTCL1_BUSY_USER_DEFINED_MASK"}, - {"bits": [24, 24], "name": "TCP_BUSY_USER_DEFINED_MASK"}, - {"bits": [25, 25], "name": "GL1CC_BUSY_USER_DEFINED_MASK"} - ] - }, - "GRBM_SE2_PERFCOUNTER_SELECT": { - "fields": [ - {"bits": [0, 5], "enum_ref": "GRBM_SE2_PERF_SEL", "name": "PERF_SEL"}, - {"bits": [10, 10], "name": "DB_CLEAN_USER_DEFINED_MASK"}, - {"bits": [11, 11], "name": "CB_CLEAN_USER_DEFINED_MASK"}, - {"bits": [12, 12], "name": "TA_BUSY_USER_DEFINED_MASK"}, - {"bits": [13, 13], "name": "SX_BUSY_USER_DEFINED_MASK"}, - {"bits": [15, 15], "name": "SPI_BUSY_USER_DEFINED_MASK"}, - {"bits": [16, 16], "name": "SC_BUSY_USER_DEFINED_MASK"}, - {"bits": [17, 17], "name": "DB_BUSY_USER_DEFINED_MASK"}, - {"bits": [18, 18], "name": "CB_BUSY_USER_DEFINED_MASK"}, - {"bits": [20, 20], "name": "PA_BUSY_USER_DEFINED_MASK"}, - {"bits": [21, 21], "name": "BCI_BUSY_USER_DEFINED_MASK"}, - {"bits": [22, 22], "name": "RMI_BUSY_USER_DEFINED_MASK"}, - {"bits": [23, 23], "name": "UTCL1_BUSY_USER_DEFINED_MASK"}, - {"bits": [24, 24], "name": "TCP_BUSY_USER_DEFINED_MASK"}, - {"bits": [25, 25], "name": "GL1CC_BUSY_USER_DEFINED_MASK"} - ] - }, - "GRBM_SE3_PERFCOUNTER_SELECT": { - "fields": [ - {"bits": [0, 5], "enum_ref": "GRBM_SE3_PERF_SEL", "name": "PERF_SEL"}, + {"bits": [0, 5], "name": "PERF_SEL"}, {"bits": [10, 10], "name": "DB_CLEAN_USER_DEFINED_MASK"}, {"bits": [11, 11], "name": "CB_CLEAN_USER_DEFINED_MASK"}, {"bits": [12, 12], "name": "TA_BUSY_USER_DEFINED_MASK"}, @@ -19392,9 +14690,97 @@ {"bits": [25, 25], "name": "GL1CC_BUSY_USER_DEFINED_MASK"} ] }, - "IA_ENHANCE": { - "fields": [ - {"bits": [0, 31], "name": "MISC"} + "GRBM_STATUS": { + "fields": [ + {"bits": [0, 3], "name": "ME0PIPE0_CMDFIFO_AVAIL"}, + {"bits": [5, 5], "name": "RSMU_RQ_PENDING"}, + {"bits": [7, 7], "name": "ME0PIPE0_CF_RQ_PENDING"}, + {"bits": [8, 8], "name": "ME0PIPE0_PF_RQ_PENDING"}, + {"bits": [9, 9], "name": "GDS_DMA_RQ_PENDING"}, + {"bits": [12, 12], "name": "DB_CLEAN"}, + {"bits": [13, 13], "name": "CB_CLEAN"}, + {"bits": [14, 14], "name": "TA_BUSY"}, + {"bits": [15, 15], "name": "GDS_BUSY"}, + {"bits": [16, 16], "name": "GE_BUSY_NO_DMA"}, + {"bits": [20, 20], "name": "SX_BUSY"}, + {"bits": [21, 21], "name": "GE_BUSY"}, + {"bits": [22, 22], "name": "SPI_BUSY"}, + {"bits": [23, 23], "name": "BCI_BUSY"}, + {"bits": [24, 24], "name": "SC_BUSY"}, + {"bits": [25, 25], "name": "PA_BUSY"}, + {"bits": [26, 26], "name": "DB_BUSY"}, + {"bits": [28, 28], "name": "CP_COHERENCY_BUSY"}, + {"bits": [29, 29], "name": "CP_BUSY"}, + {"bits": [30, 30], "name": "CB_BUSY"}, + {"bits": [31, 31], "name": "GUI_ACTIVE"} + ] + }, + "GRBM_STATUS2": { + "fields": [ + {"bits": [0, 3], "name": "ME0PIPE1_CMDFIFO_AVAIL"}, + {"bits": [4, 4], "name": "ME0PIPE1_CF_RQ_PENDING"}, + {"bits": [5, 5], "name": "ME0PIPE1_PF_RQ_PENDING"}, + {"bits": [6, 6], "name": "ME1PIPE0_RQ_PENDING"}, + {"bits": [7, 7], "name": "ME1PIPE1_RQ_PENDING"}, + {"bits": [8, 8], "name": "ME1PIPE2_RQ_PENDING"}, + {"bits": [9, 9], "name": "ME1PIPE3_RQ_PENDING"}, + {"bits": [10, 10], "name": "ME2PIPE0_RQ_PENDING"}, + {"bits": [11, 11], "name": "ME2PIPE1_RQ_PENDING"}, + {"bits": [12, 12], "name": "ME2PIPE2_RQ_PENDING"}, + {"bits": [13, 13], "name": "ME2PIPE3_RQ_PENDING"}, + {"bits": [14, 14], "name": "RLC_RQ_PENDING"}, + {"bits": [15, 15], "name": "UTCL2_BUSY"}, + {"bits": [16, 16], "name": "EA_BUSY"}, + {"bits": [17, 17], "name": "RMI_BUSY"}, + {"bits": [18, 18], "name": "UTCL2_RQ_PENDING"}, + {"bits": [19, 19], "name": "CPF_RQ_PENDING"}, + {"bits": [20, 20], "name": "EA_LINK_BUSY"}, + {"bits": [21, 21], "name": "SDMA_BUSY"}, + {"bits": [22, 22], "name": "SDMA0_RQ_PENDING"}, + {"bits": [23, 23], "name": "SDMA1_RQ_PENDING"}, + {"bits": [24, 24], "name": "RLC_BUSY"}, + {"bits": [25, 25], "name": "TCP_BUSY"}, + {"bits": [28, 28], "name": "CPF_BUSY"}, + {"bits": [29, 29], "name": "CPC_BUSY"}, + {"bits": [30, 30], "name": "CPG_BUSY"}, + {"bits": [31, 31], "name": "CPAXI_BUSY"} + ] + }, + "GRBM_STATUS3": { + "fields": [ + {"bits": [5, 5], "name": "GRBM_RLC_INTR_CREDIT_PENDING"}, + {"bits": [6, 6], "name": "GRBM_UTCL2_INTR_CREDIT_PENDING"}, + {"bits": [7, 7], "name": "GRBM_CPF_INTR_CREDIT_PENDING"}, + {"bits": [8, 8], "name": "MESPIPE0_RQ_PENDING"}, + {"bits": [9, 9], "name": "MESPIPE1_RQ_PENDING"}, + {"bits": [10, 10], "name": "MESPIPE2_RQ_PENDING"}, + {"bits": [11, 11], "name": "MESPIPE3_RQ_PENDING"}, + {"bits": [13, 13], "name": "PH_BUSY"}, + {"bits": [14, 14], "name": "CH_BUSY"}, + {"bits": [15, 15], "name": "GL2CC_BUSY"}, + {"bits": [16, 16], "name": "GL1CC_BUSY"}, + {"bits": [28, 28], "name": "GUS_LINK_BUSY"}, + {"bits": [29, 29], "name": "GUS_BUSY"}, + {"bits": [30, 30], "name": "UTCL1_BUSY"}, + {"bits": [31, 31], "name": "PMM_BUSY"} + ] + }, + "GRBM_STATUS_SE0": { + "fields": [ + {"bits": [1, 1], "name": "DB_CLEAN"}, + {"bits": [2, 2], "name": "CB_CLEAN"}, + {"bits": [3, 3], "name": "UTCL1_BUSY"}, + {"bits": [4, 4], "name": "TCP_BUSY"}, + {"bits": [5, 5], "name": "GL1CC_BUSY"}, + {"bits": [21, 21], "name": "RMI_BUSY"}, + {"bits": [22, 22], "name": "BCI_BUSY"}, + {"bits": [24, 24], "name": "PA_BUSY"}, + {"bits": [25, 25], "name": "TA_BUSY"}, + {"bits": [26, 26], "name": "SX_BUSY"}, + {"bits": [27, 27], "name": "SPI_BUSY"}, + {"bits": [29, 29], "name": "SC_BUSY"}, + {"bits": [30, 30], "name": "DB_BUSY"}, + {"bits": [31, 31], "name": "CB_BUSY"} ] }, "IA_MULTI_VGT_PARAM": { @@ -19444,11 +14830,6 @@ {"bits": [28, 28], "name": "ZCLIP_PROG_NEAR_ENA"} ] }, - "PA_CL_GB_VERT_CLIP_ADJ": { - "fields": [ - {"bits": [0, 31], "name": "DATA_REGISTER"} - ] - }, "PA_CL_NANINF_CNTL": { "fields": [ {"bits": [0, 0], "name": "VTE_XY_INF_DISCARD"}, @@ -19472,8 +14853,7 @@ "PA_CL_NGG_CNTL": { "fields": [ {"bits": [0, 0], "name": "VERTEX_REUSE_OFF"}, - {"bits": [1, 1], "name": "INDEX_BUF_EDGE_FLAG_ENA"}, - {"bits": [2, 9], "name": "VERTEX_REUSE_DEPTH_GFX103"} + {"bits": [1, 1], "name": "INDEX_BUF_EDGE_FLAG_ENA"} ] }, "PA_CL_OBJPRIM_ID_CNTL": { @@ -19482,6 +14862,11 @@ {"bits": [1, 1], "name": "ADD_PIPED_PRIM_ID"} ] }, + "PA_CL_UCP_0_X": { + "fields": [ + {"bits": [0, 31], "name": "DATA_REGISTER"} + ] + }, "PA_CL_VPORT_XOFFSET": { "fields": [ {"bits": [0, 31], "name": "VPORT_XOFFSET"} @@ -19540,9 +14925,8 @@ {"bits": [23, 23], "name": "VS_OUT_CCDIST1_VEC_ENA"}, {"bits": [24, 24], "name": "VS_OUT_MISC_SIDE_BUS_ENA"}, {"bits": [25, 25], "name": "USE_VTX_GS_CUT_FLAG"}, - {"bits": [27, 27], "name": "USE_VTX_LINE_WIDTH"}, - {"bits": [29, 29], "name": "BYPASS_VTX_RATE_COMBINER_GFX103"}, - {"bits": [30, 30], "name": "BYPASS_PRIM_RATE_COMBINER_GFX103"} + {"bits": [26, 26], "name": "USE_VTX_SHD_OBJPRIM_ID"}, + {"bits": [27, 27], "name": "USE_VTX_LINE_WIDTH"} ] }, "PA_CL_VTE_CNTL": { @@ -19559,28 +14943,6 @@ {"bits": [11, 11], "name": "PERFCOUNTER_REF"} ] }, - "PA_PH_PERFCOUNTER0_SELECT": { - "fields": [ - {"bits": [0, 9], "enum_ref": "PH_PERFCNT_SEL", "name": "PERF_SEL"}, - {"bits": [10, 19], "enum_ref": "PH_PERFCNT_SEL", "name": "PERF_SEL1"}, - {"bits": [20, 23], "name": "CNTR_MODE"}, - {"bits": [24, 27], "name": "PERF_MODE1"}, - {"bits": [28, 31], "name": "PERF_MODE"} - ] - }, - "PA_PH_PERFCOUNTER0_SELECT1": { - "fields": [ - {"bits": [0, 9], "enum_ref": "PH_PERFCNT_SEL", "name": "PERF_SEL2"}, - {"bits": [10, 19], "enum_ref": "PH_PERFCNT_SEL", "name": "PERF_SEL3"}, - {"bits": [24, 27], "name": "PERF_MODE3"}, - {"bits": [28, 31], "name": "PERF_MODE2"} - ] - }, - "PA_PH_PERFCOUNTER4_SELECT": { - "fields": [ - {"bits": [0, 9], "enum_ref": "PH_PERFCNT_SEL", "name": "PERF_SEL"} - ] - }, "PA_SC_AA_CONFIG": { "fields": [ {"bits": [0, 2], "name": "MSAA_NUM_SAMPLES"}, @@ -19588,9 +14950,7 @@ {"bits": [13, 16], "name": "MAX_SAMPLE_DIST"}, {"bits": [20, 22], "name": "MSAA_EXPOSED_SAMPLES"}, {"bits": [24, 25], "name": "DETAIL_TO_EXPOSED_MODE"}, - {"bits": [26, 27], "enum_ref": "CovToShaderSel", "name": "COVERAGE_TO_SHADER_SELECT"}, - {"bits": [28, 28], "name": "SAMPLE_COVERAGE_ENCODING_GFX103"}, - {"bits": [29, 29], "name": "COVERED_CENTROID_IS_CENTER_GFX103"} + {"bits": [26, 27], "enum_ref": "CovToShaderSel", "name": "COVERAGE_TO_SHADER_SELECT"} ] }, "PA_SC_AA_MASK_X0Y0_X1Y0": { @@ -19699,12 +15059,6 @@ {"bits": [28, 31], "name": "DISTANCE_15"} ] }, - "PA_SC_CLIPRECT_0_BR": { - "fields": [ - {"bits": [0, 14], "name": "BR_X"}, - {"bits": [16, 30], "name": "BR_Y"} - ] - }, "PA_SC_CLIPRECT_0_TL": { "fields": [ {"bits": [0, 14], "name": "TL_X"}, @@ -19713,7 +15067,7 @@ }, "PA_SC_CLIPRECT_RULE": { "fields": [ - {"bits": [0, 15], "name": "CLIP_RULE"} + {"bits": [0, 15], "enum_ref": "CLIP_RULE", "name": "CLIP_RULE"} ] }, "PA_SC_CONSERVATIVE_RASTERIZATION_CNTL": { @@ -19736,8 +15090,8 @@ {"bits": [22, 22], "name": "PREZ_AA_MASK_ENABLE"}, {"bits": [23, 23], "name": "POSTZ_AA_MASK_ENABLE"}, {"bits": [24, 24], "name": "CENTROID_SAMPLE_OVERRIDE"}, - {"bits": [25, 26], "enum_ref": "ScUncertaintyRegionMult", "name": "UNCERTAINTY_REGION_MULT"}, - {"bits": [27, 28], "enum_ref": "ScUncertaintyRegionMult", "name": "UNCERTAINTY_REGION_PBB_MULT"} + {"bits": [25, 26], "name": "UNCERTAINTY_REGION_MULT"}, + {"bits": [27, 28], "name": "UNCERTAINTY_REGION_PBB_MULT"} ] }, "PA_SC_EDGERULE": { @@ -19751,13 +15105,6 @@ {"bits": [28, 31], "name": "ER_LINE_BT"} ] }, - "PA_SC_GENERIC_SCISSOR_TL": { - "fields": [ - {"bits": [0, 14], "name": "TL_X"}, - {"bits": [16, 30], "name": "TL_Y"}, - {"bits": [31, 31], "name": "WINDOW_OFFSET_DISABLE"} - ] - }, "PA_SC_HORIZ_GRID": { "fields": [ {"bits": [0, 7], "name": "TOP_QTR"}, @@ -19845,31 +15192,19 @@ {"bits": [1, 1], "name": "FORCE_PRE_SHADER_ALL_PIXELS"} ] }, - "PA_SC_P3D_TRAP_SCREEN_V": { - "fields": [ - {"bits": [0, 13], "name": "Y_COORD"} - ] - }, - "PA_SC_PERFCOUNTER0_SELECT": { + "PA_SC_P3D_TRAP_SCREEN_OCCURRENCE": { "fields": [ - {"bits": [0, 9], "enum_ref": "SC_PERFCNT_SEL", "name": "PERF_SEL"}, - {"bits": [10, 19], "enum_ref": "SC_PERFCNT_SEL", "name": "PERF_SEL1"}, - {"bits": [20, 23], "name": "CNTR_MODE"}, - {"bits": [24, 27], "name": "PERF_MODE1"}, - {"bits": [28, 31], "name": "PERF_MODE"} + {"bits": [0, 15], "name": "COUNT"} ] }, - "PA_SC_PERFCOUNTER0_SELECT1": { + "PA_SC_P3D_TRAP_SCREEN_V": { "fields": [ - {"bits": [0, 9], "enum_ref": "SC_PERFCNT_SEL", "name": "PERF_SEL2"}, - {"bits": [10, 19], "enum_ref": "SC_PERFCNT_SEL", "name": "PERF_SEL3"}, - {"bits": [24, 27], "name": "PERF_MODE3"}, - {"bits": [28, 31], "name": "PERF_MODE2"} + {"bits": [0, 13], "name": "Y_COORD"} ] }, "PA_SC_PERFCOUNTER1_SELECT": { "fields": [ - {"bits": [0, 9], "enum_ref": "SC_PERFCNT_SEL", "name": "PERF_SEL"} + {"bits": [0, 9], "name": "PERF_SEL"} ] }, "PA_SC_RASTER_CONFIG": { @@ -19943,6 +15278,7 @@ {"bits": [0, 0], "name": "ENABLE"}, {"bits": [1, 2], "name": "NUM_SE"}, {"bits": [5, 6], "name": "NUM_RB_PER_SE"}, + {"bits": [8, 8], "name": "DISABLE_SRBSL_DB_OPTIMIZED_PACKING"}, {"bits": [12, 13], "name": "NUM_SC"}, {"bits": [16, 17], "name": "NUM_RB_PER_SC"}, {"bits": [20, 20], "name": "NUM_PACKER_PER_SC"} @@ -19964,6 +15300,19 @@ {"bits": [16, 31], "name": "WINDOW_Y_OFFSET"} ] }, + "PA_SC_WINDOW_SCISSOR_BR": { + "fields": [ + {"bits": [0, 14], "name": "BR_X"}, + {"bits": [16, 30], "name": "BR_Y"} + ] + }, + "PA_SC_WINDOW_SCISSOR_TL": { + "fields": [ + {"bits": [0, 14], "name": "TL_X"}, + {"bits": [16, 30], "name": "TL_Y"}, + {"bits": [31, 31], "name": "WINDOW_OFFSET_DISABLE"} + ] + }, "PA_STATE_STEREO_X": { "fields": [ {"bits": [0, 31], "name": "STEREO_X_OFFSET"} @@ -20023,8 +15372,8 @@ }, "PA_SU_PERFCOUNTER0_SELECT": { "fields": [ - {"bits": [0, 9], "enum_ref": "SU_PERFCNT_SEL", "name": "PERF_SEL"}, - {"bits": [10, 19], "enum_ref": "SU_PERFCNT_SEL", "name": "PERF_SEL1"}, + {"bits": [0, 9], "name": "PERF_SEL"}, + {"bits": [10, 19], "name": "PERF_SEL1"}, {"bits": [20, 23], "name": "CNTR_MODE"}, {"bits": [24, 27], "name": "PERF_MODE1"}, {"bits": [28, 31], "name": "PERF_MODE"} @@ -20032,8 +15381,8 @@ }, "PA_SU_PERFCOUNTER0_SELECT1": { "fields": [ - {"bits": [0, 9], "enum_ref": "SU_PERFCNT_SEL", "name": "PERF_SEL2"}, - {"bits": [10, 19], "enum_ref": "SU_PERFCNT_SEL", "name": "PERF_SEL3"}, + {"bits": [0, 9], "name": "PERF_SEL2"}, + {"bits": [10, 19], "name": "PERF_SEL3"}, {"bits": [24, 27], "name": "PERF_MODE3"}, {"bits": [28, 31], "name": "PERF_MODE2"} ] @@ -20086,9 +15435,9 @@ {"bits": [0, 0], "name": "CULL_FRONT"}, {"bits": [1, 1], "name": "CULL_BACK"}, {"bits": [2, 2], "name": "FACE"}, - {"bits": [3, 4], "name": "POLY_MODE"}, - {"bits": [5, 7], "name": "POLYMODE_FRONT_PTYPE"}, - {"bits": [8, 10], "name": "POLYMODE_BACK_PTYPE"}, + {"bits": [3, 4], "enum_ref": "PA_SU_SC_MODE_CNTL__POLY_MODE", "name": "POLY_MODE"}, + {"bits": [5, 7], "enum_ref": "PA_SU_SC_MODE_CNTL__POLYMODE_FRONT_PTYPE", "name": "POLYMODE_FRONT_PTYPE"}, + {"bits": [8, 10], "enum_ref": "PA_SU_SC_MODE_CNTL__POLYMODE_FRONT_PTYPE", "name": "POLYMODE_BACK_PTYPE"}, {"bits": [11, 11], "name": "POLY_OFFSET_FRONT_ENABLE"}, {"bits": [12, 12], "name": "POLY_OFFSET_BACK_ENABLE"}, {"bits": [13, 13], "name": "POLY_OFFSET_PARA_ENABLE"}, @@ -20108,19 +15457,15 @@ {"bits": [2, 2], "name": "LINE_FILTER_DISABLE"}, {"bits": [3, 3], "name": "POINT_FILTER_DISABLE"}, {"bits": [4, 4], "name": "RECTANGLE_FILTER_DISABLE"}, + {"bits": [5, 5], "name": "SRBSL_ENABLE"}, {"bits": [6, 6], "name": "SC_1XMSAA_COMPATIBLE_DISABLE"} ] }, "PA_SU_VTX_CNTL": { "fields": [ {"bits": [0, 0], "name": "PIX_CENTER"}, - {"bits": [1, 2], "name": "ROUND_MODE"}, - {"bits": [3, 5], "name": "QUANT_MODE"} - ] - }, - "RLC_CSIB_ADDR_LO": { - "fields": [ - {"bits": [0, 31], "name": "ADDRESS"} + {"bits": [1, 2], "enum_ref": "PA_SU_VTX_CNTL__ROUND_MODE", "name": "ROUND_MODE"}, + {"bits": [3, 5], "enum_ref": "QUANT_MODE", "name": "QUANT_MODE"} ] }, "RLC_GPM_PERF_COUNT_0": { @@ -20162,7 +15507,7 @@ }, "RLC_PERFMON_CNTL": { "fields": [ - {"bits": [0, 2], "name": "PERFMON_STATE"}, + {"bits": [0, 2], "enum_ref": "CP_PERFMON_STATE", "name": "PERFMON_STATE"}, {"bits": [10, 10], "name": "PERFMON_SAMPLE_ENABLE"} ] }, @@ -20335,6 +15680,12 @@ {"bits": [0, 31], "name": "PERFMON_RING_RDPTR"} ] }, + "RLC_SPM_RING_WRPTR": { + "fields": [ + {"bits": [0, 4], "name": "RESERVED"}, + {"bits": [5, 31], "name": "PERFMON_RING_WRPTR"} + ] + }, "RLC_SPM_SEGMENT_THRESHOLD": { "fields": [ {"bits": [0, 7], "name": "NUM_SEGMENT_THRESHOLD"}, @@ -20369,27 +15720,14 @@ {"bits": [7, 31], "name": "RESERVED"} ] }, - "RMI_PERFCOUNTER0_SELECT": { - "fields": [ - {"bits": [0, 8], "enum_ref": "RMIPerfSel", "name": "PERF_SEL"}, - {"bits": [10, 18], "enum_ref": "RMIPerfSel", "name": "PERF_SEL1"}, - {"bits": [20, 23], "name": "CNTR_MODE"}, - {"bits": [24, 27], "name": "PERF_MODE1"}, - {"bits": [28, 31], "name": "PERF_MODE"} - ] - }, - "RMI_PERFCOUNTER0_SELECT1": { + "RLC_SPM_VIRT_CTRL": { "fields": [ - {"bits": [0, 8], "enum_ref": "RMIPerfSel", "name": "PERF_SEL2"}, - {"bits": [10, 18], "enum_ref": "RMIPerfSel", "name": "PERF_SEL3"}, - {"bits": [24, 27], "name": "PERF_MODE3"}, - {"bits": [28, 31], "name": "PERF_MODE2"} + {"bits": [0, 0], "name": "PauseSpmSamplingRequest"} ] }, - "RMI_PERFCOUNTER1_SELECT": { + "RLC_SPM_VIRT_STATUS": { "fields": [ - {"bits": [0, 8], "enum_ref": "RMIPerfSel", "name": "PERF_SEL"}, - {"bits": [28, 31], "name": "PERF_MODE"} + {"bits": [0, 0], "name": "SpmSamplingPaused"} ] }, "RMI_PERF_COUNTER_CNTL": { @@ -20481,222 +15819,20 @@ {"bits": [30, 31], "name": "PS_PKR_PRIORITY_CNTL"} ] }, - "SPI_CONFIG_CNTL_1": { - "fields": [ - {"bits": [0, 3], "name": "VTX_DONE_DELAY"}, - {"bits": [4, 4], "name": "INTERP_ONE_PRIM_PER_ROW"}, - {"bits": [5, 6], "name": "PC_LIMIT_ENABLE"}, - {"bits": [7, 7], "name": "PC_LIMIT_STRICT"}, - {"bits": [8, 8], "name": "CRC_SIMD_ID_WADDR_DISABLE"}, - {"bits": [9, 9], "name": "LBPW_CU_CHK_MODE"}, - {"bits": [10, 13], "name": "LBPW_CU_CHK_CNT"}, - {"bits": [14, 14], "name": "CSC_PWR_SAVE_DISABLE"}, - {"bits": [15, 15], "name": "CSG_PWR_SAVE_DISABLE"}, - {"bits": [16, 20], "name": "MAX_VTX_SYNC_CNT"} - ] - }, - "SPI_CONFIG_CNTL_2": { - "fields": [ - {"bits": [0, 3], "name": "CONTEXT_SAVE_WAIT_GDS_REQUEST_CYCLE_OVHD"}, - {"bits": [4, 7], "name": "CONTEXT_SAVE_WAIT_GDS_GRANT_CYCLE_OVHD"} - ] - }, "SPI_CONFIG_CNTL_REMAP": { "fields": [ {"bits": [0, 31], "name": "RESERVED"} ] }, - "SPI_CSQ_WF_ACTIVE_COUNT_0": { - "fields": [ - {"bits": [0, 10], "name": "COUNT"}, - {"bits": [16, 26], "name": "EVENTS"} - ] - }, - "SPI_CSQ_WF_ACTIVE_STATUS": { - "fields": [ - {"bits": [0, 31], "name": "ACTIVE"} - ] - }, - "SPI_DEBUG_BUSY": { - "fields": [ - {"bits": [0, 0], "name": "LS_BUSY"}, - {"bits": [1, 1], "name": "HS_BUSY"}, - {"bits": [2, 2], "name": "ES_BUSY"}, - {"bits": [3, 3], "name": "GS_BUSY"}, - {"bits": [4, 4], "name": "VS_BUSY"}, - {"bits": [5, 5], "name": "PS0_BUSY"}, - {"bits": [6, 6], "name": "PS1_BUSY"}, - {"bits": [7, 7], "name": "PS2_BUSY"}, - {"bits": [8, 8], "name": "PS3_BUSY"}, - {"bits": [9, 9], "name": "CSG_BUSY"}, - {"bits": [10, 10], "name": "CS0_BUSY"}, - {"bits": [11, 11], "name": "CS1_BUSY"}, - {"bits": [12, 12], "name": "CS2_BUSY"}, - {"bits": [13, 13], "name": "CS3_BUSY"}, - {"bits": [14, 14], "name": "CS4_BUSY"}, - {"bits": [15, 15], "name": "CS5_BUSY"}, - {"bits": [16, 16], "name": "CS6_BUSY"}, - {"bits": [17, 17], "name": "CS7_BUSY"}, - {"bits": [18, 18], "name": "LDS_WR_CTL0_BUSY"}, - {"bits": [19, 19], "name": "LDS_WR_CTL1_BUSY"}, - {"bits": [20, 20], "name": "PC_DEALLOC_BUSY"}, - {"bits": [21, 21], "name": "OFC_LDS_BUSY"}, - {"bits": [22, 22], "name": "EVENT_CLCTR_BUSY"}, - {"bits": [23, 23], "name": "GRBM_BUSY"}, - {"bits": [24, 24], "name": "SPIS_BUSY"}, - {"bits": [25, 25], "name": "RSRC_ALLOC_BUSY"} - ] - }, - "SPI_DEBUG_CNTL": { - "fields": [ - {"bits": [0, 0], "name": "DEBUG_GRBM_OVERRIDE"}, - {"bits": [1, 3], "name": "DEBUG_THREAD_TYPE_SEL"}, - {"bits": [4, 9], "name": "DEBUG_GROUP_SEL"}, - {"bits": [10, 15], "name": "DEBUG_SIMD_SEL"}, - {"bits": [16, 16], "name": "DEBUG_SH_SEL"}, - {"bits": [17, 17], "name": "SPI_ECO_SPARE_0"}, - {"bits": [18, 18], "name": "SPI_ECO_SPARE_1"}, - {"bits": [19, 19], "name": "SPI_ECO_SPARE_2"}, - {"bits": [20, 20], "name": "SPI_ECO_SPARE_3"}, - {"bits": [21, 21], "name": "CGTS_VBUS_SP0_OVERRIDE"}, - {"bits": [22, 22], "name": "CGTS_VBUS_SP1_OVERRIDE"}, - {"bits": [23, 23], "name": "CGTS_VBUS_LDS_OVERRIDE"}, - {"bits": [24, 24], "name": "CGTT_LEGACY_MODE"}, - {"bits": [25, 27], "name": "DEBUG_PIPE_SEL"}, - {"bits": [28, 29], "name": "DEBUG_PIXEL_PIPE_SEL"}, - {"bits": [30, 30], "name": "BCI_PIPE_PER_STAGE_CG_OVERRIDE"}, - {"bits": [31, 31], "name": "DEBUG_REG_EN"} - ] - }, - "SPI_DEBUG_CNTL_2": { - "fields": [ - {"bits": [0, 0], "name": "ECO_SPARE_0"}, - {"bits": [1, 1], "name": "ECO_SPARE_1"}, - {"bits": [2, 2], "name": "ECO_SPARE_2"}, - {"bits": [3, 3], "name": "ECO_SPARE_3"}, - {"bits": [4, 4], "name": "ECO_SPARE_4"}, - {"bits": [5, 5], "name": "ECO_SPARE_5"}, - {"bits": [6, 6], "name": "ECO_SPARE_6"}, - {"bits": [7, 7], "name": "ECO_SPARE_7"} - ] - }, - "SPI_DSM_CNTL": { - "fields": [ - {"bits": [0, 1], "name": "SPI_SR_MEM_DSM_IRRITATOR_DATA"}, - {"bits": [2, 2], "name": "SPI_SR_MEM_ENABLE_SINGLE_WRITE"} - ] - }, - "SPI_DSM_CNTL2": { - "fields": [ - {"bits": [0, 1], "name": "SPI_SR_MEM_ENABLE_ERROR_INJECT"}, - {"bits": [2, 2], "name": "SPI_SR_MEM_SELECT_INJECT_DELAY"}, - {"bits": [3, 8], "name": "SPI_SR_MEM_INJECT_DELAY"} - ] - }, - "SPI_EDC_CNT": { - "fields": [ - {"bits": [0, 1], "name": "SPI_SR_MEM_SED_COUNT"} - ] - }, - "SPI_GDS_CREDITS": { - "fields": [ - {"bits": [0, 7], "name": "DS_DATA_CREDITS"}, - {"bits": [8, 15], "name": "DS_CMD_CREDITS"} - ] - }, - "SPI_GFX_CNTL": { - "fields": [ - {"bits": [0, 0], "name": "RESET_COUNTS"} - ] - }, "SPI_INTERP_CONTROL_0": { "fields": [ {"bits": [0, 0], "name": "FLAT_SHADE_ENA"}, - {"bits": [1, 1], "name": "PNT_SPRITE_ENA"}, - {"bits": [2, 4], "enum_ref": "SPI_PNT_SPRITE_OVERRIDE", "name": "PNT_SPRITE_OVRD_X"}, - {"bits": [5, 7], "enum_ref": "SPI_PNT_SPRITE_OVERRIDE", "name": "PNT_SPRITE_OVRD_Y"}, - {"bits": [8, 10], "enum_ref": "SPI_PNT_SPRITE_OVERRIDE", "name": "PNT_SPRITE_OVRD_Z"}, - {"bits": [11, 13], "enum_ref": "SPI_PNT_SPRITE_OVERRIDE", "name": "PNT_SPRITE_OVRD_W"}, - {"bits": [14, 14], "name": "PNT_SPRITE_TOP_1"} - ] - }, - "SPI_LB_CTR_CTRL": { - "fields": [ - {"bits": [0, 0], "name": "LOAD"}, - {"bits": [1, 2], "enum_ref": "SPI_LB_WAVES_SELECT", "name": "WAVES_SELECT"}, - {"bits": [3, 3], "name": "CLEAR_ON_READ"}, - {"bits": [4, 4], "name": "RESET_COUNTS"} - ] - }, - "SPI_LB_DATA_PERWGP_WAVE_CS": { - "fields": [ - {"bits": [0, 15], "name": "ACTIVE"} - ] - }, - "SPI_LB_DATA_PERWGP_WAVE_HSGS": { - "fields": [ - {"bits": [0, 15], "name": "WGP_USED_HS"}, - {"bits": [16, 31], "name": "WGP_USED_GS"} - ] - }, - "SPI_LB_DATA_PERWGP_WAVE_VSPS": { - "fields": [ - {"bits": [0, 15], "name": "WGP_USED_VS"}, - {"bits": [16, 31], "name": "WGP_USED_PS"} - ] - }, - "SPI_LB_DATA_REG": { - "fields": [ - {"bits": [0, 31], "name": "CNT_DATA"} - ] - }, - "SPI_LB_DATA_WAVES": { - "fields": [ - {"bits": [0, 15], "name": "COUNT0"}, - {"bits": [16, 31], "name": "COUNT1"} - ] - }, - "SPI_LB_WGP_MASK": { - "fields": [ - {"bits": [0, 15], "name": "WGP_MASK"} - ] - }, - "SPI_P0_TRAP_SCREEN_GPR_MIN": { - "fields": [ - {"bits": [0, 5], "name": "VGPR_MIN"}, - {"bits": [6, 9], "name": "SGPR_MIN"} - ] - }, - "SPI_P0_TRAP_SCREEN_PSBA_HI": { - "fields": [ - {"bits": [0, 7], "name": "MEM_BASE"} - ] - }, - "SPI_P0_TRAP_SCREEN_PSBA_LO": { - "fields": [ - {"bits": [0, 31], "name": "MEM_BASE"} - ] - }, - "SPI_PERFCOUNTER0_SELECT": { - "fields": [ - {"bits": [0, 9], "enum_ref": "SPI_PERFCNT_SEL", "name": "PERF_SEL"}, - {"bits": [10, 19], "enum_ref": "SPI_PERFCNT_SEL", "name": "PERF_SEL1"}, - {"bits": [20, 23], "name": "CNTR_MODE"}, - {"bits": [24, 27], "name": "PERF_MODE1"}, - {"bits": [28, 31], "name": "PERF_MODE"} - ] - }, - "SPI_PERFCOUNTER0_SELECT1": { - "fields": [ - {"bits": [0, 9], "enum_ref": "SPI_PERFCNT_SEL", "name": "PERF_SEL2"}, - {"bits": [10, 19], "enum_ref": "SPI_PERFCNT_SEL", "name": "PERF_SEL3"}, - {"bits": [24, 27], "name": "PERF_MODE3"}, - {"bits": [28, 31], "name": "PERF_MODE2"} - ] - }, - "SPI_PERFCOUNTER4_SELECT": { - "fields": [ - {"bits": [0, 9], "enum_ref": "SPI_PERFCNT_SEL", "name": "PERF_SEL"} + {"bits": [1, 1], "name": "PNT_SPRITE_ENA"}, + {"bits": [2, 4], "enum_ref": "SPI_PNT_SPRITE_OVERRIDE", "name": "PNT_SPRITE_OVRD_X"}, + {"bits": [5, 7], "enum_ref": "SPI_PNT_SPRITE_OVERRIDE", "name": "PNT_SPRITE_OVRD_Y"}, + {"bits": [8, 10], "enum_ref": "SPI_PNT_SPRITE_OVERRIDE", "name": "PNT_SPRITE_OVRD_Z"}, + {"bits": [11, 13], "enum_ref": "SPI_PNT_SPRITE_OVERRIDE", "name": "PNT_SPRITE_OVRD_W"}, + {"bits": [14, 14], "name": "PNT_SPRITE_TOP_1"} ] }, "SPI_PERFCOUNTER_BINS": { @@ -20770,12 +15906,6 @@ {"bits": [15, 15], "name": "PS_W32_EN"} ] }, - "SPI_PS_MAX_WAVE_ID": { - "fields": [ - {"bits": [0, 11], "name": "MAX_WAVE_ID"}, - {"bits": [16, 25], "name": "MAX_COLLISION_WAVE_ID"} - ] - }, "SPI_SHADER_COL_FORMAT": { "fields": [ {"bits": [0, 3], "enum_ref": "SPI_SHADER_EX_FORMAT", "name": "COL0_EXPORT_FORMAT"}, @@ -20798,19 +15928,32 @@ {"bits": [0, 5], "name": "LIMIT"} ] }, + "SPI_SHADER_PGM_CHKSUM_PS": { + "fields": [ + {"bits": [0, 31], "name": "CHECKSUM"} + ] + }, + "SPI_SHADER_PGM_HI_PS": { + "fields": [ + {"bits": [0, 7], "name": "MEM_BASE"} + ] + }, + "SPI_SHADER_PGM_LO_PS": { + "fields": [ + {"bits": [0, 31], "name": "MEM_BASE"} + ] + }, "SPI_SHADER_PGM_RSRC1_ES": { "fields": [ {"bits": [0, 5], "name": "VGPRS"}, {"bits": [6, 9], "name": "SGPRS"}, {"bits": [10, 11], "name": "PRIORITY"}, - {"bits": [12, 19], "name": "FLOAT_MODE"}, + {"bits": [12, 19], "enum_ref": "FLOAT_MODE", "name": "FLOAT_MODE"}, {"bits": [20, 20], "name": "PRIV"}, {"bits": [21, 21], "name": "DX10_CLAMP"}, - {"bits": [22, 22], "name": "DEBUG_MODE"}, {"bits": [23, 23], "name": "IEEE_MODE"}, {"bits": [24, 25], "name": "VGPR_COMP_CNT"}, {"bits": [26, 26], "name": "CU_GROUP_ENABLE"}, - {"bits": [30, 30], "name": "CDBG_USER"}, {"bits": [31, 31], "name": "FP16_OVFL"} ] }, @@ -20819,16 +15962,14 @@ {"bits": [0, 5], "name": "VGPRS"}, {"bits": [6, 9], "name": "SGPRS"}, {"bits": [10, 11], "name": "PRIORITY"}, - {"bits": [12, 19], "name": "FLOAT_MODE"}, + {"bits": [12, 19], "enum_ref": "FLOAT_MODE", "name": "FLOAT_MODE"}, {"bits": [20, 20], "name": "PRIV"}, {"bits": [21, 21], "name": "DX10_CLAMP"}, - {"bits": [22, 22], "name": "DEBUG_MODE"}, {"bits": [23, 23], "name": "IEEE_MODE"}, {"bits": [24, 24], "name": "CU_GROUP_ENABLE"}, {"bits": [25, 25], "name": "MEM_ORDERED"}, {"bits": [26, 26], "name": "FWD_PROGRESS"}, {"bits": [27, 27], "name": "WGP_MODE"}, - {"bits": [28, 28], "name": "CDBG_USER"}, {"bits": [29, 30], "name": "GS_VGPR_COMP_CNT"}, {"bits": [31, 31], "name": "FP16_OVFL"} ] @@ -20838,15 +15979,13 @@ {"bits": [0, 5], "name": "VGPRS"}, {"bits": [6, 9], "name": "SGPRS"}, {"bits": [10, 11], "name": "PRIORITY"}, - {"bits": [12, 19], "name": "FLOAT_MODE"}, + {"bits": [12, 19], "enum_ref": "FLOAT_MODE", "name": "FLOAT_MODE"}, {"bits": [20, 20], "name": "PRIV"}, {"bits": [21, 21], "name": "DX10_CLAMP"}, - {"bits": [22, 22], "name": "DEBUG_MODE"}, {"bits": [23, 23], "name": "IEEE_MODE"}, {"bits": [24, 24], "name": "MEM_ORDERED"}, {"bits": [25, 25], "name": "FWD_PROGRESS"}, {"bits": [26, 26], "name": "WGP_MODE"}, - {"bits": [27, 27], "name": "CDBG_USER"}, {"bits": [28, 29], "name": "LS_VGPR_COMP_CNT"}, {"bits": [30, 30], "name": "FP16_OVFL"} ] @@ -20856,13 +15995,11 @@ {"bits": [0, 5], "name": "VGPRS"}, {"bits": [6, 9], "name": "SGPRS"}, {"bits": [10, 11], "name": "PRIORITY"}, - {"bits": [12, 19], "name": "FLOAT_MODE"}, + {"bits": [12, 19], "enum_ref": "FLOAT_MODE", "name": "FLOAT_MODE"}, {"bits": [20, 20], "name": "PRIV"}, {"bits": [21, 21], "name": "DX10_CLAMP"}, - {"bits": [22, 22], "name": "DEBUG_MODE"}, {"bits": [23, 23], "name": "IEEE_MODE"}, {"bits": [24, 25], "name": "VGPR_COMP_CNT"}, - {"bits": [29, 29], "name": "CDBG_USER"}, {"bits": [30, 30], "name": "FP16_OVFL"} ] }, @@ -20871,15 +16008,13 @@ {"bits": [0, 5], "name": "VGPRS"}, {"bits": [6, 9], "name": "SGPRS"}, {"bits": [10, 11], "name": "PRIORITY"}, - {"bits": [12, 19], "name": "FLOAT_MODE"}, + {"bits": [12, 19], "enum_ref": "FLOAT_MODE", "name": "FLOAT_MODE"}, {"bits": [20, 20], "name": "PRIV"}, {"bits": [21, 21], "name": "DX10_CLAMP"}, - {"bits": [22, 22], "name": "DEBUG_MODE"}, {"bits": [23, 23], "name": "IEEE_MODE"}, {"bits": [24, 24], "name": "CU_GROUP_DISABLE"}, {"bits": [25, 25], "name": "MEM_ORDERED"}, {"bits": [26, 26], "name": "FWD_PROGRESS"}, - {"bits": [28, 28], "name": "CDBG_USER"}, {"bits": [29, 29], "name": "FP16_OVFL"} ] }, @@ -20888,16 +16023,14 @@ {"bits": [0, 5], "name": "VGPRS"}, {"bits": [6, 9], "name": "SGPRS"}, {"bits": [10, 11], "name": "PRIORITY"}, - {"bits": [12, 19], "name": "FLOAT_MODE"}, + {"bits": [12, 19], "enum_ref": "FLOAT_MODE", "name": "FLOAT_MODE"}, {"bits": [20, 20], "name": "PRIV"}, {"bits": [21, 21], "name": "DX10_CLAMP"}, - {"bits": [22, 22], "name": "DEBUG_MODE"}, {"bits": [23, 23], "name": "IEEE_MODE"}, {"bits": [24, 25], "name": "VGPR_COMP_CNT"}, {"bits": [26, 26], "name": "CU_GROUP_ENABLE"}, {"bits": [27, 27], "name": "MEM_ORDERED"}, {"bits": [28, 28], "name": "FWD_PROGRESS"}, - {"bits": [30, 30], "name": "CDBG_USER"}, {"bits": [31, 31], "name": "FP16_OVFL"} ] }, @@ -20907,7 +16040,7 @@ {"bits": [1, 5], "name": "USER_SGPR"}, {"bits": [6, 6], "name": "TRAP_PRESENT"}, {"bits": [7, 7], "name": "OC_LDS_EN"}, - {"bits": [8, 16], "name": "EXCP_EN"}, + {"bits": [8, 16], "enum_ref": "EXCP_EN", "name": "EXCP_EN"}, {"bits": [20, 28], "name": "LDS_SIZE"} ] }, @@ -20916,7 +16049,7 @@ {"bits": [0, 0], "name": "SCRATCH_EN"}, {"bits": [1, 5], "name": "USER_SGPR"}, {"bits": [6, 6], "name": "TRAP_PRESENT"}, - {"bits": [7, 15], "name": "EXCP_EN"}, + {"bits": [7, 15], "enum_ref": "EXCP_EN", "name": "EXCP_EN"}, {"bits": [16, 17], "name": "ES_VGPR_COMP_CNT"}, {"bits": [18, 18], "name": "OC_LDS_EN"}, {"bits": [19, 26], "name": "LDS_SIZE"}, @@ -20929,7 +16062,7 @@ {"bits": [0, 0], "name": "SCRATCH_EN"}, {"bits": [1, 5], "name": "USER_SGPR"}, {"bits": [6, 6], "name": "TRAP_PRESENT"}, - {"bits": [7, 15], "name": "EXCP_EN"}, + {"bits": [7, 15], "enum_ref": "EXCP_EN", "name": "EXCP_EN"}, {"bits": [16, 17], "name": "VGPR_COMP_CNT"}, {"bits": [18, 18], "name": "OC_LDS_EN"}, {"bits": [19, 26], "name": "LDS_SIZE"}, @@ -20944,7 +16077,7 @@ {"bits": [6, 6], "name": "TRAP_PRESENT"}, {"bits": [7, 7], "name": "OC_LDS_EN"}, {"bits": [8, 8], "name": "TG_SIZE_EN"}, - {"bits": [9, 17], "name": "EXCP_EN"}, + {"bits": [9, 17], "enum_ref": "EXCP_EN", "name": "EXCP_EN"}, {"bits": [18, 26], "name": "LDS_SIZE"}, {"bits": [27, 27], "name": "USER_SGPR_MSB"}, {"bits": [28, 31], "name": "SHARED_VGPR_CNT"} @@ -20956,7 +16089,7 @@ {"bits": [1, 5], "name": "USER_SGPR"}, {"bits": [6, 6], "name": "TRAP_PRESENT"}, {"bits": [7, 15], "name": "LDS_SIZE"}, - {"bits": [16, 24], "name": "EXCP_EN"} + {"bits": [16, 24], "enum_ref": "EXCP_EN", "name": "EXCP_EN"} ] }, "SPI_SHADER_PGM_RSRC2_PS": { @@ -20966,7 +16099,7 @@ {"bits": [6, 6], "name": "TRAP_PRESENT"}, {"bits": [7, 7], "name": "WAVE_CNT_EN"}, {"bits": [8, 15], "name": "EXTRA_LDS_SIZE"}, - {"bits": [16, 24], "name": "EXCP_EN"}, + {"bits": [16, 24], "enum_ref": "EXCP_EN", "name": "EXCP_EN"}, {"bits": [25, 25], "name": "LOAD_COLLISION_WAVEID"}, {"bits": [26, 26], "name": "LOAD_INTRAWAVE_COLLISION"}, {"bits": [27, 27], "name": "USER_SGPR_MSB"}, @@ -20984,7 +16117,7 @@ {"bits": [10, 10], "name": "SO_BASE2_EN"}, {"bits": [11, 11], "name": "SO_BASE3_EN"}, {"bits": [12, 12], "name": "SO_EN"}, - {"bits": [13, 21], "name": "EXCP_EN"}, + {"bits": [13, 21], "enum_ref": "EXCP_EN", "name": "EXCP_EN"}, {"bits": [22, 22], "name": "PC_BASE_EN"}, {"bits": [24, 24], "name": "DISPATCH_DRAW_EN"}, {"bits": [27, 27], "name": "USER_SGPR_MSB"}, @@ -21034,7 +16167,16 @@ {"bits": [16, 19], "enum_ref": "SPI_SHADER_FORMAT", "name": "POS4_EXPORT_FORMAT"} ] }, - "SPI_SHADER_REQ_CTRL_ESGS": { + "SPI_SHADER_PREF_PRI_CNTR_CTRL_PS": { + "fields": [ + {"bits": [0, 2], "name": "TOTAL_WAVE_COUNT_HIER_SELECT"}, + {"bits": [3, 5], "name": "PER_TYPE_WAVE_COUNT_HIER_SELECT"}, + {"bits": [6, 6], "name": "GROUP_UPDATE_EN"}, + {"bits": [8, 15], "name": "TOTAL_WAVE_COUNT_COEFFICIENT"}, + {"bits": [16, 23], "name": "PER_TYPE_WAVE_COUNT_COEFFICIENT"} + ] + }, + "SPI_SHADER_REQ_CTRL_PS": { "fields": [ {"bits": [0, 0], "name": "SOFT_GROUPING_EN"}, {"bits": [1, 4], "name": "NUMBER_OF_REQUESTS_PER_CU"}, @@ -21046,44 +16188,19 @@ {"bits": [17, 19], "name": "ALLOCATION_RATE_THROTTLING_THRESHOLD"} ] }, - "SPI_SHADER_Z_FORMAT": { - "fields": [ - {"bits": [0, 3], "enum_ref": "SPI_SHADER_EX_FORMAT", "name": "Z_EXPORT_FORMAT"} - ] - }, - "SPI_SLAVE_DEBUG_BUSY": { - "fields": [ - {"bits": [0, 0], "name": "LS_VTX_BUSY"}, - {"bits": [1, 1], "name": "HS_VTX_BUSY"}, - {"bits": [2, 2], "name": "ES_VTX_BUSY"}, - {"bits": [3, 3], "name": "GS_VTX_BUSY"}, - {"bits": [4, 4], "name": "VS_VTX_BUSY"}, - {"bits": [5, 5], "name": "VGPR_WC00_BUSY"}, - {"bits": [6, 6], "name": "VGPR_WC01_BUSY"}, - {"bits": [7, 7], "name": "SGPR_WC00_BUSY"}, - {"bits": [8, 8], "name": "SGPR_WC01_BUSY"}, - {"bits": [9, 9], "name": "WAVEBUFFER_BUSY"}, - {"bits": [10, 10], "name": "WAVE_WR_WCTL_BUSY"}, - {"bits": [11, 11], "name": "EVENT_CNTL_BUSY"}, - {"bits": [12, 12], "name": "SAVE_CTX_BUSY"}, - {"bits": [13, 13], "name": "WR_CTL_MUX_BUSY"} - ] - }, - "SPI_START_PHASE": { + "SPI_SHADER_USER_ACCUM_PS_0": { "fields": [ - {"bits": [0, 1], "name": "PC_X_PHASE"} + {"bits": [0, 6], "name": "CONTRIBUTION"} ] }, - "SPI_SX_EXPORT_BUFFER_SIZES": { + "SPI_SHADER_USER_DATA_PS_0": { "fields": [ - {"bits": [0, 15], "name": "COLOR_BUFFER_SIZE"}, - {"bits": [16, 31], "name": "POSITION_BUFFER_SIZE"} + {"bits": [0, 31], "name": "DATA"} ] }, - "SPI_SX_SCOREBOARD_BUFFER_SIZES": { + "SPI_SHADER_Z_FORMAT": { "fields": [ - {"bits": [0, 15], "name": "COLOR_SCOREBOARD_SIZE"}, - {"bits": [16, 31], "name": "POSITION_SCOREBOARD_SIZE"} + {"bits": [0, 3], "enum_ref": "SPI_SHADER_EX_FORMAT", "name": "Z_EXPORT_FORMAT"} ] }, "SPI_VS_OUT_CONFIG": { @@ -21093,38 +16210,6 @@ {"bits": [7, 7], "name": "NO_PC_EXPORT"} ] }, - "SPI_WAVE_LIMIT_CNTL": { - "fields": [ - {"bits": [0, 1], "name": "PS_WAVE_GRAN"}, - {"bits": [2, 3], "name": "VS_WAVE_GRAN"}, - {"bits": [4, 5], "name": "GS_WAVE_GRAN"}, - {"bits": [6, 7], "name": "HS_WAVE_GRAN"} - ] - }, - "SPI_WF_LIFETIME_CNTL": { - "fields": [ - {"bits": [0, 3], "name": "SAMPLE_PERIOD"}, - {"bits": [4, 4], "name": "EN"} - ] - }, - "SPI_WF_LIFETIME_DEBUG": { - "fields": [ - {"bits": [0, 30], "name": "START_VALUE"}, - {"bits": [31, 31], "name": "OVERRIDE_EN"} - ] - }, - "SPI_WF_LIFETIME_LIMIT_0": { - "fields": [ - {"bits": [0, 30], "name": "MAX_CNT"}, - {"bits": [31, 31], "name": "EN_WARN"} - ] - }, - "SPI_WF_LIFETIME_STATUS_0": { - "fields": [ - {"bits": [0, 30], "name": "MAX_CNT"}, - {"bits": [31, 31], "name": "INT_SENT"} - ] - }, "SQC_CACHES": { "fields": [ {"bits": [0, 0], "name": "TARGET_INST"}, @@ -21144,7 +16229,7 @@ }, "SQ_PERFCOUNTER0_SELECT": { "fields": [ - {"bits": [0, 8], "enum_ref": "SQ_PERF_SEL", "name": "PERF_SEL"}, + {"bits": [0, 8], "name": "PERF_SEL"}, {"bits": [12, 15], "name": "SQC_BANK_MASK"}, {"bits": [20, 23], "name": "SPM_MODE"}, {"bits": [28, 31], "name": "PERF_MODE"} @@ -21153,11 +16238,11 @@ "SQ_PERFCOUNTER_CTRL": { "fields": [ {"bits": [0, 0], "name": "PS_EN"}, - {"bits": [1, 1], "name": "VS_EN"}, - {"bits": [2, 2], "name": "GS_EN"}, - {"bits": [3, 3], "name": "ES_EN"}, - {"bits": [4, 4], "name": "HS_EN"}, - {"bits": [5, 5], "name": "LS_EN"}, + {"bits": [1, 1], "enum_ref": "VGT_STAGES_VS_EN", "name": "VS_EN"}, + {"bits": [2, 2], "enum_ref": "VGT_STAGES_GS_EN", "name": "GS_EN"}, + {"bits": [3, 3], "enum_ref": "VGT_STAGES_ES_EN", "name": "ES_EN"}, + {"bits": [4, 4], "enum_ref": "VGT_STAGES_HS_EN", "name": "HS_EN"}, + {"bits": [5, 5], "enum_ref": "VGT_STAGES_LS_EN", "name": "LS_EN"}, {"bits": [6, 6], "name": "CS_EN"}, {"bits": [8, 9], "name": "CNTR_RATE"}, {"bits": [13, 13], "name": "DISABLE_FLUSH"} @@ -21179,33 +16264,11 @@ {"bits": [8, 29], "name": "SIZE"} ] }, - "SQ_THREAD_TRACE_WPTR": { - "fields": [ - {"bits": [0, 28], "name": "OFFSET"}, - {"bits": [31, 31], "name": "BUFFER_ID"} - ] - }, - "SQ_THREAD_TRACE_MASK": { - "fields": [ - {"bits": [0, 1], "name": "SIMD_SEL"}, - {"bits": [4, 7], "name": "WGP_SEL"}, - {"bits": [9, 9], "name": "SA_SEL"}, - {"bits": [10, 16], "name": "WTYPE_INCLUDE"} - ] - }, - "SQ_THREAD_TRACE_TOKEN_MASK": { - "fields": [ - {"bits": [0, 11], "enum_ref": "ThreadTraceTokenExclude", "name": "TOKEN_EXCLUDE"}, - {"bits": [16, 23], "enum_ref": "ThreadTraceRegInclude", "name": "REG_INCLUDE"}, - {"bits": [24, 25], "name": "INST_EXCLUDE"}, - {"bits": [31, 31], "name": "REG_DETAIL_ALL"} - ] - }, "SQ_THREAD_TRACE_CTRL": { "fields": [ {"bits": [0, 1], "name": "MODE"}, {"bits": [2, 2], "name": "ALL_VMID"}, - {"bits": [3, 3], "name": "CH_PERF_END"}, + {"bits": [3, 3], "name": "CH_PERF_EN"}, {"bits": [4, 4], "name": "INTERRUPT_EN"}, {"bits": [5, 5], "name": "DOUBLE_BUFFER"}, {"bits": [6, 8], "name": "HIWATER"}, @@ -21222,6 +16285,19 @@ {"bits": [31, 31], "name": "DRAW_EVENT_EN"} ] }, + "SQ_THREAD_TRACE_DROPPED_CNTR": { + "fields": [ + {"bits": [0, 31], "name": "CNTR"} + ] + }, + "SQ_THREAD_TRACE_MASK": { + "fields": [ + {"bits": [0, 1], "name": "SIMD_SEL"}, + {"bits": [4, 7], "name": "WGP_SEL"}, + {"bits": [9, 9], "name": "SA_SEL"}, + {"bits": [10, 16], "name": "WTYPE_INCLUDE"} + ] + }, "SQ_THREAD_TRACE_STATUS": { "fields": [ {"bits": [0, 11], "name": "FINISH_PENDING"}, @@ -21232,9 +16308,219 @@ {"bits": [27, 27], "name": "EVENT_CNTR_STALL"} ] }, - "SQ_THREAD_TRACE_DROPPED_CNTR": { + "SQ_THREAD_TRACE_TOKEN_MASK": { "fields": [ - {"bits": [0, 31], "name": "CNTR"} + {"bits": [0, 11], "enum_ref": "ThreadTraceTokenExclude", "name": "TOKEN_EXCLUDE"}, + {"bits": [16, 23], "enum_ref": "ThreadTraceRegInclude", "name": "REG_INCLUDE"}, + {"bits": [24, 25], "name": "INST_EXCLUDE"}, + {"bits": [31, 31], "name": "REG_DETAIL_ALL"} + ] + }, + "SQ_THREAD_TRACE_WPTR": { + "fields": [ + {"bits": [0, 28], "name": "OFFSET"}, + {"bits": [31, 31], "name": "BUFFER_ID"} + ] + }, + "SQ_WAVE_EXEC_HI": { + "fields": [ + {"bits": [0, 31], "name": "EXEC_HI"} + ] + }, + "SQ_WAVE_EXEC_LO": { + "fields": [ + {"bits": [0, 31], "name": "EXEC_LO"} + ] + }, + "SQ_WAVE_FLAT_XNACK_MASK": { + "fields": [ + {"bits": [0, 31], "name": "MASK"} + ] + }, + "SQ_WAVE_FLUSH_IB": { + "fields": [ + {"bits": [0, 31], "name": "UNUSED"} + ] + }, + "SQ_WAVE_GPR_ALLOC": { + "fields": [ + {"bits": [0, 7], "name": "VGPR_BASE"}, + {"bits": [8, 15], "name": "VGPR_SIZE"}, + {"bits": [16, 23], "name": "SGPR_BASE"}, + {"bits": [24, 27], "name": "SGPR_SIZE"} + ] + }, + "SQ_WAVE_HW_ID1": { + "fields": [ + {"bits": [0, 4], "name": "WAVE_ID"}, + {"bits": [8, 9], "name": "SIMD_ID"}, + {"bits": [10, 13], "name": "WGP_ID"}, + {"bits": [16, 16], "name": "SA_ID"}, + {"bits": [18, 19], "name": "SE_ID"} + ] + }, + "SQ_WAVE_HW_ID2": { + "fields": [ + {"bits": [0, 3], "name": "QUEUE_ID"}, + {"bits": [4, 5], "name": "PIPE_ID"}, + {"bits": [8, 9], "name": "ME_ID"}, + {"bits": [12, 14], "name": "STATE_ID"}, + {"bits": [16, 20], "name": "WG_ID"}, + {"bits": [24, 27], "name": "VM_ID"}, + {"bits": [29, 30], "name": "COMPAT_LEVEL"} + ] + }, + "SQ_WAVE_HW_ID_LEGACY": { + "fields": [ + {"bits": [0, 3], "name": "WAVE_ID"}, + {"bits": [4, 5], "name": "SIMD_ID"}, + {"bits": [6, 7], "name": "PIPE_ID"}, + {"bits": [8, 11], "name": "CU_ID"}, + {"bits": [12, 12], "name": "SH_ID"}, + {"bits": [13, 14], "name": "SE_ID"}, + {"bits": [15, 15], "name": "WAVE_ID_MSB"}, + {"bits": [16, 19], "name": "TG_ID"}, + {"bits": [20, 23], "name": "VM_ID"}, + {"bits": [24, 26], "name": "QUEUE_ID"}, + {"bits": [27, 29], "name": "STATE_ID"}, + {"bits": [30, 31], "name": "ME_ID"} + ] + }, + "SQ_WAVE_IB_DBG1": { + "fields": [ + {"bits": [0, 0], "name": "XNACK_ERROR"}, + {"bits": [1, 1], "name": "XNACK"}, + {"bits": [2, 2], "name": "TA_NEED_RESET"}, + {"bits": [3, 3], "name": "XNACK_OVERRIDE"}, + {"bits": [4, 9], "name": "XCNT"}, + {"bits": [11, 16], "name": "QCNT"}, + {"bits": [18, 23], "name": "RCNT"}, + {"bits": [24, 24], "name": "WAVE_IDLE"}, + {"bits": [25, 31], "name": "MISC_CNT"} + ] + }, + "SQ_WAVE_IB_STS": { + "fields": [ + {"bits": [0, 3], "name": "VM_CNT"}, + {"bits": [4, 6], "name": "EXP_CNT"}, + {"bits": [7, 7], "name": "LGKM_CNT_BIT4"}, + {"bits": [8, 11], "name": "LGKM_CNT"}, + {"bits": [12, 14], "name": "VALU_CNT"}, + {"bits": [15, 15], "name": "FIRST_REPLAY"}, + {"bits": [16, 21], "name": "RCNT"}, + {"bits": [22, 23], "name": "VM_CNT_HI"}, + {"bits": [24, 24], "name": "LGKM_CNT_BIT5"}, + {"bits": [25, 25], "name": "REPLAY_W64H"}, + {"bits": [26, 31], "name": "VS_CNT"} + ] + }, + "SQ_WAVE_IB_STS2": { + "fields": [ + {"bits": [0, 1], "name": "INST_PREFETCH"}, + {"bits": [7, 7], "name": "RESOURCE_OVERRIDE"}, + {"bits": [8, 9], "name": "MEM_ORDER"}, + {"bits": [10, 10], "name": "FWD_PROGRESS"}, + {"bits": [11, 11], "name": "WAVE64"}, + {"bits": [12, 12], "name": "WAVE64HI"}, + {"bits": [13, 13], "name": "SUBV_LOOP"} + ] + }, + "SQ_WAVE_INST_DW0": { + "fields": [ + {"bits": [0, 31], "name": "INST_DW0"} + ] + }, + "SQ_WAVE_LDS_ALLOC": { + "fields": [ + {"bits": [0, 8], "name": "LDS_BASE"}, + {"bits": [12, 20], "name": "LDS_SIZE"}, + {"bits": [24, 27], "name": "VGPR_SHARED_SIZE"} + ] + }, + "SQ_WAVE_M0": { + "fields": [ + {"bits": [0, 31], "name": "M0"} + ] + }, + "SQ_WAVE_MODE": { + "fields": [ + {"bits": [0, 3], "name": "FP_ROUND"}, + {"bits": [4, 7], "name": "FP_DENORM"}, + {"bits": [8, 8], "name": "DX10_CLAMP"}, + {"bits": [9, 9], "name": "IEEE"}, + {"bits": [10, 10], "name": "LOD_CLAMPED"}, + {"bits": [12, 20], "enum_ref": "EXCP_EN", "name": "EXCP_EN"}, + {"bits": [23, 23], "name": "FP16_OVFL"}, + {"bits": [27, 27], "name": "DISABLE_PERF"}, + {"bits": [28, 28], "name": "VSKIP"}, + {"bits": [29, 31], "name": "CSP"} + ] + }, + "SQ_WAVE_PC_HI": { + "fields": [ + {"bits": [0, 15], "name": "PC_HI"} + ] + }, + "SQ_WAVE_PC_LO": { + "fields": [ + {"bits": [0, 31], "name": "PC_LO"} + ] + }, + "SQ_WAVE_POPS_PACKER": { + "fields": [ + {"bits": [0, 0], "name": "POPS_EN"}, + {"bits": [1, 2], "name": "POPS_PACKER_ID"} + ] + }, + "SQ_WAVE_SCHED_MODE": { + "fields": [ + {"bits": [0, 1], "name": "DEP_MODE"} + ] + }, + "SQ_WAVE_STATUS": { + "fields": [ + {"bits": [0, 0], "name": "SCC"}, + {"bits": [1, 2], "name": "SPI_PRIO"}, + {"bits": [3, 4], "name": "USER_PRIO"}, + {"bits": [5, 5], "name": "PRIV"}, + {"bits": [6, 6], "name": "TRAP_EN"}, + {"bits": [7, 7], "name": "TTRACE_EN"}, + {"bits": [8, 8], "name": "EXPORT_RDY"}, + {"bits": [9, 9], "name": "EXECZ"}, + {"bits": [10, 10], "name": "VCCZ"}, + {"bits": [11, 11], "name": "IN_TG"}, + {"bits": [12, 12], "name": "IN_BARRIER"}, + {"bits": [13, 13], "name": "HALT"}, + {"bits": [14, 14], "name": "TRAP"}, + {"bits": [15, 15], "name": "TTRACE_SIMD_EN"}, + {"bits": [16, 16], "name": "VALID"}, + {"bits": [17, 17], "name": "ECC_ERR"}, + {"bits": [18, 18], "name": "SKIP_EXPORT"}, + {"bits": [19, 19], "name": "PERF_EN"}, + {"bits": [23, 23], "name": "FATAL_HALT"}, + {"bits": [27, 27], "name": "MUST_EXPORT"} + ] + }, + "SQ_WAVE_TRAPSTS": { + "fields": [ + {"bits": [0, 8], "enum_ref": "EXCP_EN", "name": "EXCP"}, + {"bits": [10, 10], "name": "SAVECTX"}, + {"bits": [11, 11], "name": "ILLEGAL_INST"}, + {"bits": [12, 14], "name": "EXCP_HI"}, + {"bits": [15, 15], "name": "BUFFER_OOB"}, + {"bits": [16, 19], "name": "EXCP_CYCLE"}, + {"bits": [20, 23], "name": "EXCP_GROUP_MASK"}, + {"bits": [24, 24], "name": "EXCP_WAVE64HI"}, + {"bits": [28, 28], "name": "XNACK_ERROR"}, + {"bits": [29, 31], "name": "DP_RATE"} + ] + }, + "SQ_WAVE_VGPR_OFFSET": { + "fields": [ + {"bits": [0, 5], "name": "SRC0"}, + {"bits": [6, 11], "name": "SRC1"}, + {"bits": [12, 17], "name": "SRC2"}, + {"bits": [18, 23], "name": "DST"} ] }, "SX_BLEND_OPT_CONTROL": { @@ -21260,7 +16546,7 @@ }, "SX_BLEND_OPT_EPSILON": { "fields": [ - {"bits": [0, 3], "name": "MRT0_EPSILON"}, + {"bits": [0, 3], "enum_ref": "SX_BLEND_OPT_EPSILON__MRT0_EPSILON", "name": "MRT0_EPSILON"}, {"bits": [4, 7], "name": "MRT1_EPSILON"}, {"bits": [8, 11], "name": "MRT2_EPSILON"}, {"bits": [12, 15], "name": "MRT3_EPSILON"}, @@ -21270,344 +16556,6 @@ {"bits": [28, 31], "name": "MRT7_EPSILON"} ] }, - "SX_DEBUG_1": { - "fields": [ - {"bits": [0, 6], "name": "SX_DB_QUAD_CREDIT"}, - {"bits": [8, 8], "name": "DISABLE_BLEND_OPT_DONT_RD_DST"}, - {"bits": [9, 9], "name": "DISABLE_BLEND_OPT_BYPASS"}, - {"bits": [10, 10], "name": "DISABLE_BLEND_OPT_DISCARD_PIXEL"}, - {"bits": [11, 11], "name": "DISABLE_QUAD_PAIR_OPT"}, - {"bits": [12, 12], "name": "DISABLE_PIX_EN_ZERO_OPT"}, - {"bits": [13, 13], "name": "DISABLE_SX_DB_FGCG"}, - {"bits": [14, 14], "name": "ENABLE_SAME_PC_GDS_CGTS"}, - {"bits": [15, 15], "name": "DISABLE_RAM_FGCG"}, - {"bits": [16, 16], "name": "PC_DISABLE_SAME_ADDR_OPT"}, - {"bits": [17, 31], "name": "DEBUG_DATA"} - ] - }, - "SX_DEBUG_BUSY": { - "fields": [ - {"bits": [0, 0], "name": "POS_FREE_OR_VALIDS"}, - {"bits": [1, 1], "name": "POS_REQUESTER_BUSY"}, - {"bits": [2, 2], "name": "PA_SX_BUSY"}, - {"bits": [3, 3], "name": "POS_SCBD_BUSY"}, - {"bits": [4, 4], "name": "POS_BANK3VAL3_BUSY"}, - {"bits": [5, 5], "name": "POS_BANK3VAL2_BUSY"}, - {"bits": [6, 6], "name": "POS_BANK3VAL1_BUSY"}, - {"bits": [7, 7], "name": "POS_BANK3VAL0_BUSY"}, - {"bits": [8, 8], "name": "POS_BANK2VAL3_BUSY"}, - {"bits": [9, 9], "name": "POS_BANK2VAL2_BUSY"}, - {"bits": [10, 10], "name": "POS_BANK2VAL1_BUSY"}, - {"bits": [11, 11], "name": "POS_BANK2VAL0_BUSY"}, - {"bits": [12, 12], "name": "POS_BANK1VAL3_BUSY"}, - {"bits": [13, 13], "name": "POS_BANK1VAL2_BUSY"}, - {"bits": [14, 14], "name": "POS_BANK1VAL1_BUSY"}, - {"bits": [15, 15], "name": "POS_BANK1VAL0_BUSY"}, - {"bits": [16, 16], "name": "POS_BANK0VAL3_BUSY"}, - {"bits": [17, 17], "name": "POS_BANK0VAL2_BUSY"}, - {"bits": [18, 18], "name": "POS_BANK0VAL1_BUSY"}, - {"bits": [19, 19], "name": "POS_BANK0VAL0_BUSY"}, - {"bits": [20, 20], "name": "RESERVED"}, - {"bits": [21, 21], "name": "COL_WRCTRL1_VALIDQ3"}, - {"bits": [22, 22], "name": "COL_WRCTRL1_VALIDQ2"}, - {"bits": [23, 23], "name": "COL_WRCTRL1_VALIDQ1"}, - {"bits": [24, 24], "name": "COL_WRCTRL0_VALIDQ3"}, - {"bits": [25, 25], "name": "COL_WRCTRL0_VALIDQ2"}, - {"bits": [26, 26], "name": "COL_WRCTRL0_VALIDQ1"}, - {"bits": [27, 27], "name": "PCCMD_VALID"}, - {"bits": [28, 28], "name": "VDATA1_VALID"}, - {"bits": [29, 29], "name": "VDATA0_VALID"}, - {"bits": [30, 30], "name": "CMD_BUSYORVAL"}, - {"bits": [31, 31], "name": "ADDR_BUSYORVAL"} - ] - }, - "SX_DEBUG_BUSY_2": { - "fields": [ - {"bits": [0, 0], "name": "COL_SCBD0_BUSY"}, - {"bits": [1, 1], "name": "COL_REQ3_FREECNT_NE0"}, - {"bits": [2, 2], "name": "COL_REQ3_IDLE"}, - {"bits": [3, 3], "name": "COL_REQ3_BUSY"}, - {"bits": [4, 4], "name": "COL_REQ2_FREECNT_NE0"}, - {"bits": [5, 5], "name": "COL_REQ2_IDLE"}, - {"bits": [6, 6], "name": "COL_REQ2_BUSY"}, - {"bits": [7, 7], "name": "COL_REQ1_FREECNT_NE0"}, - {"bits": [8, 8], "name": "COL_REQ1_IDLE"}, - {"bits": [9, 9], "name": "COL_REQ1_BUSY"}, - {"bits": [10, 10], "name": "COL_REQ0_FREECNT_NE0"}, - {"bits": [11, 11], "name": "COL_REQ0_IDLE"}, - {"bits": [12, 12], "name": "COL_REQ0_BUSY"}, - {"bits": [13, 13], "name": "COL_DBIF3_SENDFREE_BUSY"}, - {"bits": [14, 14], "name": "COL_DBIF3_FIFO_BUSY"}, - {"bits": [15, 15], "name": "COL_DBIF3_QUAD_FREE"}, - {"bits": [16, 16], "name": "COL_DBIF2_SENDFREE_BUSY"}, - {"bits": [17, 17], "name": "COL_DBIF2_FIFO_BUSY"}, - {"bits": [18, 18], "name": "COL_DBIF2_QUAD_FREE"}, - {"bits": [19, 19], "name": "COL_DBIF1_SENDFREE_BUSY"}, - {"bits": [20, 20], "name": "COL_DBIF1_FIFO_BUSY"}, - {"bits": [21, 21], "name": "COL_DBIF1_QUAD_FREE"}, - {"bits": [22, 22], "name": "COL_DBIF0_SENDFREE_BUSY"}, - {"bits": [23, 23], "name": "COL_DBIF0_FIFO_BUSY"}, - {"bits": [24, 24], "name": "COL_DBIF0_QUAD_FREE"}, - {"bits": [25, 25], "name": "COL_BUFF3_BANK3_VAL3_BUSY"}, - {"bits": [26, 26], "name": "COL_BUFF3_BANK3_VAL2_BUSY"}, - {"bits": [27, 27], "name": "COL_BUFF3_BANK3_VAL1_BUSY"}, - {"bits": [28, 28], "name": "COL_BUFF3_BANK3_VAL0_BUSY"}, - {"bits": [29, 29], "name": "COL_BUFF3_BANK2_VAL3_BUSY"}, - {"bits": [30, 30], "name": "COL_BUFF3_BANK2_VAL2_BUSY"}, - {"bits": [31, 31], "name": "COL_BUFF3_BANK2_VAL1_BUSY"} - ] - }, - "SX_DEBUG_BUSY_3": { - "fields": [ - {"bits": [0, 0], "name": "COL_BUFF3_BANK2_VAL0_BUSY"}, - {"bits": [1, 1], "name": "COL_BUFF3_BANK1_VAL3_BUSY"}, - {"bits": [2, 2], "name": "COL_BUFF3_BANK1_VAL2_BUSY"}, - {"bits": [3, 3], "name": "COL_BUFF3_BANK1_VAL1_BUSY"}, - {"bits": [4, 4], "name": "COL_BUFF3_BANK1_VAL0_BUSY"}, - {"bits": [5, 5], "name": "COL_BUFF3_BANK0_VAL3_BUSY"}, - {"bits": [6, 6], "name": "COL_BUFF3_BANK0_VAL2_BUSY"}, - {"bits": [7, 7], "name": "COL_BUFF3_BANK0_VAL1_BUSY"}, - {"bits": [8, 8], "name": "COL_BUFF3_BANK0_VAL0_BUSY"}, - {"bits": [9, 9], "name": "COL_BUFF2_BANK3_VAL3_BUSY"}, - {"bits": [10, 10], "name": "COL_BUFF2_BANK3_VAL2_BUSY"}, - {"bits": [11, 11], "name": "COL_BUFF2_BANK3_VAL1_BUSY"}, - {"bits": [12, 12], "name": "COL_BUFF2_BANK3_VAL0_BUSY"}, - {"bits": [13, 13], "name": "COL_BUFF2_BANK2_VAL3_BUSY"}, - {"bits": [14, 14], "name": "COL_BUFF2_BANK2_VAL2_BUSY"}, - {"bits": [15, 15], "name": "COL_BUFF2_BANK2_VAL1_BUSY"}, - {"bits": [16, 16], "name": "COL_BUFF2_BANK2_VAL0_BUSY"}, - {"bits": [17, 17], "name": "COL_BUFF2_BANK1_VAL3_BUSY"}, - {"bits": [18, 18], "name": "COL_BUFF2_BANK1_VAL2_BUSY"}, - {"bits": [19, 19], "name": "COL_BUFF2_BANK1_VAL1_BUSY"}, - {"bits": [20, 20], "name": "COL_BUFF2_BANK1_VAL0_BUSY"}, - {"bits": [21, 21], "name": "COL_BUFF2_BANK0_VAL3_BUSY"}, - {"bits": [22, 22], "name": "COL_BUFF2_BANK0_VAL2_BUSY"}, - {"bits": [23, 23], "name": "COL_BUFF2_BANK0_VAL1_BUSY"}, - {"bits": [24, 24], "name": "COL_BUFF2_BANK0_VAL0_BUSY"}, - {"bits": [25, 25], "name": "COL_BUFF1_BANK3_VAL3_BUSY"}, - {"bits": [26, 26], "name": "COL_BUFF1_BANK3_VAL2_BUSY"}, - {"bits": [27, 27], "name": "COL_BUFF1_BANK3_VAL1_BUSY"}, - {"bits": [28, 28], "name": "COL_BUFF1_BANK3_VAL0_BUSY"}, - {"bits": [29, 29], "name": "COL_BUFF1_BANK2_VAL3_BUSY"}, - {"bits": [30, 30], "name": "COL_BUFF1_BANK2_VAL2_BUSY"}, - {"bits": [31, 31], "name": "COL_BUFF1_BANK2_VAL1_BUSY"} - ] - }, - "SX_DEBUG_BUSY_4": { - "fields": [ - {"bits": [0, 0], "name": "COL_BUFF1_BANK2_VAL0_BUSY"}, - {"bits": [1, 1], "name": "COL_BUFF1_BANK1_VAL3_BUSY"}, - {"bits": [2, 2], "name": "COL_BUFF1_BANK1_VAL2_BUSY"}, - {"bits": [3, 3], "name": "COL_BUFF1_BANK1_VAL1_BUSY"}, - {"bits": [4, 4], "name": "COL_BUFF1_BANK1_VAL0_BUSY"}, - {"bits": [5, 5], "name": "COL_BUFF1_BANK0_VAL3_BUSY"}, - {"bits": [6, 6], "name": "COL_BUFF1_BANK0_VAL2_BUSY"}, - {"bits": [7, 7], "name": "COL_BUFF1_BANK0_VAL1_BUSY"}, - {"bits": [8, 8], "name": "COL_BUFF1_BANK0_VAL0_BUSY"}, - {"bits": [9, 9], "name": "COL_BUFF0_BANK3_VAL3_BUSY"}, - {"bits": [10, 10], "name": "COL_BUFF0_BANK3_VAL2_BUSY"}, - {"bits": [11, 11], "name": "COL_BUFF0_BANK3_VAL1_BUSY"}, - {"bits": [12, 12], "name": "COL_BUFF0_BANK3_VAL0_BUSY"}, - {"bits": [13, 13], "name": "COL_BUFF0_BANK2_VAL3_BUSY"}, - {"bits": [14, 14], "name": "COL_BUFF0_BANK2_VAL2_BUSY"}, - {"bits": [15, 15], "name": "COL_BUFF0_BANK2_VAL1_BUSY"}, - {"bits": [16, 16], "name": "COL_BUFF0_BANK2_VAL0_BUSY"}, - {"bits": [17, 17], "name": "COL_BUFF0_BANK1_VAL3_BUSY"}, - {"bits": [18, 18], "name": "COL_BUFF0_BANK1_VAL2_BUSY"}, - {"bits": [19, 19], "name": "COL_BUFF0_BANK1_VAL1_BUSY"}, - {"bits": [20, 20], "name": "COL_BUFF0_BANK1_VAL0_BUSY"}, - {"bits": [21, 21], "name": "COL_BUFF0_BANK0_VAL3_BUSY"}, - {"bits": [22, 22], "name": "COL_BUFF0_BANK0_VAL2_BUSY"}, - {"bits": [23, 23], "name": "COL_BUFF0_BANK0_VAL1_BUSY"}, - {"bits": [24, 24], "name": "COL_BUFF0_BANK0_VAL0_BUSY"}, - {"bits": [25, 25], "name": "COL_BUFF3_BANK7_VAL3_BUSY"}, - {"bits": [26, 26], "name": "COL_BUFF3_BANK7_VAL2_BUSY"}, - {"bits": [27, 27], "name": "COL_BUFF3_BANK7_VAL1_BUSY"}, - {"bits": [28, 28], "name": "COL_BUFF3_BANK7_VAL0_BUSY"}, - {"bits": [29, 29], "name": "COL_BUFF3_BANK6_VAL3_BUSY"}, - {"bits": [30, 30], "name": "COL_BUFF3_BANK6_VAL2_BUSY"}, - {"bits": [31, 31], "name": "COL_BUFF3_BANK6_VAL1_BUSY"} - ] - }, - "SX_DEBUG_BUSY_5": { - "fields": [ - {"bits": [0, 0], "name": "COL_BUFF3_BANK6_VAL0_BUSY"}, - {"bits": [1, 1], "name": "COL_BUFF3_BANK5_VAL3_BUSY"}, - {"bits": [2, 2], "name": "COL_BUFF3_BANK5_VAL2_BUSY"}, - {"bits": [3, 3], "name": "COL_BUFF3_BANK5_VAL1_BUSY"}, - {"bits": [4, 4], "name": "COL_BUFF3_BANK5_VAL0_BUSY"}, - {"bits": [5, 5], "name": "COL_BUFF3_BANK4_VAL3_BUSY"}, - {"bits": [6, 6], "name": "COL_BUFF3_BANK4_VAL2_BUSY"}, - {"bits": [7, 7], "name": "COL_BUFF3_BANK4_VAL1_BUSY"}, - {"bits": [8, 8], "name": "COL_BUFF3_BANK4_VAL0_BUSY"}, - {"bits": [9, 9], "name": "COL_BUFF2_BANK7_VAL3_BUSY"}, - {"bits": [10, 10], "name": "COL_BUFF2_BANK7_VAL2_BUSY"}, - {"bits": [11, 11], "name": "COL_BUFF2_BANK7_VAL1_BUSY"}, - {"bits": [12, 12], "name": "COL_BUFF2_BANK7_VAL0_BUSY"}, - {"bits": [13, 13], "name": "COL_BUFF2_BANK6_VAL3_BUSY"}, - {"bits": [14, 14], "name": "COL_BUFF2_BANK6_VAL2_BUSY"}, - {"bits": [15, 15], "name": "COL_BUFF2_BANK6_VAL1_BUSY"}, - {"bits": [16, 16], "name": "COL_BUFF2_BANK6_VAL0_BUSY"}, - {"bits": [17, 17], "name": "COL_BUFF2_BANK5_VAL3_BUSY"}, - {"bits": [18, 18], "name": "COL_BUFF2_BANK5_VAL2_BUSY"}, - {"bits": [19, 19], "name": "COL_BUFF2_BANK5_VAL1_BUSY"}, - {"bits": [20, 20], "name": "COL_BUFF2_BANK5_VAL0_BUSY"}, - {"bits": [21, 21], "name": "COL_BUFF2_BANK4_VAL3_BUSY"}, - {"bits": [22, 22], "name": "COL_BUFF2_BANK4_VAL2_BUSY"}, - {"bits": [23, 23], "name": "COL_BUFF2_BANK4_VAL1_BUSY"}, - {"bits": [24, 24], "name": "COL_BUFF2_BANK4_VAL0_BUSY"}, - {"bits": [25, 25], "name": "COL_BUFF1_BANK7_VAL3_BUSY"}, - {"bits": [26, 26], "name": "COL_BUFF1_BANK7_VAL2_BUSY"}, - {"bits": [27, 27], "name": "COL_BUFF1_BANK7_VAL1_BUSY"}, - {"bits": [28, 28], "name": "COL_BUFF1_BANK7_VAL0_BUSY"}, - {"bits": [29, 29], "name": "COL_BUFF1_BANK6_VAL3_BUSY"}, - {"bits": [30, 30], "name": "COL_BUFF1_BANK6_VAL2_BUSY"}, - {"bits": [31, 31], "name": "COL_BUFF1_BANK6_VAL1_BUSY"} - ] - }, - "SX_DEBUG_BUSY_6": { - "fields": [ - {"bits": [0, 0], "name": "COL_BUFF1_BANK6_VAL0_BUSY"}, - {"bits": [1, 1], "name": "COL_BUFF1_BANK5_VAL3_BUSY"}, - {"bits": [2, 2], "name": "COL_BUFF1_BANK5_VAL2_BUSY"}, - {"bits": [3, 3], "name": "COL_BUFF1_BANK5_VAL1_BUSY"}, - {"bits": [4, 4], "name": "COL_BUFF1_BANK5_VAL0_BUSY"}, - {"bits": [5, 5], "name": "COL_BUFF1_BANK4_VAL3_BUSY"}, - {"bits": [6, 6], "name": "COL_BUFF1_BANK4_VAL2_BUSY"}, - {"bits": [7, 7], "name": "COL_BUFF1_BANK4_VAL1_BUSY"}, - {"bits": [8, 8], "name": "COL_BUFF1_BANK4_VAL0_BUSY"}, - {"bits": [9, 9], "name": "COL_BUFF0_BANK7_VAL3_BUSY"}, - {"bits": [10, 10], "name": "COL_BUFF0_BANK7_VAL2_BUSY"}, - {"bits": [11, 11], "name": "COL_BUFF0_BANK7_VAL1_BUSY"}, - {"bits": [12, 12], "name": "COL_BUFF0_BANK7_VAL0_BUSY"}, - {"bits": [13, 13], "name": "COL_BUFF0_BANK6_VAL3_BUSY"}, - {"bits": [14, 14], "name": "COL_BUFF0_BANK6_VAL2_BUSY"}, - {"bits": [15, 15], "name": "COL_BUFF0_BANK6_VAL1_BUSY"}, - {"bits": [16, 16], "name": "COL_BUFF0_BANK6_VAL0_BUSY"}, - {"bits": [17, 17], "name": "COL_BUFF0_BANK5_VAL3_BUSY"}, - {"bits": [18, 18], "name": "COL_BUFF0_BANK5_VAL2_BUSY"}, - {"bits": [19, 19], "name": "COL_BUFF0_BANK5_VAL1_BUSY"}, - {"bits": [20, 20], "name": "COL_BUFF0_BANK5_VAL0_BUSY"}, - {"bits": [21, 21], "name": "COL_BUFF0_BANK4_VAL3_BUSY"}, - {"bits": [22, 22], "name": "COL_BUFF0_BANK4_VAL2_BUSY"}, - {"bits": [23, 23], "name": "COL_BUFF0_BANK4_VAL1_BUSY"}, - {"bits": [24, 24], "name": "COL_BUFF0_BANK4_VAL0_BUSY"}, - {"bits": [25, 25], "name": "COL_REQ3_CREDIT_BUSY"}, - {"bits": [26, 26], "name": "COL_REQ3_FLOP_BUSY"}, - {"bits": [27, 27], "name": "COL_REQ2_CREDIT_BUSY"}, - {"bits": [28, 28], "name": "COL_REQ2_FLOP_BUSY"}, - {"bits": [29, 29], "name": "COL_REQ1_CREDIT_BUSY"}, - {"bits": [30, 30], "name": "COL_REQ1_FLOP_BUSY"}, - {"bits": [31, 31], "name": "COL_REQ0_CREDIT_BUSY"} - ] - }, - "SX_DEBUG_BUSY_7": { - "fields": [ - {"bits": [0, 0], "name": "COL_REQ0_FLOP_BUSY"}, - {"bits": [1, 1], "name": "COL_SCBD0_BUSY"}, - {"bits": [2, 2], "name": "COL_BLEND3_DATA_VALIDQ1"}, - {"bits": [3, 3], "name": "COL_BLEND3_DATA_VALIDQ1_ADJ"}, - {"bits": [4, 4], "name": "COL_BLEND3_DATA_VALIDQ2"}, - {"bits": [5, 5], "name": "COL_BLEND3_DATA_VALIDQ3"}, - {"bits": [6, 6], "name": "COL_BLEND3_DATA_VALIDQ4"}, - {"bits": [7, 7], "name": "COL_BLEND3_DATA_VALIDQ5"}, - {"bits": [8, 8], "name": "COL_BLEND3_DATA_VALID_OUT"}, - {"bits": [9, 9], "name": "RESERVED"}, - {"bits": [10, 10], "name": "COL_BLEND2_DATA_VALIDQ1"}, - {"bits": [11, 11], "name": "COL_BLEND2_DATA_VALIDQ1_ADJ"}, - {"bits": [12, 12], "name": "COL_BLEND2_DATA_VALIDQ2"}, - {"bits": [13, 13], "name": "COL_BLEND2_DATA_VALIDQ3"}, - {"bits": [14, 14], "name": "COL_BLEND2_DATA_VALIDQ4"}, - {"bits": [15, 15], "name": "COL_BLEND2_DATA_VALIDQ5"}, - {"bits": [16, 16], "name": "COL_BLEND2_DATA_VALID_OUT"}, - {"bits": [17, 17], "name": "RESERVED"}, - {"bits": [18, 18], "name": "COL_BLEND1_DATA_VALIDQ1"}, - {"bits": [19, 19], "name": "COL_BLEND1_DATA_VALIDQ1_ADJ"}, - {"bits": [20, 20], "name": "COL_BLEND1_DATA_VALIDQ2"}, - {"bits": [21, 21], "name": "COL_BLEND1_DATA_VALIDQ3"}, - {"bits": [22, 22], "name": "COL_BLEND1_DATA_VALIDQ4"}, - {"bits": [23, 23], "name": "COL_BLEND1_DATA_VALIDQ5"}, - {"bits": [24, 24], "name": "COL_BLEND1_DATA_VALID_OUT"}, - {"bits": [25, 25], "name": "RESERVED"}, - {"bits": [26, 26], "name": "COL_BLEND0_DATA_VALIDQ1"}, - {"bits": [27, 27], "name": "COL_BLEND0_DATA_VALIDQ1_ADJ"}, - {"bits": [28, 28], "name": "COL_BLEND0_DATA_VALIDQ2"}, - {"bits": [29, 29], "name": "COL_BLEND0_DATA_VALIDQ3"}, - {"bits": [30, 30], "name": "COL_BLEND0_DATA_VALIDQ4"}, - {"bits": [31, 31], "name": "COL_BLEND0_DATA_VALIDQ5"} - ] - }, - "SX_DEBUG_BUSY_8": { - "fields": [ - {"bits": [0, 0], "name": "COL_BLEND0_DATA_VALID_OUT"}, - {"bits": [1, 1], "name": "RESERVED"}, - {"bits": [2, 2], "name": "POS_BANK7VAL3_BUSY"}, - {"bits": [3, 3], "name": "POS_BANK7VAL2_BUSY"}, - {"bits": [4, 4], "name": "POS_BANK7VAL1_BUSY"}, - {"bits": [5, 5], "name": "POS_BANK7VAL0_BUSY"}, - {"bits": [6, 6], "name": "POS_BANK6VAL3_BUSY"}, - {"bits": [7, 7], "name": "POS_BANK6VAL2_BUSY"}, - {"bits": [8, 8], "name": "POS_BANK6VAL1_BUSY"}, - {"bits": [9, 9], "name": "POS_BANK6VAL0_BUSY"}, - {"bits": [10, 10], "name": "POS_BANK5VAL3_BUSY"}, - {"bits": [11, 11], "name": "POS_BANK5VAL2_BUSY"}, - {"bits": [12, 12], "name": "POS_BANK5VAL1_BUSY"}, - {"bits": [13, 13], "name": "POS_BANK5VAL0_BUSY"}, - {"bits": [14, 14], "name": "POS_BANK4VAL3_BUSY"}, - {"bits": [15, 15], "name": "POS_BANK4VAL2_BUSY"}, - {"bits": [16, 16], "name": "POS_BANK4VAL1_BUSY"}, - {"bits": [17, 17], "name": "POS_BANK4VAL0_BUSY"}, - {"bits": [18, 18], "name": "POS_WRCTRL1_VALIDQ3"}, - {"bits": [19, 19], "name": "POS_WRCTRL1_VALIDQ2"}, - {"bits": [20, 20], "name": "POS_WRCTRL1_VALIDQ1"}, - {"bits": [21, 21], "name": "IDX_WRCTRL1_VALIDQ3"}, - {"bits": [22, 22], "name": "IDX_WRCTRL1_VALIDQ2"}, - {"bits": [23, 23], "name": "IDX_WRCTRL1_VALIDQ1"}, - {"bits": [24, 24], "name": "IDX_SCBD_BUSY"}, - {"bits": [25, 25], "name": "IDX_FREE_OR_VALIDS"}, - {"bits": [26, 26], "name": "IDX_REQUESTER_BUSY"}, - {"bits": [27, 27], "name": "PA_SX_IDX_BUSY"}, - {"bits": [28, 28], "name": "IDX_BANK7VAL3_BUSY"}, - {"bits": [29, 29], "name": "IDX_BANK7VAL2_BUSY"}, - {"bits": [30, 30], "name": "IDX_BANK7VAL1_BUSY"}, - {"bits": [31, 31], "name": "IDX_BANK7VAL0_BUSY"} - ] - }, - "SX_DEBUG_BUSY_9": { - "fields": [ - {"bits": [0, 0], "name": "IDX_BANK6VAL3_BUSY"}, - {"bits": [1, 1], "name": "IDX_BANK6VAL2_BUSY"}, - {"bits": [2, 2], "name": "IDX_BANK6VAL1_BUSY"}, - {"bits": [3, 3], "name": "IDX_BANK6VAL0_BUSY"}, - {"bits": [4, 4], "name": "IDX_BANK5VAL3_BUSY"}, - {"bits": [5, 5], "name": "IDX_BANK5VAL2_BUSY"}, - {"bits": [6, 6], "name": "IDX_BANK5VAL1_BUSY"}, - {"bits": [7, 7], "name": "IDX_BANK5VAL0_BUSY"}, - {"bits": [8, 8], "name": "IDX_BANK4VAL3_BUSY"}, - {"bits": [9, 9], "name": "IDX_BANK4VAL2_BUSY"}, - {"bits": [10, 10], "name": "IDX_BANK4VAL1_BUSY"}, - {"bits": [11, 11], "name": "IDX_BANK4VAL0_BUSY"}, - {"bits": [12, 12], "name": "IDX_BANK3VAL3_BUSY"}, - {"bits": [13, 13], "name": "IDX_BANK3VAL2_BUSY"}, - {"bits": [14, 14], "name": "IDX_BANK3VAL1_BUSY"}, - {"bits": [15, 15], "name": "IDX_BANK3VAL0_BUSY"}, - {"bits": [16, 16], "name": "IDX_BANK2VAL3_BUSY"}, - {"bits": [17, 17], "name": "IDX_BANK2VAL2_BUSY"}, - {"bits": [18, 18], "name": "IDX_BANK2VAL1_BUSY"}, - {"bits": [19, 19], "name": "IDX_BANK2VAL0_BUSY"}, - {"bits": [20, 20], "name": "IDX_BANK1VAL3_BUSY"}, - {"bits": [21, 21], "name": "IDX_BANK1VAL2_BUSY"}, - {"bits": [22, 22], "name": "IDX_BANK1VAL1_BUSY"}, - {"bits": [23, 23], "name": "IDX_BANK1VAL0_BUSY"}, - {"bits": [24, 24], "name": "IDX_BANK0VAL3_BUSY"}, - {"bits": [25, 25], "name": "IDX_BANK0VAL2_BUSY"}, - {"bits": [26, 26], "name": "IDX_BANK0VAL1_BUSY"}, - {"bits": [27, 27], "name": "IDX_BANK0VAL0_BUSY"}, - {"bits": [28, 28], "name": "SX_SX_IN_VALID"}, - {"bits": [29, 29], "name": "SX_SX_OUT_VALID"}, - {"bits": [30, 31], "name": "RESERVED"} - ] - }, "SX_MRT0_BLEND_OPT": { "fields": [ {"bits": [0, 2], "enum_ref": "SX_BLEND_OPT", "name": "COLOR_SRC_OPT"}, @@ -21620,7 +16568,7 @@ }, "SX_PERFCOUNTER0_SELECT": { "fields": [ - {"bits": [0, 9], "enum_ref": "SX_PERFCOUNTER_VALS", "name": "PERFCOUNTER_SELECT"}, + {"bits": [0, 9], "name": "PERFCOUNTER_SELECT"}, {"bits": [10, 19], "name": "PERFCOUNTER_SELECT1"}, {"bits": [20, 23], "name": "CNTR_MODE"} ] @@ -21631,18 +16579,6 @@ {"bits": [10, 19], "name": "PERFCOUNTER_SELECT3"} ] }, - "SX_PS_DOWNCONVERT_CONTROL": { - "fields": [ - {"bits": [0, 0], "name": "MRT0_FMT_MAPPING_DISABLE"}, - {"bits": [1, 1], "name": "MRT1_FMT_MAPPING_DISABLE"}, - {"bits": [2, 2], "name": "MRT2_FMT_MAPPING_DISABLE"}, - {"bits": [3, 3], "name": "MRT3_FMT_MAPPING_DISABLE"}, - {"bits": [4, 4], "name": "MRT4_FMT_MAPPING_DISABLE"}, - {"bits": [5, 5], "name": "MRT5_FMT_MAPPING_DISABLE"}, - {"bits": [6, 6], "name": "MRT6_FMT_MAPPING_DISABLE"}, - {"bits": [7, 7], "name": "MRT7_FMT_MAPPING_DISABLE"} - ] - }, "SX_PS_DOWNCONVERT": { "fields": [ {"bits": [0, 3], "enum_ref": "SX_DOWNCONVERT_FORMAT", "name": "MRT0"}, @@ -21655,6 +16591,11 @@ {"bits": [28, 31], "enum_ref": "SX_DOWNCONVERT_FORMAT", "name": "MRT7"} ] }, + "TA_BC_BASE_ADDR": { + "fields": [ + {"bits": [0, 31], "name": "ADDRESS"} + ] + }, "TA_BC_BASE_ADDR_HI": { "fields": [ {"bits": [0, 7], "name": "ADDRESS"} @@ -21662,8 +16603,8 @@ }, "TA_PERFCOUNTER0_SELECT": { "fields": [ - {"bits": [0, 7], "enum_ref": "TA_PERFCOUNT_SEL", "name": "PERF_SEL"}, - {"bits": [10, 17], "enum_ref": "TA_PERFCOUNT_SEL", "name": "PERF_SEL1"}, + {"bits": [0, 7], "name": "PERF_SEL"}, + {"bits": [10, 17], "name": "PERF_SEL1"}, {"bits": [20, 23], "name": "CNTR_MODE"}, {"bits": [24, 27], "name": "PERF_MODE1"}, {"bits": [28, 31], "name": "PERF_MODE"} @@ -21671,70 +16612,29 @@ }, "TA_PERFCOUNTER0_SELECT1": { "fields": [ - {"bits": [0, 7], "enum_ref": "TA_PERFCOUNT_SEL", "name": "PERF_SEL2"}, - {"bits": [10, 17], "enum_ref": "TA_PERFCOUNT_SEL", "name": "PERF_SEL3"}, + {"bits": [0, 7], "name": "PERF_SEL2"}, + {"bits": [10, 17], "name": "PERF_SEL3"}, {"bits": [24, 27], "name": "PERF_MODE3"}, {"bits": [28, 31], "name": "PERF_MODE2"} ] }, "TA_PERFCOUNTER1_SELECT": { "fields": [ - {"bits": [0, 7], "enum_ref": "TA_PERFCOUNT_SEL", "name": "PERF_SEL"}, - {"bits": [20, 23], "name": "CNTR_MODE"}, - {"bits": [28, 31], "name": "PERF_MODE"} - ] - }, - "TCP_PERFCOUNTER0_SELECT": { - "fields": [ - {"bits": [0, 9], "enum_ref": "TCP_PERFCOUNT_SELECT", "name": "PERF_SEL"}, - {"bits": [10, 19], "enum_ref": "TCP_PERFCOUNT_SELECT", "name": "PERF_SEL1"}, + {"bits": [0, 7], "name": "PERF_SEL"}, {"bits": [20, 23], "name": "CNTR_MODE"}, - {"bits": [24, 27], "name": "PERF_MODE1"}, {"bits": [28, 31], "name": "PERF_MODE"} ] }, - "TCP_PERFCOUNTER0_SELECT1": { - "fields": [ - {"bits": [0, 9], "enum_ref": "TCP_PERFCOUNT_SELECT", "name": "PERF_SEL2"}, - {"bits": [10, 19], "enum_ref": "TCP_PERFCOUNT_SELECT", "name": "PERF_SEL3"}, - {"bits": [24, 27], "name": "PERF_MODE3"}, - {"bits": [28, 31], "name": "PERF_MODE2"} - ] - }, "TCP_PERFCOUNTER2_SELECT": { "fields": [ - {"bits": [0, 9], "enum_ref": "TCP_PERFCOUNT_SELECT", "name": "PERF_SEL"}, - {"bits": [20, 23], "name": "CNTR_MODE"}, - {"bits": [28, 31], "name": "PERF_MODE"} - ] - }, - "TD_PERFCOUNTER0_SELECT": { - "fields": [ - {"bits": [0, 7], "enum_ref": "TD_PERFCOUNT_SEL", "name": "PERF_SEL"}, - {"bits": [10, 17], "enum_ref": "TD_PERFCOUNT_SEL", "name": "PERF_SEL1"}, - {"bits": [20, 23], "name": "CNTR_MODE"}, - {"bits": [24, 27], "name": "PERF_MODE1"}, - {"bits": [28, 31], "name": "PERF_MODE"} - ] - }, - "TD_PERFCOUNTER0_SELECT1": { - "fields": [ - {"bits": [0, 7], "enum_ref": "TD_PERFCOUNT_SEL", "name": "PERF_SEL2"}, - {"bits": [10, 17], "enum_ref": "TD_PERFCOUNT_SEL", "name": "PERF_SEL3"}, - {"bits": [24, 27], "name": "PERF_MODE3"}, - {"bits": [28, 31], "name": "PERF_MODE2"} - ] - }, - "TD_PERFCOUNTER1_SELECT": { - "fields": [ - {"bits": [0, 7], "enum_ref": "TD_PERFCOUNT_SEL", "name": "PERF_SEL"}, + {"bits": [0, 9], "name": "PERF_SEL"}, {"bits": [20, 23], "name": "CNTR_MODE"}, {"bits": [28, 31], "name": "PERF_MODE"} ] }, "UTCL1_PERFCOUNTER0_SELECT": { "fields": [ - {"bits": [0, 9], "enum_ref": "UTCL1PerfSel", "name": "PERF_SEL"}, + {"bits": [0, 9], "name": "PERF_SEL"}, {"bits": [28, 31], "name": "COUNTER_MODE"} ] }, @@ -21743,6 +16643,11 @@ {"bits": [0, 31], "name": "MATCH_INDEX"} ] }, + "VGT_DMA_BASE": { + "fields": [ + {"bits": [0, 31], "name": "BASE_ADDR"} + ] + }, "VGT_DMA_BASE_HI": { "fields": [ {"bits": [0, 15], "name": "BASE_ADDR"} @@ -21796,12 +16701,17 @@ {"bits": [4, 4], "name": "EN_DRAW_VP"} ] }, + "VGT_ENHANCE": { + "fields": [ + {"bits": [0, 31], "name": "MISC"} + ] + }, "VGT_ESGS_RING_ITEMSIZE": { "fields": [ {"bits": [0, 14], "name": "ITEMSIZE"} ] }, - "VGT_ESGS_RING_SIZE": { + "VGT_ESGS_RING_SIZE_UMD": { "fields": [ {"bits": [0, 31], "name": "MEM_SIZE"} ] @@ -21823,6 +16733,46 @@ {"bits": [27, 27], "name": "EXTENDED_EVENT"} ] }, + "VGT_GROUP_DECR": { + "fields": [ + {"bits": [0, 3], "name": "DECR"} + ] + }, + "VGT_GROUP_FIRST_DECR": { + "fields": [ + {"bits": [0, 3], "name": "FIRST_DECR"} + ] + }, + "VGT_GROUP_PRIM_TYPE": { + "fields": [ + {"bits": [0, 4], "enum_ref": "VGT_DI_PRIM_TYPE", "name": "PRIM_TYPE"}, + {"bits": [14, 14], "name": "RETAIN_ORDER"}, + {"bits": [15, 15], "name": "RETAIN_QUADS"}, + {"bits": [16, 18], "name": "PRIM_ORDER"} + ] + }, + "VGT_GROUP_VECT_0_CNTL": { + "fields": [ + {"bits": [0, 0], "name": "COMP_X_EN"}, + {"bits": [1, 1], "name": "COMP_Y_EN"}, + {"bits": [2, 2], "name": "COMP_Z_EN"}, + {"bits": [3, 3], "name": "COMP_W_EN"}, + {"bits": [8, 15], "name": "STRIDE"}, + {"bits": [16, 23], "name": "SHIFT"} + ] + }, + "VGT_GROUP_VECT_0_FMT_CNTL": { + "fields": [ + {"bits": [0, 3], "name": "X_CONV"}, + {"bits": [4, 7], "name": "X_OFFSET"}, + {"bits": [8, 11], "name": "Y_CONV"}, + {"bits": [12, 15], "name": "Y_OFFSET"}, + {"bits": [16, 19], "name": "Z_CONV"}, + {"bits": [20, 23], "name": "Z_OFFSET"}, + {"bits": [24, 27], "name": "W_CONV"}, + {"bits": [28, 31], "name": "W_OFFSET"} + ] + }, "VGT_GSVS_RING_OFFSET_1": { "fields": [ {"bits": [0, 14], "name": "OFFSET"} @@ -21868,10 +16818,10 @@ }, "VGT_GS_OUT_PRIM_TYPE": { "fields": [ - {"bits": [0, 5], "enum_ref": "VGT_GS_OUT_PRIM_TYPE__OUTPRIM_TYPE", "name": "OUTPRIM_TYPE"}, - {"bits": [8, 13], "enum_ref": "VGT_GS_OUT_PRIM_TYPE__OUTPRIM_TYPE", "name": "OUTPRIM_TYPE_1"}, - {"bits": [16, 21], "enum_ref": "VGT_GS_OUT_PRIM_TYPE__OUTPRIM_TYPE", "name": "OUTPRIM_TYPE_2"}, - {"bits": [22, 27], "enum_ref": "VGT_GS_OUT_PRIM_TYPE__OUTPRIM_TYPE", "name": "OUTPRIM_TYPE_3"}, + {"bits": [0, 5], "enum_ref": "VGT_GS_OUTPRIM_TYPE", "name": "OUTPRIM_TYPE"}, + {"bits": [8, 13], "enum_ref": "VGT_GS_OUTPRIM_TYPE", "name": "OUTPRIM_TYPE_1"}, + {"bits": [16, 21], "enum_ref": "VGT_GS_OUTPRIM_TYPE", "name": "OUTPRIM_TYPE_2"}, + {"bits": [22, 27], "enum_ref": "VGT_GS_OUTPRIM_TYPE", "name": "OUTPRIM_TYPE_3"}, {"bits": [31, 31], "name": "UNIQUE_TYPE_PER_STREAM"} ] }, @@ -21885,6 +16835,11 @@ {"bits": [0, 3], "name": "GS_PER_VS"} ] }, + "VGT_HOS_CNTL": { + "fields": [ + {"bits": [0, 1], "name": "TESS_MODE"} + ] + }, "VGT_HOS_MAX_TESS_LEVEL": { "fields": [ {"bits": [0, 31], "name": "MAX_TESS"} @@ -21895,12 +16850,20 @@ {"bits": [0, 31], "name": "MIN_TESS"} ] }, + "VGT_HOS_REUSE_DEPTH": { + "fields": [ + {"bits": [0, 7], "name": "REUSE_DEPTH"} + ] + }, "VGT_HS_OFFCHIP_PARAM_UMD": { "fields": [ {"bits": [0, 8], "name": "OFFCHIP_BUFFERING"}, - {"bits": [9, 10], "name": "OFFCHIP_GRANULARITY"}, - {"bits": [0, 9], "name": "OFFCHIP_BUFFERING_GFX103"}, - {"bits": [10, 11], "name": "OFFCHIP_GRANULARITY_GFX103"} + {"bits": [9, 10], "enum_ref": "VGT_HS_OFFCHIP_PARAM__OFFCHIP_GRANULARITY", "name": "OFFCHIP_GRANULARITY"} + ] + }, + "VGT_INDX_OFFSET": { + "fields": [ + {"bits": [0, 31], "name": "INDX_OFFSET"} ] }, "VGT_INSTANCE_BASE_ID": { @@ -21920,6 +16883,22 @@ {"bits": [14, 19], "name": "HS_NUM_OUTPUT_CP"} ] }, + "VGT_MAX_VTX_INDX": { + "fields": [ + {"bits": [0, 31], "name": "MAX_INDX"} + ] + }, + "VGT_MIN_VTX_INDX": { + "fields": [ + {"bits": [0, 31], "name": "MIN_INDX"} + ] + }, + "VGT_MULTI_PRIM_IB_RESET_EN": { + "fields": [ + {"bits": [0, 0], "name": "RESET_EN"}, + {"bits": [1, 1], "name": "MATCH_ALL_BITS"} + ] + }, "VGT_MULTI_PRIM_IB_RESET_INDX": { "fields": [ {"bits": [0, 31], "name": "RESET_INDX"} @@ -21988,6 +16967,11 @@ {"bits": [12, 15], "name": "STREAM_3_BUFFER_EN"} ] }, + "VGT_STRMOUT_BUFFER_OFFSET_0": { + "fields": [ + {"bits": [0, 31], "name": "OFFSET"} + ] + }, "VGT_STRMOUT_CONFIG": { "fields": [ {"bits": [0, 0], "name": "STREAMOUT_0_EN"}, @@ -22019,7 +17003,7 @@ {"bits": [29, 31], "name": "TRAP_SPLIT"} ] }, - "VGT_TF_MEMORY_BASE": { + "VGT_TF_MEMORY_BASE_UMD": { "fields": [ {"bits": [0, 31], "name": "BASE"} ] @@ -22040,7 +17024,7 @@ {"bits": [23, 25], "name": "MTYPE"} ] }, - "VGT_TF_RING_SIZE": { + "VGT_TF_RING_SIZE_UMD": { "fields": [ {"bits": [0, 15], "name": "SIZE"} ] diff --git a/src/amd/registers/makeregheader.py b/src/amd/registers/makeregheader.py index 4eae7400701..472e6e491da 100644 --- a/src/amd/registers/makeregheader.py +++ b/src/amd/registers/makeregheader.py @@ -46,10 +46,10 @@ CHIPS = [ Object(name='gfx6', disambiguation='GFX6'), Object(name='gfx7', disambiguation='GFX7'), Object(name='gfx8', disambiguation='GFX8'), - Object(name='fiji', disambiguation='GFX8'), - Object(name='stoney', disambiguation='GFX8'), + Object(name='gfx81', disambiguation='GFX81'), Object(name='gfx9', disambiguation='GFX9'), Object(name='gfx10', disambiguation='GFX10'), + Object(name='gfx103', disambiguation='GFX103'), ] ######### END HARDCODED CONFIGURATION diff --git a/src/amd/registers/pkt3.json b/src/amd/registers/pkt3.json index 29b91689439..aaa1f721f88 100644 --- a/src/amd/registers/pkt3.json +++ b/src/amd/registers/pkt3.json @@ -122,13 +122,13 @@ }, "register_mappings": [ { - "chips": ["gfx6", "gfx7", "gfx8", "fiji", "stoney"], + "chips": ["gfx6", "gfx7", "gfx8", "gfx81"], "map": {"at": 1044, "to": "pkt3"}, "name": "COMMAND", "type_ref": "COMMAND" }, { - "chips": ["gfx9", "gfx10"], + "chips": ["gfx9", "gfx10", "gfx103"], "map": {"at": 1044, "to": "pkt3"}, "name": "COMMAND", "type_ref": "COMMAND_gfx9" @@ -140,13 +140,13 @@ "type_ref": "CONTROL" }, { - "chips": ["gfx7", "gfx8", "fiji", "stoney", "gfx9", "gfx10"], + "chips": ["gfx7", "gfx8", "gfx81", "gfx9", "gfx10", "gfx103"], "map": {"at": 880, "to": "pkt3"}, "name": "CONTROL", "type_ref": "CONTROL_cik" }, { - "chips": ["gfx6", "gfx7", "gfx8", "fiji", "stoney", "gfx9", "gfx10"], + "chips": ["gfx6", "gfx7", "gfx8", "gfx81", "gfx9", "gfx10", "gfx103"], "map": {"at": 1040, "to": "pkt3"}, "name": "CP_DMA_WORD0", "type_ref": "CP_DMA_WORD0" @@ -158,25 +158,25 @@ "type_ref": "CP_DMA_WORD1" }, { - "chips": ["gfx7", "gfx8", "fiji", "stoney"], + "chips": ["gfx7", "gfx8", "gfx81"], "map": {"at": 1041, "to": "pkt3"}, "name": "CP_DMA_WORD1", "type_ref": "CP_DMA_WORD1_cik" }, { - "chips": ["gfx9", "gfx10"], + "chips": ["gfx9", "gfx10", "gfx103"], "map": {"at": 1041, "to": "pkt3"}, "name": "CP_DMA_WORD1", "type_ref": "CP_DMA_WORD1_gfx9" }, { - "chips": ["gfx6", "gfx7", "gfx8", "fiji", "stoney", "gfx9", "gfx10"], + "chips": ["gfx6", "gfx7", "gfx8", "gfx81", "gfx9", "gfx10", "gfx103"], "map": {"at": 1042, "to": "pkt3"}, "name": "CP_DMA_WORD2", "type_ref": "CP_DMA_WORD2" }, { - "chips": ["gfx6", "gfx7", "gfx8", "fiji", "stoney", "gfx9", "gfx10"], + "chips": ["gfx6", "gfx7", "gfx8", "gfx81", "gfx9", "gfx10", "gfx103"], "map": {"at": 1043, "to": "pkt3"}, "name": "CP_DMA_WORD3", "type_ref": "CP_DMA_WORD3" @@ -188,72 +188,72 @@ "type_ref": "DMA_DATA_WORD0" }, { - "chips": ["gfx7", "gfx8", "fiji", "stoney"], + "chips": ["gfx7", "gfx8", "gfx81"], "map": {"at": 1280, "to": "pkt3"}, "name": "DMA_DATA_WORD0", "type_ref": "DMA_DATA_WORD0_cik" }, { - "chips": ["gfx9", "gfx10"], + "chips": ["gfx9", "gfx10", "gfx103"], "map": {"at": 1280, "to": "pkt3"}, "name": "DMA_DATA_WORD0", "type_ref": "DMA_DATA_WORD0_gfx9" }, { - "chips": ["gfx6", "gfx7", "gfx8", "fiji", "stoney", "gfx9", "gfx10"], + "chips": ["gfx6", "gfx7", "gfx8", "gfx81", "gfx9", "gfx10", "gfx103"], "map": {"at": 882, "to": "pkt3"}, "name": "DST_ADDR_HI" }, { - "chips": ["gfx6", "gfx7", "gfx8", "fiji", "stoney", "gfx9", "gfx10"], + "chips": ["gfx6", "gfx7", "gfx8", "gfx81", "gfx9", "gfx10", "gfx103"], "map": {"at": 1284, "to": "pkt3"}, "name": "DST_ADDR_HI" }, { - "chips": ["gfx6", "gfx7", "gfx8", "fiji", "stoney", "gfx9", "gfx10"], + "chips": ["gfx6", "gfx7", "gfx8", "gfx81", "gfx9", "gfx10", "gfx103"], "map": {"at": 881, "to": "pkt3"}, "name": "DST_ADDR_LO" }, { - "chips": ["gfx6", "gfx7", "gfx8", "fiji", "stoney", "gfx9", "gfx10"], + "chips": ["gfx6", "gfx7", "gfx8", "gfx81", "gfx9", "gfx10", "gfx103"], "map": {"at": 1283, "to": "pkt3"}, "name": "DST_ADDR_LO" }, { - "chips": ["gfx10"], + "chips": ["gfx10", "gfx103"], "map": {"at": 1414, "to": "pkt3"}, "name": "GCR_CNTL", "type_ref": "GCR_CNTL" }, { - "chips": ["gfx6", "gfx7", "gfx8", "fiji", "stoney", "gfx9", "gfx10"], + "chips": ["gfx6", "gfx7", "gfx8", "gfx81", "gfx9", "gfx10", "gfx103"], "map": {"at": 1009, "to": "pkt3"}, "name": "IB_BASE_HI" }, { - "chips": ["gfx6", "gfx7", "gfx8", "fiji", "stoney", "gfx9", "gfx10"], + "chips": ["gfx6", "gfx7", "gfx8", "gfx81", "gfx9", "gfx10", "gfx103"], "map": {"at": 1008, "to": "pkt3"}, "name": "IB_BASE_LO" }, { - "chips": ["gfx6", "gfx7", "gfx8", "fiji", "stoney", "gfx9", "gfx10"], + "chips": ["gfx6", "gfx7", "gfx8", "gfx81", "gfx9", "gfx10", "gfx103"], "map": {"at": 1010, "to": "pkt3"}, "name": "IB_CONTROL", "type_ref": "IB_CONTROL" }, { - "chips": ["gfx10"], + "chips": ["gfx10", "gfx103"], "map": {"at": 1168, "to": "pkt3"}, "name": "RELEASE_MEM_OP", "type_ref": "RELEASE_MEM_OP" }, { - "chips": ["gfx6", "gfx7", "gfx8", "fiji", "stoney", "gfx9", "gfx10"], + "chips": ["gfx6", "gfx7", "gfx8", "gfx81", "gfx9", "gfx10", "gfx103"], "map": {"at": 1282, "to": "pkt3"}, "name": "SRC_ADDR_HI" }, { - "chips": ["gfx6", "gfx7", "gfx8", "fiji", "stoney", "gfx9", "gfx10"], + "chips": ["gfx6", "gfx7", "gfx8", "gfx81", "gfx9", "gfx10", "gfx103"], "map": {"at": 1281, "to": "pkt3"}, "name": "SRC_ADDR_LO" } diff --git a/src/amd/vulkan/radv_cmd_buffer.c b/src/amd/vulkan/radv_cmd_buffer.c index 792462ed9e2..1b788e8cb6b 100644 --- a/src/amd/vulkan/radv_cmd_buffer.c +++ b/src/amd/vulkan/radv_cmd_buffer.c @@ -1746,8 +1746,8 @@ radv_emit_fb_ds_state(struct radv_cmd_buffer *cmd_buffer, meta_write_policy = V_02807C_CACHE_LRU_WR; /* cache writes */ meta_read_policy = V_02807C_CACHE_LRU_RD; /* cache reads */ } else { - meta_write_policy = V_02807C_CACHE_STREAM_WR; /* write combine */ - meta_read_policy = V_02807C_CACHE_NOA_RD; /* don't cache reads */ + meta_write_policy = V_02807C_CACHE_STREAM; /* write combine */ + meta_read_policy = V_02807C_CACHE_NOA; /* don't cache reads */ } bool zs_big_page = cmd_buffer->device->physical_device->rad_info.chip_class >= GFX10_3 && @@ -1772,12 +1772,12 @@ radv_emit_fb_ds_state(struct radv_cmd_buffer *cmd_buffer, radeon_emit(cmd_buffer->cs, ds->db_stencil_read_base >> 32); radeon_emit(cmd_buffer->cs, ds->db_htile_data_base >> 32); radeon_emit(cmd_buffer->cs, - S_02807C_Z_WR_POLICY(V_02807C_CACHE_STREAM_WR) | - S_02807C_S_WR_POLICY(V_02807C_CACHE_STREAM_WR) | + S_02807C_Z_WR_POLICY(V_02807C_CACHE_STREAM) | + S_02807C_S_WR_POLICY(V_02807C_CACHE_STREAM) | S_02807C_HTILE_WR_POLICY(meta_write_policy) | - S_02807C_ZPCPSD_WR_POLICY(V_02807C_CACHE_STREAM_WR) | - S_02807C_Z_RD_POLICY(V_02807C_CACHE_NOA_RD) | - S_02807C_S_RD_POLICY(V_02807C_CACHE_NOA_RD) | + S_02807C_ZPCPSD_WR_POLICY(V_02807C_CACHE_STREAM) | + S_02807C_Z_RD_POLICY(V_02807C_CACHE_NOA) | + S_02807C_S_RD_POLICY(V_02807C_CACHE_NOA) | S_02807C_HTILE_RD_POLICY(meta_read_policy) | S_02807C_Z_BIG_PAGE(zs_big_page) | S_02807C_S_BIG_PAGE(zs_big_page)); @@ -2336,19 +2336,19 @@ radv_emit_framebuffer_state(struct radv_cmd_buffer *cmd_buffer) meta_write_policy = V_02807C_CACHE_LRU_WR; /* cache writes */ meta_read_policy = V_02807C_CACHE_LRU_RD; /* cache reads */ } else { - meta_write_policy = V_02807C_CACHE_STREAM_WR; /* write combine */ - meta_read_policy = V_02807C_CACHE_NOA_RD; /* don't cache reads */ + meta_write_policy = V_02807C_CACHE_STREAM; /* write combine */ + meta_read_policy = V_02807C_CACHE_NOA; /* don't cache reads */ } radeon_set_context_reg(cmd_buffer->cs, R_028410_CB_RMI_GL2_CACHE_CONTROL, S_028410_CMASK_WR_POLICY(meta_write_policy) | S_028410_FMASK_WR_POLICY(meta_write_policy) | S_028410_DCC_WR_POLICY(meta_write_policy) | - S_028410_COLOR_WR_POLICY(V_028410_CACHE_STREAM_WR) | + S_028410_COLOR_WR_POLICY(V_028410_CACHE_STREAM) | S_028410_CMASK_RD_POLICY(meta_read_policy) | S_028410_FMASK_RD_POLICY(meta_read_policy) | S_028410_DCC_RD_POLICY(meta_read_policy) | - S_028410_COLOR_RD_POLICY(V_028410_CACHE_NOA_RD) | + S_028410_COLOR_RD_POLICY(V_028410_CACHE_NOA) | S_028410_FMASK_BIG_PAGE(color_big_page) | S_028410_COLOR_BIG_PAGE(color_big_page)); } diff --git a/src/amd/vulkan/radv_debug.c b/src/amd/vulkan/radv_debug.c index 2d1f5c2d7d2..ea53acd1b6d 100644 --- a/src/amd/vulkan/radv_debug.c +++ b/src/amd/vulkan/radv_debug.c @@ -765,14 +765,25 @@ radv_dump_sq_hw_regs(struct radv_device *device) struct radv_sq_hw_reg *regs = (struct radv_sq_hw_reg *)&device->tma_ptr[6]; fprintf(stderr, "\nHardware registers:\n"); - ac_dump_reg(stderr, device->physical_device->rad_info.chip_class, - R_000002_SQ_HW_REG_STATUS, regs->status, ~0); - ac_dump_reg(stderr, device->physical_device->rad_info.chip_class, - R_000003_SQ_HW_REG_TRAP_STS, regs->trap_sts, ~0); - ac_dump_reg(stderr, device->physical_device->rad_info.chip_class, - R_000004_SQ_HW_REG_HW_ID, regs->hw_id, ~0); - ac_dump_reg(stderr, device->physical_device->rad_info.chip_class, - R_000007_SQ_HW_REG_IB_STS, regs->ib_sts, ~0); + if (device->physical_device->rad_info.chip_class >= GFX10) { + ac_dump_reg(stderr, device->physical_device->rad_info.chip_class, + R_000408_SQ_WAVE_STATUS, regs->status, ~0); + ac_dump_reg(stderr, device->physical_device->rad_info.chip_class, + R_00040C_SQ_WAVE_TRAPSTS, regs->trap_sts, ~0); + ac_dump_reg(stderr, device->physical_device->rad_info.chip_class, + R_00045C_SQ_WAVE_HW_ID1, regs->hw_id, ~0); + ac_dump_reg(stderr, device->physical_device->rad_info.chip_class, + R_00041C_SQ_WAVE_IB_STS, regs->ib_sts, ~0); + } else { + ac_dump_reg(stderr, device->physical_device->rad_info.chip_class, + R_000048_SQ_WAVE_STATUS, regs->status, ~0); + ac_dump_reg(stderr, device->physical_device->rad_info.chip_class, + R_00004C_SQ_WAVE_TRAPSTS, regs->trap_sts, ~0); + ac_dump_reg(stderr, device->physical_device->rad_info.chip_class, + R_000050_SQ_WAVE_HW_ID, regs->hw_id, ~0); + ac_dump_reg(stderr, device->physical_device->rad_info.chip_class, + R_00005C_SQ_WAVE_IB_STS, regs->ib_sts, ~0); + } fprintf(stderr, "\n\n"); } diff --git a/src/amd/vulkan/radv_device.c b/src/amd/vulkan/radv_device.c index d1a960eafd8..eee741660a4 100644 --- a/src/amd/vulkan/radv_device.c +++ b/src/amd/vulkan/radv_device.c @@ -3281,8 +3281,8 @@ radv_get_hs_offchip_param(struct radv_device *device, uint32_t *max_offchip_buff if (device->physical_device->rad_info.chip_class >= GFX8) --max_offchip_buffers; hs_offchip_param = - S_03093C_OFFCHIP_BUFFERING(max_offchip_buffers) | - S_03093C_OFFCHIP_GRANULARITY(offchip_granularity); + S_03093C_OFFCHIP_BUFFERING_GFX7(max_offchip_buffers) | + S_03093C_OFFCHIP_GRANULARITY_GFX7(offchip_granularity); } else { hs_offchip_param = S_0089B0_OFFCHIP_BUFFERING(max_offchip_buffers); @@ -7419,7 +7419,7 @@ radv_init_sampler(struct radv_device *device, sampler->state[2] |= S_008F38_DISABLE_LSB_CEIL(device->physical_device->rad_info.chip_class <= GFX8) | S_008F38_FILTER_PREC_FIX(1) | - S_008F38_ANISO_OVERRIDE_GFX6(device->physical_device->rad_info.chip_class >= GFX8); + S_008F38_ANISO_OVERRIDE_GFX8(device->physical_device->rad_info.chip_class >= GFX8); } } diff --git a/src/amd/vulkan/radv_image.c b/src/amd/vulkan/radv_image.c index a6f64009e7c..43ccec304bb 100644 --- a/src/amd/vulkan/radv_image.c +++ b/src/amd/vulkan/radv_image.c @@ -1032,13 +1032,13 @@ si_make_texture_descriptor(struct radv_device *device, fmask_format = V_008F14_IMG_DATA_FORMAT_FMASK; switch (image->info.samples) { case 2: - num_format = V_008F14_IMG_FMASK_8_2_2; + num_format = V_008F14_IMG_NUM_FORMAT_FMASK_8_2_2; break; case 4: - num_format = V_008F14_IMG_FMASK_8_4_4; + num_format = V_008F14_IMG_NUM_FORMAT_FMASK_8_4_4; break; case 8: - num_format = V_008F14_IMG_FMASK_32_8_8; + num_format = V_008F14_IMG_NUM_FORMAT_FMASK_32_8_8; break; default: unreachable("invalid nr_samples"); diff --git a/src/amd/vulkan/radv_pipeline.c b/src/amd/vulkan/radv_pipeline.c index faae8252c93..5e03dbb593d 100644 --- a/src/amd/vulkan/radv_pipeline.c +++ b/src/amd/vulkan/radv_pipeline.c @@ -1176,7 +1176,7 @@ radv_pipeline_init_multisample_state(struct radv_pipeline *pipeline, ms->pa_sc_aa_config |= S_028BE0_MSAA_NUM_SAMPLES(log_samples) | S_028BE0_MAX_SAMPLE_DIST(radv_get_default_max_sample_dist(log_samples)) | S_028BE0_MSAA_EXPOSED_SAMPLES(log_samples) | /* CM_R_028BE0_PA_SC_AA_CONFIG */ - S_028BE0_COVERED_CENTROID_IS_CENTER_GFX103(pipeline->device->physical_device->rad_info.chip_class >= GFX10_3); + S_028BE0_COVERED_CENTROID_IS_CENTER(pipeline->device->physical_device->rad_info.chip_class >= GFX10_3); ms->pa_sc_mode_cntl_1 |= S_028A4C_PS_ITER_SAMPLE(ps_iter_samples > 1); if (ps_iter_samples > 1) pipeline->graphics.spi_baryc_cntl |= S_0286E0_POS_FLOAT_LOCATION(2); @@ -1217,18 +1217,18 @@ si_conv_gl_prim_to_gs_out(unsigned gl_prim) { switch (gl_prim) { case 0: /* GL_POINTS */ - return V_028A6C_OUTPRIM_TYPE_POINTLIST; + return V_028A6C_POINTLIST; case 1: /* GL_LINES */ case 3: /* GL_LINE_STRIP */ case 0xA: /* GL_LINE_STRIP_ADJACENCY_ARB */ case 0x8E7A: /* GL_ISOLINES */ - return V_028A6C_OUTPRIM_TYPE_LINESTRIP; + return V_028A6C_LINESTRIP; case 4: /* GL_TRIANGLES */ case 0xc: /* GL_TRIANGLES_ADJACENCY_ARB */ case 5: /* GL_TRIANGLE_STRIP */ case 7: /* GL_QUADS */ - return V_028A6C_OUTPRIM_TYPE_TRISTRIP; + return V_028A6C_TRISTRIP; default: assert(0); return 0; @@ -1241,18 +1241,18 @@ si_conv_prim_to_gs_out(enum VkPrimitiveTopology topology) switch (topology) { case VK_PRIMITIVE_TOPOLOGY_POINT_LIST: case VK_PRIMITIVE_TOPOLOGY_PATCH_LIST: - return V_028A6C_OUTPRIM_TYPE_POINTLIST; + return V_028A6C_POINTLIST; case VK_PRIMITIVE_TOPOLOGY_LINE_LIST: case VK_PRIMITIVE_TOPOLOGY_LINE_STRIP: case VK_PRIMITIVE_TOPOLOGY_LINE_LIST_WITH_ADJACENCY: case VK_PRIMITIVE_TOPOLOGY_LINE_STRIP_WITH_ADJACENCY: - return V_028A6C_OUTPRIM_TYPE_LINESTRIP; + return V_028A6C_LINESTRIP; case VK_PRIMITIVE_TOPOLOGY_TRIANGLE_LIST: case VK_PRIMITIVE_TOPOLOGY_TRIANGLE_STRIP: case VK_PRIMITIVE_TOPOLOGY_TRIANGLE_FAN: case VK_PRIMITIVE_TOPOLOGY_TRIANGLE_LIST_WITH_ADJACENCY: case VK_PRIMITIVE_TOPOLOGY_TRIANGLE_STRIP_WITH_ADJACENCY: - return V_028A6C_OUTPRIM_TYPE_TRISTRIP; + return V_028A6C_TRISTRIP; default: assert(0); return 0; @@ -1450,11 +1450,11 @@ radv_pipeline_init_input_assembly_state(struct radv_pipeline *pipeline, pipeline->graphics.can_use_guardband = radv_prim_can_use_guardband(ia_state->topology); if (radv_pipeline_has_gs(pipeline)) { - if (si_conv_gl_prim_to_gs_out(gs->info.gs.output_prim) == V_028A6C_OUTPRIM_TYPE_TRISTRIP) + if (si_conv_gl_prim_to_gs_out(gs->info.gs.output_prim) == V_028A6C_TRISTRIP) pipeline->graphics.can_use_guardband = true; } else if (radv_pipeline_has_tess(pipeline)) { if (!tes->info.tes.point_mode && - si_conv_gl_prim_to_gs_out(tes->info.tes.primitive_mode) == V_028A6C_OUTPRIM_TYPE_TRISTRIP) + si_conv_gl_prim_to_gs_out(tes->info.tes.primitive_mode) == V_028A6C_TRISTRIP) pipeline->graphics.can_use_guardband = true; } @@ -3690,7 +3690,7 @@ radv_pipeline_generate_depth_stencil_state(struct radeon_cmdbuf *ctx_cs, db_render_override2 |= S_028010_DECOMPRESS_Z_ON_FLUSH(attachment->samples > 2); if (pipeline->device->physical_device->rad_info.chip_class >= GFX10_3) - db_render_override2 |= S_028010_CENTROID_COMPUTATION_MODE_GFX103(2); + db_render_override2 |= S_028010_CENTROID_COMPUTATION_MODE(2); } if (attachment && extra) { @@ -3921,8 +3921,8 @@ radv_pipeline_generate_hw_vs(struct radeon_cmdbuf *ctx_cs, S_02881C_VS_OUT_MISC_SIDE_BUS_ENA(misc_vec_ena) | S_02881C_VS_OUT_CCDIST0_VEC_ENA((total_mask & 0x0f) != 0) | S_02881C_VS_OUT_CCDIST1_VEC_ENA((total_mask & 0xf0) != 0) | - S_02881C_BYPASS_PRIM_RATE_COMBINER_GFX103(pipeline->device->physical_device->rad_info.chip_class >= GFX10_3) | - S_02881C_BYPASS_VTX_RATE_COMBINER_GFX103(pipeline->device->physical_device->rad_info.chip_class >= GFX10_3) | + S_02881C_BYPASS_PRIM_RATE_COMBINER(pipeline->device->physical_device->rad_info.chip_class >= GFX10_3) | + S_02881C_BYPASS_VTX_RATE_COMBINER(pipeline->device->physical_device->rad_info.chip_class >= GFX10_3) | cull_dist_mask << 8 | clip_dist_mask); @@ -4037,8 +4037,8 @@ radv_pipeline_generate_hw_ngg(struct radeon_cmdbuf *ctx_cs, S_02881C_VS_OUT_MISC_SIDE_BUS_ENA(misc_vec_ena) | S_02881C_VS_OUT_CCDIST0_VEC_ENA((total_mask & 0x0f) != 0) | S_02881C_VS_OUT_CCDIST1_VEC_ENA((total_mask & 0xf0) != 0) | - S_02881C_BYPASS_PRIM_RATE_COMBINER_GFX103(pipeline->device->physical_device->rad_info.chip_class >= GFX10_3) | - S_02881C_BYPASS_VTX_RATE_COMBINER_GFX103(pipeline->device->physical_device->rad_info.chip_class >= GFX10_3) | + S_02881C_BYPASS_PRIM_RATE_COMBINER(pipeline->device->physical_device->rad_info.chip_class >= GFX10_3) | + S_02881C_BYPASS_VTX_RATE_COMBINER(pipeline->device->physical_device->rad_info.chip_class >= GFX10_3) | cull_dist_mask << 8 | clip_dist_mask); @@ -4079,7 +4079,7 @@ radv_pipeline_generate_hw_ngg(struct radeon_cmdbuf *ctx_cs, S_028838_INDEX_BUF_EDGE_FLAG_ENA(!radv_pipeline_has_tess(pipeline) && !radv_pipeline_has_gs(pipeline)) | /* Reuse for NGG. */ - S_028838_VERTEX_REUSE_DEPTH_GFX103(pipeline->device->physical_device->rad_info.chip_class >= GFX10_3 ? 30 : 0)); + S_028838_VERTEX_REUSE_DEPTH(pipeline->device->physical_device->rad_info.chip_class >= GFX10_3 ? 30 : 0)); ge_cntl = S_03096C_PRIM_GRP_SIZE(ngg_state->max_gsprims) | S_03096C_VERT_GRP_SIZE(256) | /* 256 = disable vertex grouping */ @@ -4257,11 +4257,11 @@ radv_pipeline_generate_tess_state(struct radeon_cmdbuf *ctx_cs, if (pipeline->device->physical_device->rad_info.has_distributed_tess) { if (pipeline->device->physical_device->rad_info.family == CHIP_FIJI || pipeline->device->physical_device->rad_info.family >= CHIP_POLARIS10) - distribution_mode = V_028B6C_DISTRIBUTION_MODE_TRAPEZOIDS; + distribution_mode = V_028B6C_TRAPEZOIDS; else - distribution_mode = V_028B6C_DISTRIBUTION_MODE_DONUTS; + distribution_mode = V_028B6C_DONUTS; } else - distribution_mode = V_028B6C_DISTRIBUTION_MODE_NO_DIST; + distribution_mode = V_028B6C_NO_DIST; radeon_set_context_reg(ctx_cs, R_028B6C_VGT_TF_PARAM, S_028B6C_TYPE(type) | @@ -4729,7 +4729,7 @@ radv_pipeline_generate_vgt_gs_out(struct radeon_cmdbuf *ctx_cs, gs_out = si_conv_gl_prim_to_gs_out(pipeline->shaders[MESA_SHADER_GEOMETRY]->info.gs.output_prim); } else if (radv_pipeline_has_tess(pipeline)) { if (pipeline->shaders[MESA_SHADER_TESS_EVAL]->info.tes.point_mode) { - gs_out = V_028A6C_OUTPRIM_TYPE_POINTLIST; + gs_out = V_028A6C_POINTLIST; } else { gs_out = si_conv_gl_prim_to_gs_out(pipeline->shaders[MESA_SHADER_TESS_EVAL]->info.tes.primitive_mode); } @@ -4738,9 +4738,9 @@ radv_pipeline_generate_vgt_gs_out(struct radeon_cmdbuf *ctx_cs, } if (extra && extra->use_rectlist) { - gs_out = V_028A6C_OUTPRIM_TYPE_TRISTRIP; + gs_out = V_028A6C_TRISTRIP; if (radv_pipeline_has_ngg(pipeline)) - gs_out = V_028A6C_VGT_OUT_RECT_V0; + gs_out = V_028A6C_RECTLIST; } radeon_set_context_reg(ctx_cs, R_028A6C_VGT_GS_OUT_PRIM_TYPE, gs_out); diff --git a/src/amd/vulkan/radv_private.h b/src/amd/vulkan/radv_private.h index e8383c910b2..579b16de680 100644 --- a/src/amd/vulkan/radv_private.h +++ b/src/amd/vulkan/radv_private.h @@ -2576,7 +2576,7 @@ si_conv_gl_prim_to_vertices(unsigned gl_prim) case 0xc: /* GL_TRIANGLES_ADJACENCY_ARB */ return 6; case 7: /* GL_QUADS */ - return V_028A6C_OUTPRIM_TYPE_TRISTRIP; + return V_028A6C_TRISTRIP; default: assert(0); return 0; diff --git a/src/amd/vulkan/radv_shader.c b/src/amd/vulkan/radv_shader.c index b462393b118..aff3249da95 100644 --- a/src/amd/vulkan/radv_shader.c +++ b/src/amd/vulkan/radv_shader.c @@ -896,7 +896,7 @@ static void radv_postprocess_config(const struct radv_device *device, if (pdevice->rad_info.chip_class >= GFX10) { vgpr_comp_cnt = info->vs.needs_instance_id ? 3 : 1; config_out->rsrc2 |= S_00B42C_LDS_SIZE_GFX10(info->tcs.num_lds_blocks) | - S_00B42C_EXCP_EN_GFX10(excp_en); + S_00B42C_EXCP_EN_GFX6(excp_en); } else { vgpr_comp_cnt = info->vs.needs_instance_id ? 2 : 1; config_out->rsrc2 |= S_00B42C_LDS_SIZE_GFX9(info->tcs.num_lds_blocks) | diff --git a/src/amd/vulkan/si_cmd_buffer.c b/src/amd/vulkan/si_cmd_buffer.c index ac5f8e7036e..bee868d3b3c 100644 --- a/src/amd/vulkan/si_cmd_buffer.c +++ b/src/amd/vulkan/si_cmd_buffer.c @@ -433,7 +433,7 @@ si_emit_graphics(struct radv_device *device, radeon_set_sh_reg(cs, R_00B1C0_SPI_SHADER_REQ_CTRL_VS, 0); if (physical_device->rad_info.chip_class >= GFX10_3) { - radeon_set_context_reg(cs, R_028750_SX_PS_DOWNCONVERT_CONTROL_GFX103, 0xff); + radeon_set_context_reg(cs, R_028750_SX_PS_DOWNCONVERT_CONTROL, 0xff); radeon_set_context_reg(cs, 0x28848, 1 << 9); /* This fixes sample shading. */ } diff --git a/src/gallium/drivers/radeonsi/si_perfcounter.c b/src/gallium/drivers/radeonsi/si_perfcounter.c index d2f0db81d2c..be04536ad2a 100644 --- a/src/gallium/drivers/radeonsi/si_perfcounter.c +++ b/src/gallium/drivers/radeonsi/si_perfcounter.c @@ -836,11 +836,11 @@ static void si_pc_emit_start(struct si_context *sctx, struct si_resource *buffer COPY_DATA_IMM, NULL, 1); radeon_set_uconfig_reg(cs, R_036020_CP_PERFMON_CNTL, - S_036020_PERFMON_STATE(V_036020_DISABLE_AND_RESET)); + S_036020_PERFMON_STATE(V_036020_CP_PERFMON_STATE_DISABLE_AND_RESET)); radeon_emit(cs, PKT3(PKT3_EVENT_WRITE, 0, 0)); radeon_emit(cs, EVENT_TYPE(V_028A90_PERFCOUNTER_START) | EVENT_INDEX(0)); radeon_set_uconfig_reg(cs, R_036020_CP_PERFMON_CNTL, - S_036020_PERFMON_STATE(V_036020_START_COUNTING)); + S_036020_PERFMON_STATE(V_036020_CP_PERFMON_STATE_START_COUNTING)); } /* Note: The buffer was already added in si_pc_emit_start, so we don't have to @@ -859,7 +859,7 @@ static void si_pc_emit_stop(struct si_context *sctx, struct si_resource *buffer, radeon_emit(cs, EVENT_TYPE(V_028A90_PERFCOUNTER_STOP) | EVENT_INDEX(0)); radeon_set_uconfig_reg( cs, R_036020_CP_PERFMON_CNTL, - S_036020_PERFMON_STATE(V_036020_STOP_COUNTING) | S_036020_PERFMON_SAMPLE_ENABLE(1)); + S_036020_PERFMON_STATE(V_036020_CP_PERFMON_STATE_STOP_COUNTING) | S_036020_PERFMON_SAMPLE_ENABLE(1)); } static void si_pc_emit_read(struct si_context *sctx, struct si_pc_block *block, unsigned count, diff --git a/src/gallium/drivers/radeonsi/si_pipe.c b/src/gallium/drivers/radeonsi/si_pipe.c index 2bd96eaef1c..be73204f132 100644 --- a/src/gallium/drivers/radeonsi/si_pipe.c +++ b/src/gallium/drivers/radeonsi/si_pipe.c @@ -1128,8 +1128,8 @@ static struct pipe_screen *radeonsi_screen_create_impl(struct radeon_winsys *ws, } else if (sscreen->info.chip_class >= GFX7) { if (sscreen->info.chip_class >= GFX8) --max_offchip_buffers; - sscreen->vgt_hs_offchip_param = S_03093C_OFFCHIP_BUFFERING(max_offchip_buffers) | - S_03093C_OFFCHIP_GRANULARITY(offchip_granularity); + sscreen->vgt_hs_offchip_param = S_03093C_OFFCHIP_BUFFERING_GFX7(max_offchip_buffers) | + S_03093C_OFFCHIP_GRANULARITY_GFX7(offchip_granularity); } else { assert(offchip_granularity == V_03093C_X_8K_DWORDS); sscreen->vgt_hs_offchip_param = S_0089B0_OFFCHIP_BUFFERING(max_offchip_buffers); diff --git a/src/gallium/drivers/radeonsi/si_state.c b/src/gallium/drivers/radeonsi/si_state.c index 663e6b3b9c4..e8df29ba613 100644 --- a/src/gallium/drivers/radeonsi/si_state.c +++ b/src/gallium/drivers/radeonsi/si_state.c @@ -757,8 +757,8 @@ static void si_emit_clip_regs(struct si_context *sctx) unsigned initial_cdw = sctx->gfx_cs->current.cdw; unsigned pa_cl_cntl = S_02881C_VS_OUT_CCDIST0_VEC_ENA((total_mask & 0x0F) != 0) | S_02881C_VS_OUT_CCDIST1_VEC_ENA((total_mask & 0xF0) != 0) | - S_02881C_BYPASS_VTX_RATE_COMBINER_GFX103(sctx->chip_class >= GFX10_3) | - S_02881C_BYPASS_PRIM_RATE_COMBINER_GFX103(sctx->chip_class >= GFX10_3) | + S_02881C_BYPASS_VTX_RATE_COMBINER(sctx->chip_class >= GFX10_3) | + S_02881C_BYPASS_PRIM_RATE_COMBINER(sctx->chip_class >= GFX10_3) | clipdist_mask | (culldist_mask << 8); if (sctx->chip_class >= GFX10) { @@ -1387,7 +1387,7 @@ static void si_emit_db_render_state(struct si_context *sctx) S_028010_DISABLE_ZMASK_EXPCLEAR_OPTIMIZATION(sctx->db_depth_disable_expclear) | S_028010_DISABLE_SMEM_EXPCLEAR_OPTIMIZATION(sctx->db_stencil_disable_expclear) | S_028010_DECOMPRESS_Z_ON_FLUSH(sctx->framebuffer.nr_samples >= 4) | - S_028010_CENTROID_COMPUTATION_MODE_GFX103(sctx->chip_class >= GFX10_3 ? 2 : 0)); + S_028010_CENTROID_COMPUTATION_MODE(sctx->chip_class >= GFX10_3 ? 2 : 0)); db_shader_control = sctx->ps_db_shader_control; @@ -2883,8 +2883,8 @@ static void si_emit_framebuffer_state(struct si_context *sctx) meta_write_policy = V_02807C_CACHE_LRU_WR; /* cache writes */ meta_read_policy = V_02807C_CACHE_LRU_RD; /* cache reads */ } else { - meta_write_policy = V_02807C_CACHE_STREAM_WR; /* write combine */ - meta_read_policy = V_02807C_CACHE_NOA_RD; /* don't cache reads */ + meta_write_policy = V_02807C_CACHE_STREAM; /* write combine */ + meta_read_policy = V_02807C_CACHE_NOA; /* don't cache reads */ } /* Colorbuffers. */ @@ -3152,12 +3152,12 @@ static void si_emit_framebuffer_state(struct si_context *sctx) radeon_emit(cs, zb->db_stencil_base >> 32); /* DB_STENCIL_WRITE_BASE_HI */ radeon_emit(cs, zb->db_htile_data_base >> 32); /* DB_HTILE_DATA_BASE_HI */ radeon_emit(cs, /* DB_RMI_L2_CACHE_CONTROL */ - S_02807C_Z_WR_POLICY(V_02807C_CACHE_STREAM_WR) | - S_02807C_S_WR_POLICY(V_02807C_CACHE_STREAM_WR) | + S_02807C_Z_WR_POLICY(V_02807C_CACHE_STREAM) | + S_02807C_S_WR_POLICY(V_02807C_CACHE_STREAM) | S_02807C_HTILE_WR_POLICY(meta_write_policy) | - S_02807C_ZPCPSD_WR_POLICY(V_02807C_CACHE_STREAM_WR) | - S_02807C_Z_RD_POLICY(V_02807C_CACHE_NOA_RD) | - S_02807C_S_RD_POLICY(V_02807C_CACHE_NOA_RD) | + S_02807C_ZPCPSD_WR_POLICY(V_02807C_CACHE_STREAM) | + S_02807C_Z_RD_POLICY(V_02807C_CACHE_NOA) | + S_02807C_S_RD_POLICY(V_02807C_CACHE_NOA) | S_02807C_HTILE_RD_POLICY(meta_read_policy) | S_02807C_Z_BIG_PAGE(zs_big_page) | S_02807C_S_BIG_PAGE(zs_big_page)); @@ -3254,11 +3254,11 @@ static void si_emit_framebuffer_state(struct si_context *sctx) S_028410_CMASK_WR_POLICY(meta_write_policy) | S_028410_FMASK_WR_POLICY(meta_write_policy) | S_028410_DCC_WR_POLICY(meta_write_policy) | - S_028410_COLOR_WR_POLICY(V_028410_CACHE_STREAM_WR) | + S_028410_COLOR_WR_POLICY(V_028410_CACHE_STREAM) | S_028410_CMASK_RD_POLICY(meta_read_policy) | S_028410_FMASK_RD_POLICY(meta_read_policy) | S_028410_DCC_RD_POLICY(meta_read_policy) | - S_028410_COLOR_RD_POLICY(V_028410_CACHE_NOA_RD) | + S_028410_COLOR_RD_POLICY(V_028410_CACHE_NOA) | S_028410_FMASK_BIG_PAGE(color_big_page) | S_028410_COLOR_BIG_PAGE(color_big_page)); } @@ -3489,7 +3489,7 @@ static void si_emit_msaa_config(struct si_context *sctx) sc_aa_config = S_028BE0_MSAA_NUM_SAMPLES(log_samples) | S_028BE0_MAX_SAMPLE_DIST(max_dist[log_samples]) | S_028BE0_MSAA_EXPOSED_SAMPLES(log_samples) | - S_028BE0_COVERED_CENTROID_IS_CENTER_GFX103(sctx->chip_class >= GFX10_3); + S_028BE0_COVERED_CENTROID_IS_CENTER(sctx->chip_class >= GFX10_3); if (sctx->framebuffer.nr_samples > 1) { db_eqaa |= S_028804_MAX_ANCHOR_SAMPLES(log_z_samples) | @@ -4043,43 +4043,43 @@ static void si_make_texture_descriptor(struct si_screen *screen, struct si_textu data_format = V_008F14_IMG_DATA_FORMAT_FMASK; switch (FMASK(res->nr_samples, res->nr_storage_samples)) { case FMASK(2, 1): - num_format = V_008F14_IMG_FMASK_8_2_1; + num_format = V_008F14_IMG_NUM_FORMAT_FMASK_8_2_1; break; case FMASK(2, 2): - num_format = V_008F14_IMG_FMASK_8_2_2; + num_format = V_008F14_IMG_NUM_FORMAT_FMASK_8_2_2; break; case FMASK(4, 1): - num_format = V_008F14_IMG_FMASK_8_4_1; + num_format = V_008F14_IMG_NUM_FORMAT_FMASK_8_4_1; break; case FMASK(4, 2): - num_format = V_008F14_IMG_FMASK_8_4_2; + num_format = V_008F14_IMG_NUM_FORMAT_FMASK_8_4_2; break; case FMASK(4, 4): - num_format = V_008F14_IMG_FMASK_8_4_4; + num_format = V_008F14_IMG_NUM_FORMAT_FMASK_8_4_4; break; case FMASK(8, 1): - num_format = V_008F14_IMG_FMASK_8_8_1; + num_format = V_008F14_IMG_NUM_FORMAT_FMASK_8_8_1; break; case FMASK(8, 2): - num_format = V_008F14_IMG_FMASK_16_8_2; + num_format = V_008F14_IMG_NUM_FORMAT_FMASK_16_8_2; break; case FMASK(8, 4): - num_format = V_008F14_IMG_FMASK_32_8_4; + num_format = V_008F14_IMG_NUM_FORMAT_FMASK_32_8_4; break; case FMASK(8, 8): - num_format = V_008F14_IMG_FMASK_32_8_8; + num_format = V_008F14_IMG_NUM_FORMAT_FMASK_32_8_8; break; case FMASK(16, 1): - num_format = V_008F14_IMG_FMASK_16_16_1; + num_format = V_008F14_IMG_NUM_FORMAT_FMASK_16_16_1; break; case FMASK(16, 2): - num_format = V_008F14_IMG_FMASK_32_16_2; + num_format = V_008F14_IMG_NUM_FORMAT_FMASK_32_16_2; break; case FMASK(16, 4): - num_format = V_008F14_IMG_FMASK_64_16_4; + num_format = V_008F14_IMG_NUM_FORMAT_FMASK_64_16_4; break; case FMASK(16, 8): - num_format = V_008F14_IMG_FMASK_64_16_8; + num_format = V_008F14_IMG_NUM_FORMAT_FMASK_64_16_8; break; default: unreachable("invalid nr_samples"); @@ -4461,7 +4461,7 @@ static void *si_create_sampler_state(struct pipe_context *ctx, } else { rstate->val[2] |= S_008F38_DISABLE_LSB_CEIL(sctx->chip_class <= GFX8) | S_008F38_FILTER_PREC_FIX(1) | - S_008F38_ANISO_OVERRIDE_GFX6(sctx->chip_class >= GFX8); + S_008F38_ANISO_OVERRIDE_GFX8(sctx->chip_class >= GFX8); } /* Create sampler resource for integer textures. */ @@ -5347,7 +5347,7 @@ void si_init_cs_preamble_state(struct si_context *sctx, bool uses_reg_shadowing) } if (sctx->chip_class >= GFX10_3) { - si_pm4_set_reg(pm4, R_028750_SX_PS_DOWNCONVERT_CONTROL_GFX103, 0xff); + si_pm4_set_reg(pm4, R_028750_SX_PS_DOWNCONVERT_CONTROL, 0xff); si_pm4_set_reg(pm4, 0x28848, 1 << 9); /* This fixes sample shading. */ } diff --git a/src/gallium/drivers/radeonsi/si_state_draw.c b/src/gallium/drivers/radeonsi/si_state_draw.c index b6b789da0c2..c7a3f992a21 100644 --- a/src/gallium/drivers/radeonsi/si_state_draw.c +++ b/src/gallium/drivers/radeonsi/si_state_draw.c @@ -527,22 +527,22 @@ static unsigned si_get_ia_multi_vgt_param(struct si_context *sctx, static unsigned si_conv_prim_to_gs_out(unsigned mode) { static const int prim_conv[] = { - [PIPE_PRIM_POINTS] = V_028A6C_OUTPRIM_TYPE_POINTLIST, - [PIPE_PRIM_LINES] = V_028A6C_OUTPRIM_TYPE_LINESTRIP, - [PIPE_PRIM_LINE_LOOP] = V_028A6C_OUTPRIM_TYPE_LINESTRIP, - [PIPE_PRIM_LINE_STRIP] = V_028A6C_OUTPRIM_TYPE_LINESTRIP, - [PIPE_PRIM_TRIANGLES] = V_028A6C_OUTPRIM_TYPE_TRISTRIP, - [PIPE_PRIM_TRIANGLE_STRIP] = V_028A6C_OUTPRIM_TYPE_TRISTRIP, - [PIPE_PRIM_TRIANGLE_FAN] = V_028A6C_OUTPRIM_TYPE_TRISTRIP, - [PIPE_PRIM_QUADS] = V_028A6C_OUTPRIM_TYPE_TRISTRIP, - [PIPE_PRIM_QUAD_STRIP] = V_028A6C_OUTPRIM_TYPE_TRISTRIP, - [PIPE_PRIM_POLYGON] = V_028A6C_OUTPRIM_TYPE_TRISTRIP, - [PIPE_PRIM_LINES_ADJACENCY] = V_028A6C_OUTPRIM_TYPE_LINESTRIP, - [PIPE_PRIM_LINE_STRIP_ADJACENCY] = V_028A6C_OUTPRIM_TYPE_LINESTRIP, - [PIPE_PRIM_TRIANGLES_ADJACENCY] = V_028A6C_OUTPRIM_TYPE_TRISTRIP, - [PIPE_PRIM_TRIANGLE_STRIP_ADJACENCY] = V_028A6C_OUTPRIM_TYPE_TRISTRIP, - [PIPE_PRIM_PATCHES] = V_028A6C_OUTPRIM_TYPE_POINTLIST, - [SI_PRIM_RECTANGLE_LIST] = V_028A6C_VGT_OUT_RECT_V0, + [PIPE_PRIM_POINTS] = V_028A6C_POINTLIST, + [PIPE_PRIM_LINES] = V_028A6C_LINESTRIP, + [PIPE_PRIM_LINE_LOOP] = V_028A6C_LINESTRIP, + [PIPE_PRIM_LINE_STRIP] = V_028A6C_LINESTRIP, + [PIPE_PRIM_TRIANGLES] = V_028A6C_TRISTRIP, + [PIPE_PRIM_TRIANGLE_STRIP] = V_028A6C_TRISTRIP, + [PIPE_PRIM_TRIANGLE_FAN] = V_028A6C_TRISTRIP, + [PIPE_PRIM_QUADS] = V_028A6C_TRISTRIP, + [PIPE_PRIM_QUAD_STRIP] = V_028A6C_TRISTRIP, + [PIPE_PRIM_POLYGON] = V_028A6C_TRISTRIP, + [PIPE_PRIM_LINES_ADJACENCY] = V_028A6C_LINESTRIP, + [PIPE_PRIM_LINE_STRIP_ADJACENCY] = V_028A6C_LINESTRIP, + [PIPE_PRIM_TRIANGLES_ADJACENCY] = V_028A6C_TRISTRIP, + [PIPE_PRIM_TRIANGLE_STRIP_ADJACENCY] = V_028A6C_TRISTRIP, + [PIPE_PRIM_PATCHES] = V_028A6C_POINTLIST, + [SI_PRIM_RECTANGLE_LIST] = V_028A6C_RECTLIST, }; assert(mode < ARRAY_SIZE(prim_conv)); diff --git a/src/gallium/drivers/radeonsi/si_state_shaders.c b/src/gallium/drivers/radeonsi/si_state_shaders.c index e2dc6bdabf3..f4569251488 100644 --- a/src/gallium/drivers/radeonsi/si_state_shaders.c +++ b/src/gallium/drivers/radeonsi/si_state_shaders.c @@ -359,11 +359,11 @@ static void si_set_tesseval_regs(struct si_screen *sscreen, const struct si_shad if (sscreen->info.has_distributed_tess) { if (sscreen->info.family == CHIP_FIJI || sscreen->info.family >= CHIP_POLARIS10) - distribution_mode = V_028B6C_DISTRIBUTION_MODE_TRAPEZOIDS; + distribution_mode = V_028B6C_TRAPEZOIDS; else - distribution_mode = V_028B6C_DISTRIBUTION_MODE_DONUTS; + distribution_mode = V_028B6C_DONUTS; } else - distribution_mode = V_028B6C_DISTRIBUTION_MODE_NO_DIST; + distribution_mode = V_028B6C_NO_DIST; assert(pm4->shader); pm4->shader->vgt_tf_param = S_028B6C_TYPE(type) | S_028B6C_PARTITIONING(partitioning) | @@ -1215,7 +1215,7 @@ static void gfx10_shader_ngg(struct si_screen *sscreen, struct si_shader *shader shader->ctx_reg.ngg.pa_cl_ngg_cntl = S_028838_INDEX_BUF_EDGE_FLAG_ENA(gs_type == PIPE_SHADER_VERTEX) | /* Reuse for NGG. */ - S_028838_VERTEX_REUSE_DEPTH_GFX103(sscreen->info.chip_class >= GFX10_3 ? 30 : 0); + S_028838_VERTEX_REUSE_DEPTH(sscreen->info.chip_class >= GFX10_3 ? 30 : 0); shader->pa_cl_vs_out_cntl = si_get_vs_out_cntl(gs_sel, true); /* Oversubscribe PC. This improves performance when there are too many varyings. */ -- 2.30.2