write-enable sram into common wen signal, use that to enable wen from sel
[nmigen-soc.git] / nmigen_soc / wishbone / sram.py
2020-06-26 Luke Kenneth Casso... write-enable sram into common wen signal, use that...
2020-06-20 Luke Kenneth Casso... add assertion checking bus write against memory write...
2020-06-20 Luke Kenneth Casso... avoid looking like a singleton pattern
2020-06-20 Luke Kenneth Casso... spelling correctinos
2020-06-20 Luke Kenneth Casso... import error
2020-06-20 Luke Kenneth Casso... resolve internal (nmigen_soc) imports
2020-06-20 Luke Kenneth Casso... fix nmigen imports
2020-06-19 Luke Kenneth Casso... more whitespace cleanup
2020-06-19 Luke Kenneth Casso... autopep8 cleanup
2020-06-19 Luke Kenneth Casso... whitespace cleanup
2020-03-01 Harry Howishbone: fix SRAM; improve tests for Decoder & Arbiter wishbone_interconnect
2020-01-30 Harry Howishbone: fix docstring & unneeded parameter for Interc...
2020-01-29 Harry Howishbone.bus: borrow & re-design Arbiter from 'jfng...
2020-01-29 Harry Howishbone: optimise SRAM addr_width
2020-01-29 Harry Howishbone: add Arbiter, RoundRobin, SRAM, InterconnectShared