add redirection of __Cat__ to allow overrides for more advanced behaviour
[nmigen.git] / nmigen / hdl / ast.py
2021-09-28 Luke Kenneth Casso... add redirection of __Cat__ to allow overrides for more...
2021-09-28 Luke Kenneth Casso... add redirection of __Repl__ to allow overrides for...
2021-09-28 Luke Kenneth Casso... remove Mux Value.cast before passing to 1st argument...
2021-09-27 Luke Kenneth Casso... add redirection of __Assign__ to allow overrides for...
2021-09-27 Luke Kenneth Casso... add redirection of __Switch__ to allow overrides for...
2021-09-27 Luke Kenneth Casso... add redirection of __Mux__ to allow overrides for more...
2021-09-27 Anton Blanchardhdl.ast: remove quadratic time complexity in Statement...
2021-05-18 Robin Ole Heinemann*: remove unused imports
2021-03-18 whitequarkhdl.ast: handle int subclasses as slice start/stop...
2020-12-12 whitequarkhdl.ast: formatting. NFC.
2020-12-12 whitequarkhdl.ast: normalize case values to two's complement...
2020-11-21 whitequarkhdl.ast: remove dead code. NFC.
2020-11-06 whitequarkhdl.ast: deprecate UserValue in favor of ValueCastable.
2020-11-06 awyglehdl.ast: implement ValueCastable.
2020-08-27 whitequarkhdl.ast: clarify exception message for out of bounds...
2020-08-26 whitequarkhdl.ast: avoid unnecessary sign padding in ArrayProxy.
2020-07-07 awyglehdl.ast: don't inherit Shape from NamedTuple.
2020-06-30 whitequarkAdd (heavily work in progress) documentation.
2020-05-24 Robin Ole Heinemannhdl.ast: fix typo
2020-05-20 whitequarkhdl.ast: add const-shift operations.
2020-05-19 whitequarkhdl.ast: clarify docs for Value.rotate_{left,right}.
2020-04-27 whitequarkhdl.ast: use SignalSet, not ValueSet, for _[lr]hs_signa...
2020-04-16 anuejnhdl.rec: make Record inherit from UserValue. working_23jun2020
2020-04-15 whitequarkback.rtlil: translate enum decoders to Yosys enum attri...
2020-04-13 Dan Ravenslofthdl.ast: add Value.{rotate_left,rotate_right}.
2020-04-12 whitequarkhdl.ast: improve repr() for Shape.
2020-03-22 whitequarkhdl.ast: implement abs() on values.
2020-02-19 whitequarkhdl.ast: fix off-by-1 in Initial.__init__().
2020-02-06 whitequarkhdl.ast: add Value.{as_signed,as_unsigned}.
2020-02-04 whitequarkhdl.{ast,dsl}: allow whitespace in bit patterns.
2020-02-01 whitequarkhdl.ast: update documentation for Signal.
2020-02-01 whitequarkhdl.ast: prohibit shifts by signed value.
2020-02-01 whitequarkhdl.ast: warn on unused property statements (Assert...
2020-01-12 whitequarkRemove everything deprecated in nmigen 0.1.
2020-01-11 Staf VerhaegenSignal: allow to use integral Enum for reset value.
2019-12-04 Marcin Kościelnickihdl.ast: Fix width for unary minus operator on signed...
2019-12-02 whitequarkhdl.ast: actually remove simulator commands.
2019-10-26 whitequarkhdl.ast: simplify {bit,word}_select with constant offset.
2019-10-13 whitequark{,_}tools→{,_}utils
2019-10-12 whitequarkhdl.ast: rename Slice.end back to Slice.stop.
2019-10-12 whitequark_tools: extract most utility methods to a private package.
2019-10-11 whitequarkRename remaining `wrap` methods to `cast`.
2019-10-11 whitequarkhdl.ast: deprecate shapes like `(1, True)` in favor...
2019-10-11 whitequarkhdl.ast: deprecate Signal.{range,enum}.
2019-10-11 whitequarkhdl.ast: add an explicit Shape class, included in prelude.
2019-10-11 whitequarkConsistently use {!r}, not '{!r}' in diagnostics.
2019-10-11 whitequarkhdl.ast: Operator.{op→operator}
2019-10-11 whitequarkhdl.ast: simplify enum handling.
2019-10-11 whitequarkhdl.ast: Value.{wrap→cast}
2019-10-04 whitequarkhdl.ast: prohibit signed divisors.
2019-10-02 whitequarkhdl.ast: don't crash on Mux(<bool>, ...).
2019-09-28 whitequarkhdl.ast: actually implement the // operator.
2019-09-23 whitequarkhdl.ast: cast Mux() selector to bool if it is not a...
2019-09-23 whitequarkhdl.ast: make Signal(name=) a keyword-only argument.
2019-09-21 whitequarkhdl.ast: update docs. NFC.
2019-09-20 whitequarkhdl.ast: rename `nbits` to `width`.
2019-09-16 whitequarkhdl.{ast,dsl}: add Signal.enum; coerce Enum to Value...
2019-09-14 whitequarkhdl.ast: add Value.matches(), accepting same language...
2019-09-13 whitequarkhdl.ast: add Value.xor, mapping to $reduce_xor.
2019-09-13 whitequarkhdl.ast: add Value.{any,all}, mapping to $reduce_{or...
2019-09-10 whitequarkhdl.ast: warn if reset value is truncated.
2019-09-08 whitequarkhdl.ast: check type of Sample(domain=...).
2019-09-08 whitequarkhdl.ast: add Signal.range(...), to replace Signal(min...
2019-09-03 whitequarkhdl.ast,back.rtlil: implement Cover.
2019-08-19 whitequarkformal→asserts
2019-08-15 whitequarkhdl.ast: implement Initial.
2019-08-08 whitequarkhdl.ast: hash-cons ValueKey.
2019-08-03 whitequarkhdl.ast: fix typo.
2019-08-03 whitequarkhdl.ast: deprecate Value.part, add Value.{bit,word...
2019-08-03 whitequarkhdl.ast, back.rtlil: add source locations to anonymous...
2019-07-09 whitequarkhdl.{ast,dsl},back.rtlil: track source locations for...
2019-07-08 whitequarkhdl.{ast,cd,dsl,xfrm}: reject inappropriately used...
2019-07-08 whitequarkhdl.{dsl,mem,xfrm}: inject appropriate source locations.
2019-07-08 whitequarkhdl.ast: use keyword-only arguments as appropriate.
2019-07-03 whitequarkback.rtlil: emit \src attributes for processes via...
2019-07-03 whitequarkhdl.ast: fix src_loc_at for Mux().
2019-07-02 whitequarkhdl.ast: recognize a Enum used as decoder and format...
2019-06-28 whitequarkhdl.{ast,dsl}, back.{pysim,rtlil}: allow multiple case...
2019-06-25 whitequarkhdl.{ast,dst}: directly represent RTLIL default case.
2019-06-13 whitequarkhdl.ast: tighten assertion in Switch().
2019-06-12 whitequarkhdl.ast: add name_suffix=".." option to Signal.like().
2019-06-11 whitequarkhdl.ast: implement values with custom lowering.
2019-05-12 whitequarkhdl: make all public Value classes other than Record...
2019-04-21 whitequarkhdl.ast: accept Signals with identical min/max bounds.
2019-04-10 whitequarkhdl.ast: fix some type checks.
2019-04-03 whitequarkhdl.ast: handle a common typo, such as Signal(1, True).
2019-03-25 whitequarkhdl.ast: fix typo.
2019-03-03 whitequarktracer: factor out get_var_name(default=).
2019-01-26 whitequarkhdl.ast: fix ValueKey for Cat.
2019-01-26 whitequarkhdl.ast: fix shape calculation for *.
2019-01-19 whitequarkhdl.ast: implement shape for modulo operator.
2019-01-19 whitequarkhdl.ast: add Value.implies.
2019-01-19 whitequarkhdl.ast: give Assert and Assume their own src_loc.
2019-01-18 whitequarkback.rtlil: only emit each AnyConst/AnySeq cell once.
2019-01-17 whitequarkhdl.ast: allow sampling ClockSignal, ResetSignal.
2019-01-17 whitequarkhdl.ast: add Past, Stable, Rose, Fell.
2019-01-17 whitequarkhdl.ast: add Sample.
2019-01-16 whitequarkhdl.ast: fix naming of Signal.like() signals when trace...
2019-01-15 William D. Joneshdl.ast: Add AnyConst and AnySeq value types.
2019-01-14 whitequarkhdl: make ClockSignal and ResetSignal usable on LHS.
next