vendor.xilinx_7series: add `_part` property getter
[nmigen.git] / nmigen /
2020-08-24 Mariusz Glebockivendor.xilinx_7series: add `_part` property getter
2020-08-22 Xiretzacli: Improve help texts
2020-08-15 awyglelib.fifo: add `r_level` and `w_level` to all FIFOs
2020-08-10 Robin Ole Heinemannvendor.lattice_{ecp5,machxo_2_3l}: specify impl-dir...
2020-07-31 whitequarkbuild,vendor: never carry around parts of differential...
2020-07-31 whitequarkvendor.xilinx_{7series,ultrascale}: use BUFGCTRL rather...
2020-07-30 Adam Greighdl.mem: cast reset value for transparent read ports...
2020-07-28 Jean THOMASnmigen.lib.scheduler: add RoundRobin.
2020-07-28 Jacob Gravestests: fix remove unnecessary workaround for some unitt...
2020-07-23 whitequarkvendor.xilinx_{7series,ultrascale}: add SIM_DEVICE...
2020-07-23 Jean THOMASvendor.lattice_ecp5: add missing differential IO types.
2020-07-22 whitequarkback.rtlil: lower maximum accepted wire size.
2020-07-22 whitequarksim._pycoro: avoid spurious wakeups.
2020-07-21 whitequarkcompat.fhdl.bitcontainer: fix value_bits_sign().
2020-07-15 whitequarkback.rtlil: fix guard for division by zero.
2020-07-13 whitequarklib.cdc: fix typo.
2020-07-13 Jacob Lifshaysim.pysim: write the next, not curr signal value to...
2020-07-11 whitequarksim.pysim: use VCD aliases to reduce space and time...
2020-07-08 whitequarksim: simplify. NFC.
2020-07-08 whitequarkback.pysim→sim.pysim; split into more manageable parts.
2020-07-08 whitequarkvendor.xilinx_{7series,ultrascale}: remove `grade`...
2020-07-08 whitequarkback.pysim: only extract signal names if VCD is requested.
2020-07-08 whitequarkback.pysim: reset timeline as well.
2020-07-08 whitequarkback.pysim: simplify. NFC.
2020-07-08 whitequarkback.pysim: extract timeline handling to class _Timelin...
2020-07-08 whitequarkback.pysim: extract simulator commands to sim._cmds...
2020-07-08 whitequarkback.pysim: simplify. NFC.
2020-07-07 awyglehdl.ast: don't inherit Shape from NamedTuple.
2020-07-07 whitequarkback.pysim: simplify.
2020-07-07 whitequarkback.pysim: simplify. NFC.
2020-07-07 whitequarkback.pysim: simplify. NFC.
2020-07-07 whitequarkback.pysim: synchronize waveform writing with cxxrtl.
2020-07-07 whitequarkback.pysim: synchronize terms with cxxrtl. NFC.
2020-07-07 whitequarkback.pysim: simplify. NFC.
2020-07-07 whitequarkback.pysim: simplify. NFC.
2020-07-07 whitequarkRemove everything deprecated in nmigen 0.2.
2020-07-06 Konrad Beckmannvendor.lattice_ecp5: Add support for io with xdr=7
2020-07-06 Konrad Beckmannvendor.lattice_ecp5: Add support for io with xdr=4
2020-07-02 whitequarktest: remove FHDLTestCase.assertRaisesRegex.
2020-07-02 whitequarkcompat.fhdl.specials: fix handling of tristate (i=None...
2020-07-02 whitequark_yosys→_toolchain.yosys
2020-07-02 whitequarkvendor: `yosys` is not a required tool for proprietary...
2020-07-01 whitequarkGracefully handle missing dependencies.
2020-06-30 whitequarkAdd (heavily work in progress) documentation.
2020-06-30 whitequarkDon't use pkg_resources.
2020-06-28 whitequarklib.cdc: update PulseSynchronizer to follow conventions.
2020-06-28 whitequarkback.pysim: simplify.
2020-06-23 Alan Green_yosys: handle unparseable versions working2
2020-06-21 whitequarkvendor.lattice_machxo2: add back as a compatibility...
2020-06-21 Gwenhael Goavec... vendor.lattice_machxo*: add MachXO3L support.
2020-06-14 whitequarkback.verilog: refactor Yosys script generation. NFCI.
2020-06-14 whitequarkback.cxxrtl: allow injecting black boxes.
2020-06-14 whitequark_yosys: add a way to retrieve Yosys data directory.
2020-06-14 whitequark_yosys: fix typo in error message.
2020-06-11 whitequarktest: fix example test after commit a7b8ced9.
2020-06-11 whitequarkback.cxxrtl: new backend.
2020-06-11 whitequark_yosys: translate Yosys warnings to Python warnings.
2020-06-11 whitequarknmigen.cli: fix file type autodetection code.
2020-06-11 whitequarkback.verilog: remove unused imports. NFC.
2020-06-06 Adam Greighdl.xfrm: preserve allow_reset_less when transforming...
2020-06-05 Shawn Anastasiohdl.rec: preserve shapes when constructing a layout.
2020-05-31 whitequarkvendor.lattice_ice40: reword confusing comment. NFC.
2020-05-24 Robin Ole Heinemannhdl.ast: fix typo
2020-05-22 whitequarkback.verilog: fall back to nmigen_yosys package.
2020-05-21 whitequarkvendor.intel: don't use `write_verilog -decimal`.
2020-05-21 whitequarkvendor.intel: double-quote Tcl values rather than brace...
2020-05-21 whitequarkvendor.xilinx_{7series,ultrascale}: don't use `write_ve...
2020-05-20 whitequarkbuild.plat: skip clock constraints on unused signals.
2020-05-20 whitequarkvendor.xilinx_{7series,ultrascale}: add (*keep*) on...
2020-05-20 whitequarkhdl.ast: add const-shift operations.
2020-05-19 whitequarkhdl.ast: clarify docs for Value.rotate_{left,right}.
2020-05-19 whitequarkhdl.dsl: check for unique domain name.
2020-05-19 whitequarkback.rtlil: handle signed and large Instance parameters...
2020-05-17 whitequarktracer: fix get_var_name() to work on toplevel attributes.
2020-05-08 Gwenhael Goavec... vendor.lattice_machxo2: generate binary bitstreams.
2020-05-02 whitequarkplat, vendor: systematically escape net and file names...
2020-04-28 whitequarkback.rtlil: fix incorrect escaping of signed parameters.
2020-04-27 whitequarkhdl.ast: use SignalSet, not ValueSet, for _[lr]hs_signa...
2020-04-27 whitequarklib.cdc: add missing documentation for AsyncFFSynchroni...
2020-04-24 awyglelib.fifo: add r_rst output for AsyncFIFO{,Buffered}.
2020-04-24 awyglehdl.ir: typecheck `convert(ports=)` more carefully.
2020-04-23 Teguh Hofsteeback.verilog: add workaround for evaluation Verific...
2020-04-22 Teguh Hofsteeback.verilog: make Yosys version check compatible with...
2020-04-21 Kate Temkinvendor: use nextpnr -12k for -12F devices; remove theor...
2020-04-16 anuejnhdl.rec: make Record inherit from UserValue. working_23jun2020
2020-04-15 whitequarkback.rtlil: translate enum decoders to Yosys enum attri...
2020-04-14 whitequarkbuil.plat: enable strict undefined behavior in Jinja2.
2020-04-13 whitequarkback.rtlil: don't emit connections to zero width ports.
2020-04-13 whitequarkback.rtlil: refuse to create extremely large wires.
2020-04-13 whitequarkback.rtlil: fix expansion of Part() for partial dummy...
2020-04-13 whitequarkback.rtlil: fix legalization of Part() with stride.
2020-04-13 whitequarkClarify a few comments. NFC.
2020-04-13 Dan Ravenslofthdl.ast: add Value.{rotate_left,rotate_right}.
2020-04-12 whitequarkbuild.run: fix BuildProducts.extract to work with subdi...
2020-04-12 whitequarkhdl.rec: improve repr() for Layout.
2020-04-12 whitequarkhdl.ast: improve repr() for Shape.
2020-04-12 whitequarkbuild.plat: don't check for toolchain presence if do_bu...
2020-04-08 Stuart Olsenback.pysim: Clear pending updates after they are effected
2020-04-07 Stuart Olsenback.pysim: Eliminate duplicate dict lookup in VCD...
2020-04-07 Stuart Olsenback.pysim: Reuse clock simulation commands
next