nmigen.git
2020-08-26 whitequarklib.cdc: in AsyncFFSynchronizer(), rename domain= to...
2020-08-24 Robin Ole Heinemannvendor.lattice_machxo_2_3l: add SRAM svf generation
2020-08-24 Mariusz Glebockivendor: Add initial support for Symbiflow for Xilinx...
2020-08-24 Mariusz Glebockivendor.xilinx_7series: add `_part` property getter
2020-08-22 Xiretzacli: Improve help texts
2020-08-15 whitequarkdocs/lang: use less confusing placeholder variable...
2020-08-15 awyglelib.fifo: add `r_level` and `w_level` to all FIFOs
2020-08-13 whitequarkAdd Linguist tags to .gitattributes.
2020-08-10 Robin Ole Heinemannvendor.lattice_{ecp5,machxo_2_3l}: specify impl-dir...
2020-07-31 whitequarkbuild,vendor: never carry around parts of differential...
2020-07-31 whitequarkvendor.xilinx_{7series,ultrascale}: use BUFGCTRL rather...
2020-07-30 Adam Greighdl.mem: cast reset value for transparent read ports...
2020-07-28 Jean THOMASnmigen.lib.scheduler: add RoundRobin.
2020-07-28 Jacob Gravestests: fix remove unnecessary workaround for some unitt...
2020-07-23 whitequarkvendor.xilinx_{7series,ultrascale}: add SIM_DEVICE...
2020-07-23 Jean THOMASvendor.lattice_ecp5: add missing differential IO types.
2020-07-22 whitequarkback.rtlil: lower maximum accepted wire size.
2020-07-22 whitequarksim._pycoro: avoid spurious wakeups.
2020-07-22 whitequarkCI: replace Travis with GitHub Actions.
2020-07-21 whitequarkcompat.fhdl.bitcontainer: fix value_bits_sign().
2020-07-16 whitequarkCI: use WASM yosys instead of building our own.
2020-07-15 whitequarkback.rtlil: fix guard for division by zero.
2020-07-14 Filipe Laínsdocs: add install instructions for arch
2020-07-14 whitequarkCI: run on pull requests as well, not just pushes.
2020-07-13 whitequarklib.cdc: fix typo.
2020-07-13 Jacob Lifshaysim.pysim: write the next, not curr signal value to...
2020-07-11 whitequarksim.pysim: use VCD aliases to reduce space and time...
2020-07-08 whitequarksim: simplify. NFC.
2020-07-08 whitequarkback.pysim→sim.pysim; split into more manageable parts.
2020-07-08 whitequarkvendor.xilinx_{7series,ultrascale}: remove `grade`...
2020-07-08 whitequarkback.pysim: only extract signal names if VCD is requested.
2020-07-08 whitequarkback.pysim: reset timeline as well.
2020-07-08 whitequarkback.pysim: simplify. NFC.
2020-07-08 whitequarkback.pysim: extract timeline handling to class _Timelin...
2020-07-08 whitequarkback.pysim: extract simulator commands to sim._cmds...
2020-07-08 whitequarkback.pysim: simplify. NFC.
2020-07-07 awyglehdl.ast: don't inherit Shape from NamedTuple.
2020-07-07 whitequarkback.pysim: simplify.
2020-07-07 whitequarkback.pysim: simplify. NFC.
2020-07-07 whitequarkback.pysim: simplify. NFC.
2020-07-07 whitequarkback.pysim: synchronize waveform writing with cxxrtl.
2020-07-07 whitequarkback.pysim: synchronize terms with cxxrtl. NFC.
2020-07-07 whitequarkback.pysim: simplify. NFC.
2020-07-07 whitequarkback.pysim: simplify. NFC.
2020-07-07 whitequarkRemove everything deprecated in nmigen 0.2.
2020-07-06 Alan GreenUpdate license and copyright info
2020-07-06 Konrad Beckmannvendor.lattice_ecp5: Add support for io with xdr=7
2020-07-06 Konrad Beckmannvendor.lattice_ecp5: Add support for io with xdr=4
2020-07-05 whitequarkdocs: use working sphinxcontrib-platformpicker.
2020-07-05 whitequarkdocs: use sphinxcontrib-platformpicker.
2020-07-04 whitequarkdocs: link to community tutorials until we have an...
2020-07-02 whitequarkdocs/lang: document constshifts.
2020-07-02 whitequarkdocs/index: rename to "Language & toolchain".
2020-07-02 whitequarktest: remove FHDLTestCase.assertRaisesRegex.
2020-07-02 whitequarkcompat.fhdl.specials: fix handling of tristate (i=None...
2020-07-02 whitequark_yosys→_toolchain.yosys
2020-07-02 whitequarkvendor: `yosys` is not a required tool for proprietary...
2020-07-01 whitequarksetup: add wheel as setup dependency.
2020-07-01 whitequarksetup: gracefully recover from missing setuptools_scm.
2020-07-01 whitequarksetup: link to the right documentation version from...
2020-07-01 whitequarkUpdate README.
2020-07-01 whitequarkUpdate README.
2020-07-01 whitequarkdocs/install: use pip/pip3 more consistently.
2020-07-01 whitequarkdocs: clarify naming.
2020-07-01 whitequarkRevert "Add PEP 518 `pyproject.toml`."
2020-07-01 whitequarkdocs: add a crude approximation of intersphinx toctrees.
2020-07-01 whitequarkGracefully handle missing dependencies.
2020-07-01 whitequarkdocs/install: fix dead link.
2020-07-01 whitequarkdocs/install: quote special chars to avoid issues with...
2020-07-01 whitequarkdocs/install: explain how to install non-editable snaps...
2020-07-01 whitequarkAdd PEP 518 `pyproject.toml`.
2020-07-01 whitequarkdocs/install: use `pip install --user` on *nix.
2020-07-01 whitequarkdocs/install: reduce repetition.
2020-07-01 whitequarkREADME: update to refer to documentation where applicable.
2020-07-01 whitequarkdocs: reword slightly.
2020-07-01 whitequarkdocs: explain how to install GTKWave on Windows.
2020-07-01 whitequarkCI: build docs on all pushes, update only on
2020-07-01 whitequarkdocs: rewrite install instructions to be easier to...
2020-06-30 whitequarksetup: link to proper location for docs.
2020-06-30 whitequarkdocs: fix syntax.
2020-06-30 whitequarkdocs: fix CI workflow.
2020-06-30 whitequarkdocs: explain that `pip3 install -e` should be run...
2020-06-30 whitequarkAdd (heavily work in progress) documentation.
2020-06-30 whitequarkDon't use pkg_resources.
2020-06-28 whitequarklib.cdc: update PulseSynchronizer to follow conventions.
2020-06-28 whitequarkback.pysim: simplify.
2020-06-23 Alan Green_yosys: handle unparseable versions working2
2020-06-21 whitequarkvendor.lattice_machxo2: add back as a compatibility...
2020-06-21 Gwenhael Goavec... vendor.lattice_machxo*: add MachXO3L support.
2020-06-14 whitequarkback.verilog: refactor Yosys script generation. NFCI.
2020-06-14 whitequarkback.cxxrtl: allow injecting black boxes.
2020-06-14 whitequark_yosys: add a way to retrieve Yosys data directory.
2020-06-14 whitequark_yosys: fix typo in error message.
2020-06-11 whitequarktest: fix example test after commit a7b8ced9.
2020-06-11 whitequarkback.cxxrtl: new backend.
2020-06-11 whitequark_yosys: translate Yosys warnings to Python warnings.
2020-06-11 whitequarknmigen.cli: fix file type autodetection code.
2020-06-11 whitequarkback.verilog: remove unused imports. NFC.
2020-06-06 Adam Greighdl.xfrm: preserve allow_reset_less when transforming...
2020-06-05 Shawn Anastasiohdl.rec: preserve shapes when constructing a layout.
next