fixed bug in MultiCompUnit, can return to combinatorial setting
authorLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Sun, 7 Nov 2021 12:47:30 +0000 (12:47 +0000)
committerLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Sun, 7 Nov 2021 12:47:30 +0000 (12:47 +0000)
commit1a4ce85f335b82044a2ee70f299acb69b871041e
tree75884d94187685d2166e5da0f6971915c330d159
parentad6a3e08867098930c8965acf8c03c500c2cc1be
fixed bug in MultiCompUnit, can return to combinatorial setting
https://bugs.libre-soc.org/show_bug.cgi?id=742

wrmask was interfering by being in too many places, and it is the
amalgamation of "data ok" signals.  wrmask had to be set and left
set, in order for (unnecessary) determination of end of the requests
src/nmutil/concurrentunit.py