Clean up VcsSim init()
authorTim Newsome <tim@sifive.com>
Thu, 30 Nov 2017 19:50:18 +0000 (11:50 -0800)
committerTim Newsome <tim@sifive.com>
Thu, 30 Nov 2017 19:50:18 +0000 (11:50 -0800)
commite9433dddac3ae61451a5747fa70c6ed6b5f49611
tree5465025f8e4f71bbd2ee0c672fc33ea3acb4d066
parent32bf8cb2e7f76736896dc17fcb7996db24ec2320
Clean up VcsSim init()

Use a unique log file, so you can run multiple instances at once.
Add time out to waiting for the simulator to be ready.
debug/testlib.py