tidy up flexbus interface
authorLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Fri, 27 Jul 2018 05:51:12 +0000 (06:51 +0100)
committerLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Fri, 27 Jul 2018 05:51:12 +0000 (06:51 +0100)
commit500f32c43a059e2e806d302173fdfce18a469bdd
treea0f3b684ecc780bf5fb5e72d4410c944cafb2b63
parenta25c6287911439a284f0d7afcb875fa0e82e9439
tidy up flexbus interface
src/peripherals/flexbus/FlexBus_Types.bsv
src/peripherals/rgbttl/Makefile
src/peripherals/rgbttl/instance_defines.bsv [deleted file]
src/peripherals/rgbttl/test/instance_defines.bsv [new file with mode: 0644]