replace defined_parameters with instance_defines
authorLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Sat, 28 Jul 2018 08:59:17 +0000 (09:59 +0100)
committerLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Sat, 28 Jul 2018 08:59:17 +0000 (09:59 +0100)
15 files changed:
src/peripherals/bootrom/BootRom.bsv
src/peripherals/clint/clint.bsv
src/peripherals/dma/DMA.bsv
src/peripherals/dma/tb_DMA.bsv
src/peripherals/dma/tb_DMA_AXI_Memory.bsv
src/peripherals/sdram/sdr_top.bsv
src/peripherals/sdram/tb_bsv_wrapper.bsv
src/peripherals/sdram/tb_top.bsv
src/peripherals/tdm/TCM.bsv
src/peripherals/vme/Memory_vme_16.bsv
src/peripherals/vme/Memory_vme_32.bsv
src/peripherals/vme/Memory_vme_8.bsv
src/peripherals/vme/vme_top.bsv
src/uncore/debug/DebugModule.bsv
src/uncore/tilelink/TLMemoryMap.bsv

index cd67f37ceabf4b41de2b9eb6f034f4b428ee72bc..04f185b36fd5c67b4e8930ce094eeb95c02897f1 100644 (file)
@@ -13,7 +13,7 @@ THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
 */
 package BootRom;
        import defined_types::*;
-       `include "defined_parameters.bsv"
+       `include "instance_defines.bsv"
        import BRAMCore :: *;
        import DReg::*;
        import Semi_FIFOF        :: *;
index cdd4f4f3bcb288e67a1de9dff5801b539583fbae..270ec0f2a61e6f08c12824f20a7f3b8d3186972b 100644 (file)
@@ -21,7 +21,7 @@ package clint;
        /*======================== */
        /*==== Project imports ====*/
        import defined_types::*;
-       `include "defined_parameters.bsv"       
+       `include "instance_defines.bsv" 
        /*=========================*/
 
        interface Ifc_clint;
index ac511204598e81a923d9c18d163fe78d384abcd1..3d1cced67087ab621860a9bf2e1bc2fb166f0b09 100644 (file)
@@ -38,7 +38,7 @@ import ConcatReg :: *;
 import ConfigReg :: *;
 
 `define Burst_length_bits 8
-`include "defined_parameters.bsv"
+`include "instance_defines.bsv"
 `define verbose
 // ================================================================
 // DMA requests and responses parameters
index d0a085f04194cb8cdbc97ee8205b9ea912437d8d..1dc72450c12424ace854de627336c3190f7e397b 100644 (file)
@@ -23,7 +23,7 @@ package tb_DMA;
        import Clocks :: * ;
        import DReg ::*;
        import defined_types::*;
-       `include "defined_parameters.bsv"
+       `include "instance_defines.bsv"
 
 
        `define Num_DMA_Channels 7
index 7c070efec654c91324862033b1865da9f862cd26..7701a6c9c8804f1f6b753fcbff15fc5c99f82d6e 100644 (file)
@@ -23,7 +23,7 @@ package tb_DMA_AXI_Memory;
        import RegFile::*;
        import Clocks :: * ;
        import DReg ::*;
-       `include "defined_parameters.bsv"
+       `include "instance_defines.bsv"
        typedef 2 Num_Masters;
        typedef 3 Num_Slaves;
 
index a33e06d53309a58db9780e9b6378fd4692a4fe88..ec1d82167c6112fb7b77f9e8dd93d17cfcbb5e85 100644 (file)
@@ -12,7 +12,7 @@ THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
 ---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
 */
 
-`include "defined_parameters.bsv"
+`include "instance_defines.bsv"
 `define DELAY 250
 `define SDR_RFSH_TIMER_W    12
 `define SDR_RFSH_ROW_CNT_W  3
index a834b29ce260597615dce2412fa7e52351856d8d..38f1042fdb8bad9779f8039f8f7dfab19bbef550 100644 (file)
@@ -18,7 +18,7 @@ import AXI4_Types   :: *;
 import AXI4_Fabric  :: *;
 import bsvmksdram_model_wrapper :: *;
 import Connectable       :: *;
-`include "defined_parameters.bsv"
+`include "instance_defines.bsv"
 
 `define DELAY 10200
 
index 8e920a08b1db4770631c3300da5a88a68515fbcb..4cba9212b134bf0db007f97a88decf1afa7f4508 100644 (file)
@@ -19,7 +19,7 @@ import AXI4_Fabric  :: *;
 import sdr_top           :: *;
 import tb_bsv_wrapper    :: *;
 import Connectable       :: *;
-`include "defined_parameters.bsv"
+`include "instance_defines.bsv"
 
 
 typedef 1 Num_Masters;
index a9b48cdc22300177b53f1739ae4da2545a883d90..1ec417c601ea0c6e27eabda5c23666bb85bb7d81 100644 (file)
@@ -14,7 +14,7 @@ THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
 package TCM;
        /*====== Porject imports ====*/
        import defined_types::*;
-       `include "defined_parameters.bsv"
+       `include "instance_defines.bsv"
        import Semi_FIFOF        :: *;
        import AXI4_Types   :: *;
        import AXI4_Fabric  :: *;
index 234cc5e51f07a3e26fe861665e66bb79a1734ed3..57c614fd3494dbc90240331ff521427ac58f598b 100644 (file)
@@ -14,7 +14,7 @@ THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
 
 package Memory_vme_16;
        import defined_types::*;
-       `include "defined_parameters.bsv"
+       `include "instance_defines.bsv"
        import BRAMCore :: *;
  //       import TriState ::*;
 //     import DReg::*;
index d5ee3b100481ebcdf7729a4774a1288fcdd9d754..d228c4761e6075a2cd84bb5982a8d72d5d759a3e 100644 (file)
@@ -16,7 +16,7 @@ THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
 package Memory_vme_32;
        
        import defined_types::*;
-       `include "defined_parameters.bsv"
+       `include "instance_defines.bsv"
        import BRAMCore :: *;
        `include "vme_parameters.bsv"
 
index e8bb898c6a06f26022ebe972b675767aeb3fd445..502ecd79a9f9bb97db9888cff335b11a911f65d0 100644 (file)
@@ -15,7 +15,7 @@ THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
 
 package Memory_vme_8;
        import defined_types::*;
-       `include "defined_parameters.bsv"
+       `include "instance_defines.bsv"
        import BRAMCore :: *;
 
 
index 502e0d86576513355b4a8cac22e637fc15a71a3f..bc0428f0b5ef9379e59461e9e5de06e4cac4ff8f 100644 (file)
@@ -29,7 +29,7 @@ package vme_top;
 
        /*========= Project imports ======== */
        `include "vme_parameters.bsv"
-       `include "defined_parameters.bsv"
+       `include "instance_defines.bsv"
        import defined_types    ::*;
        import FIFOF                                    ::*;
        import vme_master :: *;
index 75636cc62111081a71934d6230d93a53bea833cd..8b2807c5971bd284a0534e6e991e42ee13d65619 100644 (file)
@@ -29,7 +29,7 @@ package DebugModule;
        import AXI4_Types::*;
        import AXI4_Fabric::*;
        `include "defines.bsv"
-       `include "defined_parameters.bsv"
+       `include "instance_defines.bsv"
        import defined_types::*;
        import core                     :: *;
        /*========================= */
index 7c5823deab3576f9fe157c21b515738fae45efe3..542ff47924fbe14d90d709fdf196afa5b70e4f37 100644 (file)
@@ -14,7 +14,7 @@ THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
 package MemoryMap;
        /*=== Project imports ==== */
        import defined_types::*;
-       `include "defined_parameters.bsv"
+       `include "instance_defines.bsv"
        /*========================= */