missing semicolon
authorLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Thu, 2 Aug 2018 09:20:31 +0000 (10:20 +0100)
committerLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Thu, 2 Aug 2018 09:20:31 +0000 (10:20 +0100)
src/peripherals/sdram/sdr_top.bsv

index 1ab55e4559ffe058260cc673b7a0d7552e9a80ef..cc3e0b080e7cf111b5821e447c686847c8bd3c82 100644 (file)
@@ -756,7 +756,7 @@ module mksdr_axi4_slave#(Clock clk0) (Ifc_sdr_slave);
     interface Ifc_sdram_out ifc_sdram_out;
 
         interface ipad_sdr_din = interface Put
-            method Action put(Bit#(64) in) 
+            method Action put(Bit#(64) in);
                 sdr_cntrl.ipad_sdr_din <= in;
             endmethod
         endinterface;