From: Luke Kenneth Casson Leighton Date: Mon, 30 Jul 2018 10:37:02 +0000 (+0100) Subject: add always ready/enabled to get/put X-Git-Url: https://git.libre-soc.org/?p=shakti-peripherals.git;a=commitdiff_plain;h=0128c8f8effb17f73ce277415573165cded44176 add always ready/enabled to get/put --- diff --git a/src/lib/ifc_sync.bsv b/src/lib/ifc_sync.bsv index bfdd8c3..c179206 100644 --- a/src/lib/ifc_sync.bsv +++ b/src/lib/ifc_sync.bsv @@ -3,6 +3,7 @@ package ifc_sync; import Clocks::*; import GetPut::*; + (*always_ready,always_enabled*) interface Ifc_sync#(type a); interface Put#(a) put; interface Get#(a) get;