d7b522fd7eaa0ab38c7a67638387353fe60ddeb5
[sifive-blocks.git] / src / main / scala / ip / xilinx / vc707mig / vc707mig.scala
1 // See LICENSE for license details.
2 package sifive.blocks.ip.xilinx.vc707mig
3
4 import Chisel._
5 import chisel3.experimental.{Analog,attach}
6 import config._
7 import junctions._
8
9 // IP VLNV: xilinx.com:customize_ip:vc707mig:1.0
10 // Black Box
11
12 trait VC707MIGIODDR extends Bundle {
13 val ddr3_addr = Bits(OUTPUT,14)
14 val ddr3_ba = Bits(OUTPUT,3)
15 val ddr3_ras_n = Bool(OUTPUT)
16 val ddr3_cas_n = Bool(OUTPUT)
17 val ddr3_we_n = Bool(OUTPUT)
18 val ddr3_reset_n = Bool(OUTPUT)
19 val ddr3_ck_p = Bits(OUTPUT,1)
20 val ddr3_ck_n = Bits(OUTPUT,1)
21 val ddr3_cke = Bits(OUTPUT,1)
22 val ddr3_cs_n = Bits(OUTPUT,1)
23 val ddr3_dm = Bits(OUTPUT,8)
24 val ddr3_odt = Bits(OUTPUT,1)
25
26 val ddr3_dq = Analog(64.W)
27 val ddr3_dqs_n = Analog(8.W)
28 val ddr3_dqs_p = Analog(8.W)
29 }
30
31 //reused directly in io bundle for sifive.blocks.devices.xilinxvc707mig
32 trait VC707MIGIOClocksReset extends Bundle {
33 //inputs
34 //"NO_BUFFER" clock source (must be connected to IBUF outside of IP)
35 val sys_clk_i = Bool(INPUT)
36 //user interface signals
37 val ui_clk = Clock(OUTPUT)
38 val ui_clk_sync_rst = Bool(OUTPUT)
39 val mmcm_locked = Bool(OUTPUT)
40 val aresetn = Bool(INPUT)
41 //misc
42 val init_calib_complete = Bool(OUTPUT)
43 val sys_rst = Bool(INPUT)
44 }
45
46 //scalastyle:off
47 //turn off linter: blackbox name must match verilog module
48 class vc707mig(implicit val p:Parameters) extends BlackBox
49 {
50 val io = new Bundle with VC707MIGIODDR
51 with VC707MIGIOClocksReset {
52 // User interface signals
53 val app_sr_req = Bool(INPUT)
54 val app_ref_req = Bool(INPUT)
55 val app_zq_req = Bool(INPUT)
56 val app_sr_active = Bool(OUTPUT)
57 val app_ref_ack = Bool(OUTPUT)
58 val app_zq_ack = Bool(OUTPUT)
59 //axi_s
60 //slave interface write address ports
61 val s_axi_awid = Bits(INPUT,4)
62 val s_axi_awaddr = Bits(INPUT,30)
63 val s_axi_awlen = Bits(INPUT,8)
64 val s_axi_awsize = Bits(INPUT,3)
65 val s_axi_awburst = Bits(INPUT,2)
66 val s_axi_awlock = Bits(INPUT,1)
67 val s_axi_awcache = Bits(INPUT,4)
68 val s_axi_awprot = Bits(INPUT,3)
69 val s_axi_awqos = Bits(INPUT,4)
70 val s_axi_awvalid = Bool(INPUT)
71 val s_axi_awready = Bool(OUTPUT)
72 //slave interface write data ports
73 val s_axi_wdata = Bits(INPUT,64)
74 val s_axi_wstrb = Bits(INPUT,8)
75 val s_axi_wlast = Bool(INPUT)
76 val s_axi_wvalid = Bool(INPUT)
77 val s_axi_wready = Bool(OUTPUT)
78 //slave interface write response ports
79 val s_axi_bready = Bool(INPUT)
80 val s_axi_bid = Bits(OUTPUT,4)
81 val s_axi_bresp = Bits(OUTPUT,2)
82 val s_axi_bvalid = Bool(OUTPUT)
83 //slave interface read address ports
84 val s_axi_arid = Bits(INPUT,4)
85 val s_axi_araddr = Bits(INPUT,30)
86 val s_axi_arlen = Bits(INPUT,8)
87 val s_axi_arsize = Bits(INPUT,3)
88 val s_axi_arburst = Bits(INPUT,2)
89 val s_axi_arlock = Bits(INPUT,1)
90 val s_axi_arcache = Bits(INPUT,4)
91 val s_axi_arprot = Bits(INPUT,3)
92 val s_axi_arqos = Bits(INPUT,4)
93 val s_axi_arvalid = Bool(INPUT)
94 val s_axi_arready = Bool(OUTPUT)
95 //slave interface read data ports
96 val s_axi_rready = Bool(INPUT)
97 val s_axi_rid = Bits(OUTPUT,4)
98 val s_axi_rdata = Bits(OUTPUT,64)
99 val s_axi_rresp = Bits(OUTPUT,2)
100 val s_axi_rlast = Bool(OUTPUT)
101 val s_axi_rvalid = Bool(OUTPUT)
102 //misc
103 val device_temp = Bits(OUTPUT,12)
104 }
105 }
106 //scalastyle:on