make some base bundle classes easier to clone (#20)
[sifive-blocks.git] / src / main / scala / devices / spi / SPIBundle.scala
index 5e2cadb1cda8fdf87c95781e886a6d988cbebf36..cb96df5829ef821d337883011b5f2e268f24aadb 100644 (file)
@@ -2,8 +2,9 @@
 package sifive.blocks.devices.spi
 
 import Chisel._
+import util.GenericParameterizedBundle
 
-abstract class SPIBundle(val c: SPIParamsBase) extends Bundle {
+abstract class SPIBundle(val c: SPIParamsBase) extends GenericParameterizedBundle(c) {
   override def cloneType: SPIBundle.this.type =
     this.getClass.getConstructors.head.newInstance(c).asInstanceOf[this.type]
 }