eae098658c633a07ef7fc4a433c17267c49953df
[soc-cocotb-sim.git] / ls180 / experiment9_recon / run_iverilog_ls180.sh
1 #!/bin/sh
2
3 touch mem.init mem_1.init mem_2.init mem_3.init mem_4.init
4 # Only run test in reset state as running CPU takes too much time to simulate
5 make \
6 SIM=icarus \
7 TOPLEVEL=ls180 \
8 COCOTB_RESULTS_FILE=results_iverilog_ls180.xml \
9 COCOTB_HDL_TIMEUNIT=100ps \
10 TESTCASE="idcode_reset,idcodesvf_reset,boundary_scan_reset" \
11 SIM_BUILD=sim_build_iverilog_ls180
12
13