e5f8c0d43798d1f92afcedd5809a942257880910
[soc.git] / src / soc / decoder / power_decoder2.py
1 """Power ISA Decoder second stage
2
3 based on Anton Blanchard microwatt decode2.vhdl
4
5 Note: OP_TRAP is used for exceptions and interrupts (micro-code style) by
6 over-riding the internal opcode when an exception is needed.
7 """
8
9 from nmigen import Module, Elaboratable, Signal, Mux, Const, Cat, Repl, Record
10 from nmigen.cli import rtlil
11 from soc.regfile.regfiles import XERRegs
12
13 from nmutil.picker import PriorityPicker
14 from nmutil.iocontrol import RecordObject
15 from nmutil.extend import exts
16
17 from soc.experiment.mem_types import LDSTException
18
19 from soc.decoder.power_regspec_map import regspec_decode_read
20 from soc.decoder.power_regspec_map import regspec_decode_write
21 from soc.decoder.power_decoder import create_pdecode
22 from soc.decoder.power_enums import (MicrOp, CryIn, Function,
23 CRInSel, CROutSel,
24 LdstLen, In1Sel, In2Sel, In3Sel,
25 OutSel, SPR, RC, LDSTMode,
26 SVEXTRA, SVEtype)
27 from soc.decoder.decode2execute1 import (Decode2ToExecute1Type, Data,
28 Decode2ToOperand)
29 from soc.sv.svp64 import SVP64Rec
30 from soc.consts import MSR
31
32 from soc.regfile.regfiles import FastRegs
33 from soc.consts import TT
34 from soc.config.state import CoreState
35 from soc.regfile.util import spr_to_fast
36
37
38 def decode_spr_num(spr):
39 return Cat(spr[5:10], spr[0:5])
40
41
42 def instr_is_priv(m, op, insn):
43 """determines if the instruction is privileged or not
44 """
45 comb = m.d.comb
46 is_priv_insn = Signal(reset_less=True)
47 with m.Switch(op):
48 with m.Case(MicrOp.OP_ATTN, MicrOp.OP_MFMSR, MicrOp.OP_MTMSRD,
49 MicrOp.OP_MTMSR, MicrOp.OP_RFID):
50 comb += is_priv_insn.eq(1)
51 # XXX TODO
52 #with m.Case(MicrOp.OP_TLBIE) : comb += is_priv_insn.eq(1)
53 with m.Case(MicrOp.OP_MFSPR, MicrOp.OP_MTSPR):
54 with m.If(insn[20]): # field XFX.spr[-1] i think
55 comb += is_priv_insn.eq(1)
56 return is_priv_insn
57
58
59 class SPRMap(Elaboratable):
60 """SPRMap: maps POWER9 SPR numbers to internal enum values, fast and slow
61 """
62
63 def __init__(self):
64 self.spr_i = Signal(10, reset_less=True)
65 self.spr_o = Data(SPR, name="spr_o")
66 self.fast_o = Data(3, name="fast_o")
67
68 def elaborate(self, platform):
69 m = Module()
70 with m.Switch(self.spr_i):
71 for i, x in enumerate(SPR):
72 with m.Case(x.value):
73 m.d.comb += self.spr_o.data.eq(i)
74 m.d.comb += self.spr_o.ok.eq(1)
75 for x, v in spr_to_fast.items():
76 with m.Case(x.value):
77 m.d.comb += self.fast_o.data.eq(v)
78 m.d.comb += self.fast_o.ok.eq(1)
79 return m
80
81
82 class SVP64RegExtra(Elaboratable):
83 """SVP64RegExtra - decodes SVP64 Extra fields to determine reg extension
84
85 incoming 5-bit GPR/FP is turned into a 7-bit and marked as scalar/vector
86 depending on info in one of the positions in the EXTRA field.
87
88 designed so that "no change" to the 5-bit register number occurs if
89 SV either does not apply or the relevant EXTRA2/3 field bits are zero.
90
91 see https://libre-soc.org/openpower/sv/svp64/
92 """
93 def __init__(self):
94 self.extra = Signal(10, reset_less=True)
95 self.etype = Signal(SVEtype, reset_less=True) # 2 or 3 bits
96 self.idx = Signal(SVEXTRA, reset_less=True) # which part of extra
97 self.reg_in = Signal(5) # incoming reg number (5 bits, RA, RB)
98 self.reg_out = Signal(7) # extra-augmented output (7 bits)
99 self.isvec = Signal(1) # reg is marked as vector if true
100
101 def elaborate(self, platform):
102 m = Module()
103 comb = m.d.comb
104
105 # first get the spec. if not changed it's "scalar identity behaviour"
106 # which is zero which is ok.
107 spec = Signal(3)
108
109 # back in the LDSTRM-* and RM-* files generated by sv_analysis.py
110 # we marked every op with an Etype: EXTRA2 or EXTRA3, and also said
111 # which of the 4 (or 3 for EXTRA3) sub-fields of bits 10:18 contain
112 # the register-extension information. extract those how
113 with m.Switch(self.etype):
114 # 2-bit index selection mode
115 with m.Case(SVEtype.EXTRA2):
116 with m.Switch(self.idx):
117 with m.Case(SVEXTRA.Idx0): # 1st 2 bits
118 comb += spec[1:3].eq(self.extra[0:2])
119 with m.Case(SVEXTRA.Idx1): # 2nd 2 bits
120 comb += spec[1:3].eq(self.extra[2:4])
121 with m.Case(SVEXTRA.Idx2): # 3rd 2 bits
122 comb += spec[1:3].eq(self.extra[4:6])
123 with m.Case(SVEXTRA.Idx3): # 4th 2 bits
124 comb += spec[1:3].eq(self.extra[6:8])
125 # 3-bit index selection mode
126 with m.Case(SVEtype.EXTRA3):
127 with m.Switch(self.idx):
128 with m.Case(SVEXTRA.Idx0): # 1st 3 bits
129 comb += spec.eq(self.extra[0:3])
130 with m.Case(SVEXTRA.Idx1): # 2nd 3 bits
131 comb += spec.eq(self.extra[3:6])
132 with m.Case(SVEXTRA.Idx2): # 3rd 3 bits
133 comb += spec.eq(self.extra[6:9])
134 # cannot fit more than 9 bits so there is no 4th thing
135
136 # now decode it. bit 2 is "scalar/vector". note that spec could be zero
137 # from above, which (by design) has the effect of "no change", below.
138
139 # simple: isvec is top bit of spec
140 comb += self.isvec.eq(spec[2])
141
142 # decode vector differently from scalar
143 with m.If(self.isvec):
144 # Vector: shifted up, extra in LSBs (RA << 2) | spec[0:1]
145 comb += self.reg_out.eq(Cat(spec[:2], self.reg_in))
146 with m.Else():
147 # Scalar: not shifted up, extra in MSBs RA | (spec[0:1] << 5)
148 comb += self.reg_out.eq(Cat(self.reg_in, spec[:2]))
149
150 return m
151
152
153 class DecodeA(Elaboratable):
154 """DecodeA from instruction
155
156 decodes register RA, implicit and explicit CSRs
157 """
158
159 def __init__(self, dec):
160 self.dec = dec
161 self.sv_rm = SVP64Rec() # SVP64 RM field
162 self.sel_in = Signal(In1Sel, reset_less=True)
163 self.insn_in = Signal(32, reset_less=True)
164 self.reg_out = Data(7, name="reg_a")
165 self.reg_isvec = Signal(1, name="reg_a_isvec") # TODO: in reg_out
166 self.spr_out = Data(SPR, "spr_a")
167 self.fast_out = Data(3, "fast_a")
168
169 def elaborate(self, platform):
170 m = Module()
171 comb = m.d.comb
172 op = self.dec.op
173 m.submodules.sprmap = sprmap = SPRMap()
174 m.submodules.svdec = svdec = SVP64RegExtra()
175
176 # get the 5-bit reg data before svp64-munging it into 7-bit plus isvec
177 reg = Signal(5, reset_less=True)
178
179 # select Register A field
180 ra = Signal(5, reset_less=True)
181 comb += ra.eq(self.dec.RA)
182 with m.If((self.sel_in == In1Sel.RA) |
183 ((self.sel_in == In1Sel.RA_OR_ZERO) &
184 (ra != Const(0, 5)))):
185 comb += reg.eq(ra)
186 comb += self.reg_out.ok.eq(1)
187
188 # some Logic/ALU ops have RS as the 3rd arg, but no "RA".
189 # moved it to 1st position (in1_sel)... because
190 rs = Signal(5, reset_less=True)
191 comb += rs.eq(self.dec.RS)
192 with m.If(self.sel_in == In1Sel.RS):
193 comb += reg.eq(rs)
194 comb += self.reg_out.ok.eq(1)
195
196 # now do the SVP64 munging. op.SV_Etype and op.sv_in1 comes from
197 # PowerDecoder which in turn comes from LDST-RM*.csv and RM-*.csv
198 # which in turn were auto-generated by sv_analysis.py
199
200 extra = self.sv_rm.extra # SVP64 extra bits 10:18
201 comb += svdec.extra.eq(extra) # EXTRA field of SVP64 RM
202 comb += svdec.etype.eq(op.SV_Etype) # EXTRA2/3 for this insn
203 comb += svdec.idx.eq(op.sv_in1) # SVP64 reg #1 (matches in1_sel)
204 comb += svdec.reg_in.eq(reg) # 5-bit (RA, RS)
205
206 # outputs: 7-bit reg number and whether it's vectorised
207 comb += self.reg_out.data.eq(svdec.reg_out)
208 comb += self.reg_isvec.eq(svdec.isvec)
209
210 # decode Fast-SPR based on instruction type
211 with m.Switch(op.internal_op):
212
213 # BC or BCREG: implicit register (CTR) NOTE: same in DecodeOut
214 with m.Case(MicrOp.OP_BC):
215 with m.If(~self.dec.BO[2]): # 3.0B p38 BO2=0, use CTR reg
216 # constant: CTR
217 comb += self.fast_out.data.eq(FastRegs.CTR)
218 comb += self.fast_out.ok.eq(1)
219 with m.Case(MicrOp.OP_BCREG):
220 xo9 = self.dec.FormXL.XO[9] # 3.0B p38 top bit of XO
221 xo5 = self.dec.FormXL.XO[5] # 3.0B p38
222 with m.If(xo9 & ~xo5):
223 # constant: CTR
224 comb += self.fast_out.data.eq(FastRegs.CTR)
225 comb += self.fast_out.ok.eq(1)
226
227 # MFSPR move from SPRs
228 with m.Case(MicrOp.OP_MFSPR):
229 spr = Signal(10, reset_less=True)
230 comb += spr.eq(decode_spr_num(self.dec.SPR)) # from XFX
231 comb += sprmap.spr_i.eq(spr)
232 comb += self.spr_out.eq(sprmap.spr_o)
233 comb += self.fast_out.eq(sprmap.fast_o)
234
235 return m
236
237
238 class DecodeAImm(Elaboratable):
239 """DecodeA immediate from instruction
240
241 decodes register RA, whether immediate-zero, implicit and
242 explicit CSRs
243 """
244
245 def __init__(self, dec):
246 self.dec = dec
247 self.sel_in = Signal(In1Sel, reset_less=True)
248 self.immz_out = Signal(reset_less=True)
249
250 def elaborate(self, platform):
251 m = Module()
252 comb = m.d.comb
253
254 # zero immediate requested
255 ra = Signal(5, reset_less=True)
256 comb += ra.eq(self.dec.RA)
257 with m.If((self.sel_in == In1Sel.RA_OR_ZERO) & (ra == Const(0, 5))):
258 comb += self.immz_out.eq(1)
259
260 return m
261
262
263 class DecodeB(Elaboratable):
264 """DecodeB from instruction
265
266 decodes register RB, different forms of immediate (signed, unsigned),
267 and implicit SPRs. register B is basically "lane 2" into the CompUnits.
268 by industry-standard convention, "lane 2" is where fully-decoded
269 immediates are muxed in.
270 """
271
272 def __init__(self, dec):
273 self.dec = dec
274 self.sv_rm = SVP64Rec() # SVP64 RM field
275 self.sel_in = Signal(In2Sel, reset_less=True)
276 self.insn_in = Signal(32, reset_less=True)
277 self.reg_out = Data(5, "reg_b")
278 self.reg_isvec = Signal(1, name="reg_b_isvec") # TODO: in reg_out
279 self.fast_out = Data(3, "fast_b")
280
281 def elaborate(self, platform):
282 m = Module()
283 comb = m.d.comb
284 op = self.dec.op
285 m.submodules.svdec = svdec = SVP64RegExtra()
286
287 # get the 5-bit reg data before svp64-munging it into 7-bit plus isvec
288 reg = Signal(5, reset_less=True)
289
290 # select Register B field
291 with m.Switch(self.sel_in):
292 with m.Case(In2Sel.RB):
293 comb += reg.eq(self.dec.RB)
294 comb += self.reg_out.ok.eq(1)
295 with m.Case(In2Sel.RS):
296 # for M-Form shiftrot
297 comb += reg.eq(self.dec.RS)
298 comb += self.reg_out.ok.eq(1)
299
300 # now do the SVP64 munging. different from DecodeA only by sv_in2
301
302 extra = self.sv_rm.extra # SVP64 extra bits 10:18
303 comb += svdec.extra.eq(extra) # EXTRA field of SVP64 RM
304 comb += svdec.etype.eq(op.SV_Etype) # EXTRA2/3 for this insn
305 comb += svdec.idx.eq(op.sv_in2) # SVP64 reg #2 (matches in2_sel)
306 comb += svdec.reg_in.eq(reg) # 5-bit (RA, RS)
307
308 # outputs: 7-bit reg number and whether it's vectorised
309 comb += self.reg_out.data.eq(svdec.reg_out)
310 comb += self.reg_isvec.eq(svdec.isvec)
311
312 # decode SPR2 based on instruction type
313 # BCREG implicitly uses LR or TAR for 2nd reg
314 # CTR however is already in fast_spr1 *not* 2.
315 with m.If(op.internal_op == MicrOp.OP_BCREG):
316 xo9 = self.dec.FormXL.XO[9] # 3.0B p38 top bit of XO
317 xo5 = self.dec.FormXL.XO[5] # 3.0B p38
318 with m.If(~xo9):
319 comb += self.fast_out.data.eq(FastRegs.LR)
320 comb += self.fast_out.ok.eq(1)
321 with m.Elif(xo5):
322 comb += self.fast_out.data.eq(FastRegs.TAR)
323 comb += self.fast_out.ok.eq(1)
324
325 return m
326
327
328 class DecodeBImm(Elaboratable):
329 """DecodeB immediate from instruction
330 """
331 def __init__(self, dec):
332 self.dec = dec
333 self.sel_in = Signal(In2Sel, reset_less=True)
334 self.imm_out = Data(64, "imm_b")
335
336 def elaborate(self, platform):
337 m = Module()
338 comb = m.d.comb
339
340 # select Register B Immediate
341 with m.Switch(self.sel_in):
342 with m.Case(In2Sel.CONST_UI): # unsigned
343 comb += self.imm_out.data.eq(self.dec.UI)
344 comb += self.imm_out.ok.eq(1)
345 with m.Case(In2Sel.CONST_SI): # sign-extended 16-bit
346 si = Signal(16, reset_less=True)
347 comb += si.eq(self.dec.SI)
348 comb += self.imm_out.data.eq(exts(si, 16, 64))
349 comb += self.imm_out.ok.eq(1)
350 with m.Case(In2Sel.CONST_SI_HI): # sign-extended 16+16=32 bit
351 si_hi = Signal(32, reset_less=True)
352 comb += si_hi.eq(self.dec.SI << 16)
353 comb += self.imm_out.data.eq(exts(si_hi, 32, 64))
354 comb += self.imm_out.ok.eq(1)
355 with m.Case(In2Sel.CONST_UI_HI): # unsigned
356 ui = Signal(16, reset_less=True)
357 comb += ui.eq(self.dec.UI)
358 comb += self.imm_out.data.eq(ui << 16)
359 comb += self.imm_out.ok.eq(1)
360 with m.Case(In2Sel.CONST_LI): # sign-extend 24+2=26 bit
361 li = Signal(26, reset_less=True)
362 comb += li.eq(self.dec.LI << 2)
363 comb += self.imm_out.data.eq(exts(li, 26, 64))
364 comb += self.imm_out.ok.eq(1)
365 with m.Case(In2Sel.CONST_BD): # sign-extend (14+2)=16 bit
366 bd = Signal(16, reset_less=True)
367 comb += bd.eq(self.dec.BD << 2)
368 comb += self.imm_out.data.eq(exts(bd, 16, 64))
369 comb += self.imm_out.ok.eq(1)
370 with m.Case(In2Sel.CONST_DS): # sign-extended (14+2=16) bit
371 ds = Signal(16, reset_less=True)
372 comb += ds.eq(self.dec.DS << 2)
373 comb += self.imm_out.data.eq(exts(ds, 16, 64))
374 comb += self.imm_out.ok.eq(1)
375 with m.Case(In2Sel.CONST_M1): # signed (-1)
376 comb += self.imm_out.data.eq(~Const(0, 64)) # all 1s
377 comb += self.imm_out.ok.eq(1)
378 with m.Case(In2Sel.CONST_SH): # unsigned - for shift
379 comb += self.imm_out.data.eq(self.dec.sh)
380 comb += self.imm_out.ok.eq(1)
381 with m.Case(In2Sel.CONST_SH32): # unsigned - for shift
382 comb += self.imm_out.data.eq(self.dec.SH32)
383 comb += self.imm_out.ok.eq(1)
384
385 return m
386
387
388 class DecodeC(Elaboratable):
389 """DecodeC from instruction
390
391 decodes register RC. this is "lane 3" into some CompUnits (not many)
392 """
393
394 def __init__(self, dec):
395 self.dec = dec
396 self.sv_rm = SVP64Rec() # SVP64 RM field
397 self.sel_in = Signal(In3Sel, reset_less=True)
398 self.insn_in = Signal(32, reset_less=True)
399 self.reg_out = Data(5, "reg_c")
400 self.reg_isvec = Signal(1, name="reg_c_isvec") # TODO: in reg_out
401
402 def elaborate(self, platform):
403 m = Module()
404 comb = m.d.comb
405 op = self.dec.op
406 m.submodules.svdec = svdec = SVP64RegExtra()
407
408 # get the 5-bit reg data before svp64-munging it into 7-bit plus isvec
409 reg = Signal(5, reset_less=True)
410
411 # select Register C field
412 with m.Switch(self.sel_in):
413 with m.Case(In3Sel.RB):
414 # for M-Form shiftrot
415 comb += reg.eq(self.dec.RB)
416 comb += self.reg_out.ok.eq(1)
417 with m.Case(In3Sel.RS):
418 comb += reg.eq(self.dec.RS)
419 comb += self.reg_out.ok.eq(1)
420
421 # now do the SVP64 munging. different from DecodeA only by sv_in3
422
423 extra = self.sv_rm.extra # SVP64 extra bits 10:18
424 comb += svdec.extra.eq(extra) # EXTRA field of SVP64 RM
425 comb += svdec.etype.eq(op.SV_Etype) # EXTRA2/3 for this insn
426 comb += svdec.idx.eq(op.sv_in3) # SVP64 reg #3 (matches in3_sel)
427 comb += svdec.reg_in.eq(reg) # 5-bit (RA, RS)
428
429 # outputs: 7-bit reg number and whether it's vectorised
430 comb += self.reg_out.data.eq(svdec.reg_out)
431 comb += self.reg_isvec.eq(svdec.isvec)
432
433 return m
434
435
436 class DecodeOut(Elaboratable):
437 """DecodeOut from instruction
438
439 decodes output register RA, RT or SPR
440 """
441
442 def __init__(self, dec):
443 self.dec = dec
444 self.sv_rm = SVP64Rec() # SVP64 RM field
445 self.sel_in = Signal(OutSel, reset_less=True)
446 self.insn_in = Signal(32, reset_less=True)
447 self.reg_out = Data(5, "reg_o")
448 self.reg_isvec = Signal(1, name="reg_c_isvec") # TODO: in reg_out
449 self.spr_out = Data(SPR, "spr_o")
450 self.fast_out = Data(3, "fast_o")
451
452 def elaborate(self, platform):
453 m = Module()
454 comb = m.d.comb
455 m.submodules.sprmap = sprmap = SPRMap()
456 op = self.dec.op
457 m.submodules.svdec = svdec = SVP64RegExtra()
458
459 # get the 5-bit reg data before svp64-munging it into 7-bit plus isvec
460 reg = Signal(5, reset_less=True)
461
462 # select Register out field
463 with m.Switch(self.sel_in):
464 with m.Case(OutSel.RT):
465 comb += reg.eq(self.dec.RT)
466 comb += self.reg_out.ok.eq(1)
467 with m.Case(OutSel.RA):
468 comb += reg.eq(self.dec.RA)
469 comb += self.reg_out.ok.eq(1)
470 with m.Case(OutSel.SPR):
471 spr = Signal(10, reset_less=True)
472 comb += spr.eq(decode_spr_num(self.dec.SPR)) # from XFX
473 # MFSPR move to SPRs - needs mapping
474 with m.If(op.internal_op == MicrOp.OP_MTSPR):
475 comb += sprmap.spr_i.eq(spr)
476 comb += self.spr_out.eq(sprmap.spr_o)
477 comb += self.fast_out.eq(sprmap.fast_o)
478
479 # now do the SVP64 munging. different from DecodeA only by sv_out
480
481 extra = self.sv_rm.extra # SVP64 extra bits 10:18
482 comb += svdec.extra.eq(extra) # EXTRA field of SVP64 RM
483 comb += svdec.etype.eq(op.SV_Etype) # EXTRA2/3 for this insn
484 comb += svdec.idx.eq(op.sv_out) # SVP64 reg out1 (matches out_sel)
485 comb += svdec.reg_in.eq(reg) # 5-bit (RA, RS)
486
487 # outputs: 7-bit reg number and whether it's vectorised
488 comb += self.reg_out.data.eq(svdec.reg_out)
489 comb += self.reg_isvec.eq(svdec.isvec)
490
491 # determine Fast Reg
492 with m.Switch(op.internal_op):
493
494 # BC or BCREG: implicit register (CTR) NOTE: same in DecodeA
495 with m.Case(MicrOp.OP_BC, MicrOp.OP_BCREG):
496 with m.If(~self.dec.BO[2]): # 3.0B p38 BO2=0, use CTR reg
497 # constant: CTR
498 comb += self.fast_out.data.eq(FastRegs.CTR)
499 comb += self.fast_out.ok.eq(1)
500
501 # RFID 1st spr (fast)
502 with m.Case(MicrOp.OP_RFID):
503 comb += self.fast_out.data.eq(FastRegs.SRR0) # constant: SRR0
504 comb += self.fast_out.ok.eq(1)
505
506 return m
507
508
509 class DecodeOut2(Elaboratable):
510 """DecodeOut2 from instruction
511
512 decodes output registers.
513
514 TODO: SVP64 is a little more complex, here. svp64 allows extending
515 by one more destination by having one more EXTRA field. RA-as-src
516 is not the same as RA-as-dest. limited in that it's the same first
517 5 bits (from the v3.0B opcode), but still kinda cool. mostly used
518 for operations that have src-as-dest: mostly this is LD/ST-with-update
519 but there are others.
520 """
521
522 def __init__(self, dec):
523 self.dec = dec
524 self.sv_rm = SVP64Rec() # SVP64 RM field
525 self.sel_in = Signal(OutSel, reset_less=True)
526 self.lk = Signal(reset_less=True)
527 self.insn_in = Signal(32, reset_less=True)
528 self.reg_out = Data(5, "reg_o")
529 self.fast_out = Data(3, "fast_o")
530
531 def elaborate(self, platform):
532 m = Module()
533 comb = m.d.comb
534
535 if hasattr(self.dec.op, "upd"):
536 # update mode LD/ST uses read-reg A also as an output
537 with m.If(self.dec.op.upd == LDSTMode.update):
538 comb += self.reg_out.eq(self.dec.RA)
539 comb += self.reg_out.ok.eq(1)
540
541 # B, BC or BCREG: potential implicit register (LR) output
542 # these give bl, bcl, bclrl, etc.
543 op = self.dec.op
544 with m.Switch(op.internal_op):
545
546 # BC* implicit register (LR)
547 with m.Case(MicrOp.OP_BC, MicrOp.OP_B, MicrOp.OP_BCREG):
548 with m.If(self.lk): # "link" mode
549 comb += self.fast_out.data.eq(FastRegs.LR) # constant: LR
550 comb += self.fast_out.ok.eq(1)
551
552 # RFID 2nd spr (fast)
553 with m.Case(MicrOp.OP_RFID):
554 comb += self.fast_out.data.eq(FastRegs.SRR1) # constant: SRR1
555 comb += self.fast_out.ok.eq(1)
556
557 return m
558
559
560 class DecodeRC(Elaboratable):
561 """DecodeRc from instruction
562
563 decodes Record bit Rc
564 """
565
566 def __init__(self, dec):
567 self.dec = dec
568 self.sel_in = Signal(RC, reset_less=True)
569 self.insn_in = Signal(32, reset_less=True)
570 self.rc_out = Data(1, "rc")
571
572 def elaborate(self, platform):
573 m = Module()
574 comb = m.d.comb
575
576 # select Record bit out field
577 with m.Switch(self.sel_in):
578 with m.Case(RC.RC):
579 comb += self.rc_out.data.eq(self.dec.Rc)
580 comb += self.rc_out.ok.eq(1)
581 with m.Case(RC.ONE):
582 comb += self.rc_out.data.eq(1)
583 comb += self.rc_out.ok.eq(1)
584 with m.Case(RC.NONE):
585 comb += self.rc_out.data.eq(0)
586 comb += self.rc_out.ok.eq(1)
587
588 return m
589
590
591 class DecodeOE(Elaboratable):
592 """DecodeOE from instruction
593
594 decodes OE field: uses RC decode detection which might not be good
595
596 -- For now, use "rc" in the decode table to decide whether oe exists.
597 -- This is not entirely correct architecturally: For mulhd and
598 -- mulhdu, the OE field is reserved. It remains to be seen what an
599 -- actual POWER9 does if we set it on those instructions, for now we
600 -- test that further down when assigning to the multiplier oe input.
601 """
602
603 def __init__(self, dec):
604 self.dec = dec
605 self.sel_in = Signal(RC, reset_less=True)
606 self.insn_in = Signal(32, reset_less=True)
607 self.oe_out = Data(1, "oe")
608
609 def elaborate(self, platform):
610 m = Module()
611 comb = m.d.comb
612 op = self.dec.op
613
614 with m.Switch(op.internal_op):
615
616 # mulhw, mulhwu, mulhd, mulhdu - these *ignore* OE
617 # also rotate
618 # XXX ARGH! ignoring OE causes incompatibility with microwatt
619 # http://lists.libre-soc.org/pipermail/libre-soc-dev/2020-August/000302.html
620 with m.Case(MicrOp.OP_MUL_H64, MicrOp.OP_MUL_H32,
621 MicrOp.OP_EXTS, MicrOp.OP_CNTZ,
622 MicrOp.OP_SHL, MicrOp.OP_SHR, MicrOp.OP_RLC,
623 MicrOp.OP_LOAD, MicrOp.OP_STORE,
624 MicrOp.OP_RLCL, MicrOp.OP_RLCR,
625 MicrOp.OP_EXTSWSLI):
626 pass
627
628 # all other ops decode OE field
629 with m.Default():
630 # select OE bit out field
631 with m.Switch(self.sel_in):
632 with m.Case(RC.RC):
633 comb += self.oe_out.data.eq(self.dec.OE)
634 comb += self.oe_out.ok.eq(1)
635
636 return m
637
638
639 class DecodeCRIn(Elaboratable):
640 """Decodes input CR from instruction
641
642 CR indices - insn fields - (not the data *in* the CR) require only 3
643 bits because they refer to CR0-CR7
644 """
645
646 def __init__(self, dec):
647 self.dec = dec
648 self.sv_rm = SVP64Rec() # SVP64 RM field
649 self.sel_in = Signal(CRInSel, reset_less=True)
650 self.insn_in = Signal(32, reset_less=True)
651 self.cr_bitfield = Data(3, "cr_bitfield")
652 self.cr_bitfield_b = Data(3, "cr_bitfield_b")
653 self.cr_bitfield_o = Data(3, "cr_bitfield_o")
654 self.whole_reg = Data(8, "cr_fxm")
655
656 def elaborate(self, platform):
657 m = Module()
658 m.submodules.ppick = ppick = PriorityPicker(8, reverse_i=True,
659 reverse_o=True)
660
661 comb = m.d.comb
662 op = self.dec.op
663
664 comb += self.cr_bitfield.ok.eq(0)
665 comb += self.cr_bitfield_b.ok.eq(0)
666 comb += self.whole_reg.ok.eq(0)
667 with m.Switch(self.sel_in):
668 with m.Case(CRInSel.NONE):
669 pass # No bitfield activated
670 with m.Case(CRInSel.CR0):
671 comb += self.cr_bitfield.data.eq(0) # CR0 (MSB0 numbering)
672 comb += self.cr_bitfield.ok.eq(1)
673 with m.Case(CRInSel.BI):
674 comb += self.cr_bitfield.data.eq(self.dec.BI[2:5])
675 comb += self.cr_bitfield.ok.eq(1)
676 with m.Case(CRInSel.BFA):
677 comb += self.cr_bitfield.data.eq(self.dec.FormX.BFA)
678 comb += self.cr_bitfield.ok.eq(1)
679 with m.Case(CRInSel.BA_BB):
680 comb += self.cr_bitfield.data.eq(self.dec.BA[2:5])
681 comb += self.cr_bitfield.ok.eq(1)
682 comb += self.cr_bitfield_b.data.eq(self.dec.BB[2:5])
683 comb += self.cr_bitfield_b.ok.eq(1)
684 comb += self.cr_bitfield_o.data.eq(self.dec.BT[2:5])
685 comb += self.cr_bitfield_o.ok.eq(1)
686 with m.Case(CRInSel.BC):
687 comb += self.cr_bitfield.data.eq(self.dec.BC[2:5])
688 comb += self.cr_bitfield.ok.eq(1)
689 with m.Case(CRInSel.WHOLE_REG):
690 comb += self.whole_reg.ok.eq(1)
691 move_one = Signal(reset_less=True)
692 comb += move_one.eq(self.insn_in[20]) # MSB0 bit 11
693 with m.If((op.internal_op == MicrOp.OP_MFCR) & move_one):
694 # must one-hot the FXM field
695 comb += ppick.i.eq(self.dec.FXM)
696 comb += self.whole_reg.data.eq(ppick.o)
697 with m.Else():
698 # otherwise use all of it
699 comb += self.whole_reg.data.eq(0xff)
700
701 return m
702
703
704 class DecodeCROut(Elaboratable):
705 """Decodes input CR from instruction
706
707 CR indices - insn fields - (not the data *in* the CR) require only 3
708 bits because they refer to CR0-CR7
709 """
710
711 def __init__(self, dec):
712 self.dec = dec
713 self.sv_rm = SVP64Rec() # SVP64 RM field
714 self.rc_in = Signal(reset_less=True)
715 self.sel_in = Signal(CROutSel, reset_less=True)
716 self.insn_in = Signal(32, reset_less=True)
717 self.cr_bitfield = Data(3, "cr_bitfield")
718 self.whole_reg = Data(8, "cr_fxm")
719
720 def elaborate(self, platform):
721 m = Module()
722 comb = m.d.comb
723 op = self.dec.op
724 m.submodules.ppick = ppick = PriorityPicker(8, reverse_i=True,
725 reverse_o=True)
726
727 comb += self.cr_bitfield.ok.eq(0)
728 comb += self.whole_reg.ok.eq(0)
729 with m.Switch(self.sel_in):
730 with m.Case(CROutSel.NONE):
731 pass # No bitfield activated
732 with m.Case(CROutSel.CR0):
733 comb += self.cr_bitfield.data.eq(0) # CR0 (MSB0 numbering)
734 comb += self.cr_bitfield.ok.eq(self.rc_in) # only when RC=1
735 with m.Case(CROutSel.BF):
736 comb += self.cr_bitfield.data.eq(self.dec.FormX.BF)
737 comb += self.cr_bitfield.ok.eq(1)
738 with m.Case(CROutSel.BT):
739 comb += self.cr_bitfield.data.eq(self.dec.FormXL.BT[2:5])
740 comb += self.cr_bitfield.ok.eq(1)
741 with m.Case(CROutSel.WHOLE_REG):
742 comb += self.whole_reg.ok.eq(1)
743 move_one = Signal(reset_less=True)
744 comb += move_one.eq(self.insn_in[20])
745 with m.If((op.internal_op == MicrOp.OP_MTCRF)):
746 with m.If(move_one):
747 # must one-hot the FXM field
748 comb += ppick.i.eq(self.dec.FXM)
749 with m.If(ppick.en_o):
750 comb += self.whole_reg.data.eq(ppick.o)
751 with m.Else():
752 comb += self.whole_reg.data.eq(0b00000001) # CR7
753 with m.Else():
754 comb += self.whole_reg.data.eq(self.dec.FXM)
755 with m.Else():
756 # otherwise use all of it
757 comb += self.whole_reg.data.eq(0xff)
758
759 return m
760
761 # dictionary of Input Record field names that, if they exist,
762 # will need a corresponding CSV Decoder file column (actually, PowerOp)
763 # to be decoded (this includes the single bit names)
764 record_names = {'insn_type': 'internal_op',
765 'fn_unit': 'function_unit',
766 'rc': 'rc_sel',
767 'oe': 'rc_sel',
768 'zero_a': 'in1_sel',
769 'imm_data': 'in2_sel',
770 'invert_in': 'inv_a',
771 'invert_out': 'inv_out',
772 'rc': 'cr_out',
773 'oe': 'cr_in',
774 'output_carry': 'cry_out',
775 'input_carry': 'cry_in',
776 'is_32bit': 'is_32b',
777 'is_signed': 'sgn',
778 'lk': 'lk',
779 'data_len': 'ldst_len',
780 'byte_reverse': 'br',
781 'sign_extend': 'sgn_ext',
782 'ldst_mode': 'upd',
783 }
784
785
786 class PowerDecodeSubset(Elaboratable):
787 """PowerDecodeSubset: dynamic subset decoder
788
789 only fields actually requested are copied over. hence, "subset" (duh).
790 """
791 def __init__(self, dec, opkls=None, fn_name=None, final=False, state=None):
792
793 self.sv_rm = SVP64Rec(name="dec_svp64") # SVP64 RM field
794 self.final = final
795 self.opkls = opkls
796 self.fn_name = fn_name
797 if opkls is None:
798 opkls = Decode2ToOperand
799 self.do = opkls(fn_name)
800 col_subset = self.get_col_subset(self.do)
801
802 # only needed for "main" PowerDecode2
803 if not self.final:
804 self.e = Decode2ToExecute1Type(name=self.fn_name, do=self.do)
805
806 # create decoder if one not already given
807 if dec is None:
808 dec = create_pdecode(name=fn_name, col_subset=col_subset,
809 row_subset=self.rowsubsetfn)
810 self.dec = dec
811
812 # state information needed by the Decoder
813 if state is None:
814 state = CoreState("dec2")
815 self.state = state
816
817 def get_col_subset(self, do):
818 subset = {'cr_in', 'cr_out', 'rc_sel'} # needed, non-optional
819 for k, v in record_names.items():
820 if hasattr(do, k):
821 subset.add(v)
822 print ("get_col_subset", self.fn_name, do.fields, subset)
823 return subset
824
825 def rowsubsetfn(self, opcode, row):
826 return row['unit'] == self.fn_name
827
828 def ports(self):
829 return self.dec.ports() + self.e.ports() + self.sv_rm.ports()
830
831 def needs_field(self, field, op_field):
832 if self.final:
833 do = self.do
834 else:
835 do = self.e_tmp.do
836 return hasattr(do, field) and self.op_get(op_field) is not None
837
838 def do_copy(self, field, val, final=False):
839 if final or self.final:
840 do = self.do
841 else:
842 do = self.e_tmp.do
843 if hasattr(do, field) and val is not None:
844 return getattr(do, field).eq(val)
845 return []
846
847 def op_get(self, op_field):
848 return getattr(self.dec.op, op_field, None)
849
850 def elaborate(self, platform):
851 m = Module()
852 comb = m.d.comb
853 state = self.state
854 op, do = self.dec.op, self.do
855 msr, cia = state.msr, state.pc
856
857 # fill in for a normal instruction (not an exception)
858 # copy over if non-exception, non-privileged etc. is detected
859 if not self.final:
860 if self.fn_name is None:
861 name = "tmp"
862 else:
863 name = self.fn_name + "tmp"
864 self.e_tmp = Decode2ToExecute1Type(name=name, opkls=self.opkls)
865
866 # set up submodule decoders
867 m.submodules.dec = self.dec
868 m.submodules.dec_rc = dec_rc = DecodeRC(self.dec)
869 m.submodules.dec_oe = dec_oe = DecodeOE(self.dec)
870 m.submodules.dec_cr_in = self.dec_cr_in = DecodeCRIn(self.dec)
871 m.submodules.dec_cr_out = self.dec_cr_out = DecodeCROut(self.dec)
872
873 # copy instruction through...
874 for i in [do.insn,
875 dec_rc.insn_in, dec_oe.insn_in,
876 self.dec_cr_in.insn_in, self.dec_cr_out.insn_in]:
877 comb += i.eq(self.dec.opcode_in)
878
879 # ...and subdecoders' input fields
880 comb += dec_rc.sel_in.eq(op.rc_sel)
881 comb += dec_oe.sel_in.eq(op.rc_sel) # XXX should be OE sel
882 comb += self.dec_cr_in.sel_in.eq(op.cr_in)
883 comb += self.dec_cr_in.sv_rm.eq(self.sv_rm)
884 comb += self.dec_cr_out.sv_rm.eq(self.sv_rm)
885 comb += self.dec_cr_out.sel_in.eq(op.cr_out)
886 comb += self.dec_cr_out.rc_in.eq(dec_rc.rc_out.data)
887
888 # copy "state" over
889 comb += self.do_copy("msr", msr)
890 comb += self.do_copy("cia", cia)
891
892 # set up instruction type
893 # no op: defaults to OP_ILLEGAL
894 comb += self.do_copy("insn_type", self.op_get("internal_op"))
895
896 # function unit for decoded instruction: requires minor redirect
897 # for SPR set/get
898 fn = self.op_get("function_unit")
899 spr = Signal(10, reset_less=True)
900 comb += spr.eq(decode_spr_num(self.dec.SPR)) # from XFX
901
902 # for first test only forward SPRs 18 and 19 to MMU, when
903 # operation is MTSPR or MFSPR. TODO: add other MMU SPRs
904 with m.If(((self.dec.op.internal_op == MicrOp.OP_MTSPR) |
905 (self.dec.op.internal_op == MicrOp.OP_MFSPR)) &
906 ((spr == SPR.DSISR) | (spr == SPR.DAR))):
907 comb += self.do_copy("fn_unit", Function.MMU)
908 with m.Else():
909 comb += self.do_copy("fn_unit",fn)
910
911 # immediates
912 if self.needs_field("zero_a", "in1_sel"):
913 m.submodules.dec_ai = dec_ai = DecodeAImm(self.dec)
914 comb += dec_ai.sel_in.eq(op.in1_sel)
915 comb += self.do_copy("zero_a", dec_ai.immz_out) # RA==0 detected
916 if self.needs_field("imm_data", "in2_sel"):
917 m.submodules.dec_bi = dec_bi = DecodeBImm(self.dec)
918 comb += dec_bi.sel_in.eq(op.in2_sel)
919 comb += self.do_copy("imm_data", dec_bi.imm_out) # imm in RB
920
921 # rc and oe out
922 comb += self.do_copy("rc", dec_rc.rc_out)
923 comb += self.do_copy("oe", dec_oe.oe_out)
924
925 # CR in/out
926 comb += self.do_copy("read_cr_whole", self.dec_cr_in.whole_reg)
927 comb += self.do_copy("write_cr_whole", self.dec_cr_out.whole_reg)
928 comb += self.do_copy("write_cr0", self.dec_cr_out.cr_bitfield.ok)
929
930 comb += self.do_copy("input_cr", self.op_get("cr_in")) # CR in
931 comb += self.do_copy("output_cr", self.op_get("cr_out")) # CR out
932
933 # decoded/selected instruction flags
934 comb += self.do_copy("data_len", self.op_get("ldst_len"))
935 comb += self.do_copy("invert_in", self.op_get("inv_a"))
936 comb += self.do_copy("invert_out", self.op_get("inv_out"))
937 comb += self.do_copy("input_carry", self.op_get("cry_in"))
938 comb += self.do_copy("output_carry", self.op_get("cry_out"))
939 comb += self.do_copy("is_32bit", self.op_get("is_32b"))
940 comb += self.do_copy("is_signed", self.op_get("sgn"))
941 lk = self.op_get("lk")
942 if lk is not None:
943 with m.If(lk):
944 comb += self.do_copy("lk", self.dec.LK) # XXX TODO: accessor
945
946 comb += self.do_copy("byte_reverse", self.op_get("br"))
947 comb += self.do_copy("sign_extend", self.op_get("sgn_ext"))
948 comb += self.do_copy("ldst_mode", self.op_get("upd")) # LD/ST mode
949
950 return m
951
952
953 class PowerDecode2(PowerDecodeSubset):
954 """PowerDecode2: the main instruction decoder.
955
956 whilst PowerDecode is responsible for decoding the actual opcode, this
957 module encapsulates further specialist, sparse information and
958 expansion of fields that is inconvenient to have in the CSV files.
959 for example: the encoding of the immediates, which are detected
960 and expanded out to their full value from an annotated (enum)
961 representation.
962
963 implicit register usage is also set up, here. for example: OP_BC
964 requires implicitly reading CTR, OP_RFID requires implicitly writing
965 to SRR1 and so on.
966
967 in addition, PowerDecoder2 is responsible for detecting whether
968 instructions are illegal (or privileged) or not, and instead of
969 just leaving at that, *replacing* the instruction to execute with
970 a suitable alternative (trap).
971
972 LDSTExceptions are done the cycle _after_ they're detected (after
973 they come out of LDSTCompUnit). basically despite the instruction
974 being decoded, the results of the decode are completely ignored
975 and "exception.happened" used to set the "actual" instruction to
976 "OP_TRAP". the LDSTException data structure gets filled in,
977 in the CompTrapOpSubset and that's what it fills in SRR.
978
979 to make this work, TestIssuer must notice "exception.happened"
980 after the (failed) LD/ST and copies the LDSTException info from
981 the output, into here (PowerDecoder2). without incrementing PC.
982 """
983
984 def __init__(self, dec, opkls=None, fn_name=None, final=False, state=None):
985 super().__init__(dec, opkls, fn_name, final, state)
986 self.exc = LDSTException("dec2_exc")
987
988 def get_col_subset(self, opkls):
989 subset = super().get_col_subset(opkls)
990 subset.add("asmcode")
991 subset.add("in1_sel")
992 subset.add("in2_sel")
993 subset.add("in3_sel")
994 subset.add("out_sel")
995 subset.add("sv_in1")
996 subset.add("sv_in2")
997 subset.add("sv_in3")
998 subset.add("sv_out")
999 subset.add("SV_Etype")
1000 subset.add("SV_Ptype")
1001 subset.add("lk")
1002 subset.add("internal_op")
1003 subset.add("form")
1004 return subset
1005
1006 def elaborate(self, platform):
1007 m = super().elaborate(platform)
1008 comb = m.d.comb
1009 state = self.state
1010 e_out, op, do_out = self.e, self.dec.op, self.e.do
1011 dec_spr, msr, cia, ext_irq = state.dec, state.msr, state.pc, state.eint
1012 e = self.e_tmp
1013 do = e.do
1014
1015 # fill in for a normal instruction (not an exception)
1016 # copy over if non-exception, non-privileged etc. is detected
1017
1018 # set up submodule decoders
1019 m.submodules.dec_a = dec_a = DecodeA(self.dec)
1020 m.submodules.dec_b = dec_b = DecodeB(self.dec)
1021 m.submodules.dec_c = dec_c = DecodeC(self.dec)
1022 m.submodules.dec_o = dec_o = DecodeOut(self.dec)
1023 m.submodules.dec_o2 = dec_o2 = DecodeOut2(self.dec)
1024
1025 # copy instruction through...
1026 for i in [do.insn, dec_a.insn_in, dec_b.insn_in,
1027 dec_c.insn_in, dec_o.insn_in, dec_o2.insn_in]:
1028 comb += i.eq(self.dec.opcode_in)
1029
1030 # ... and svp64 rm
1031 for i in [dec_a.insn_in, dec_b.insn_in,
1032 dec_c.insn_in, dec_o.insn_in, dec_o2.insn_in]:
1033 comb += i.eq(self.sv_rm)
1034
1035 # ...and subdecoders' input fields
1036 comb += dec_a.sel_in.eq(op.in1_sel)
1037 comb += dec_b.sel_in.eq(op.in2_sel)
1038 comb += dec_c.sel_in.eq(op.in3_sel)
1039 comb += dec_o.sel_in.eq(op.out_sel)
1040 comb += dec_o2.sel_in.eq(op.out_sel)
1041 if hasattr(do, "lk"):
1042 comb += dec_o2.lk.eq(do.lk)
1043
1044 # registers a, b, c and out and out2 (LD/ST EA)
1045 for to_reg, fromreg in (
1046 (e.read_reg1, dec_a.reg_out),
1047 (e.read_reg2, dec_b.reg_out),
1048 (e.read_reg3, dec_c.reg_out),
1049 (e.write_reg, dec_o.reg_out),
1050 (e.write_ea, dec_o2.reg_out)):
1051 comb += to_reg.data.eq(fromreg.data)
1052 comb += to_reg.ok.eq(fromreg.ok)
1053
1054 # SPRs out
1055 comb += e.read_spr1.eq(dec_a.spr_out)
1056 comb += e.write_spr.eq(dec_o.spr_out)
1057
1058 # Fast regs out
1059 comb += e.read_fast1.eq(dec_a.fast_out)
1060 comb += e.read_fast2.eq(dec_b.fast_out)
1061 comb += e.write_fast1.eq(dec_o.fast_out)
1062 comb += e.write_fast2.eq(dec_o2.fast_out)
1063
1064 # condition registers (CR)
1065 comb += e.read_cr1.eq(self.dec_cr_in.cr_bitfield)
1066 comb += e.read_cr2.eq(self.dec_cr_in.cr_bitfield_b)
1067 comb += e.read_cr3.eq(self.dec_cr_in.cr_bitfield_o)
1068 comb += e.write_cr.eq(self.dec_cr_out.cr_bitfield)
1069
1070 # sigh this is exactly the sort of thing for which the
1071 # decoder is designed to not need. MTSPR, MFSPR and others need
1072 # access to the XER bits. however setting e.oe is not appropriate
1073 with m.If(op.internal_op == MicrOp.OP_MFSPR):
1074 comb += e.xer_in.eq(0b111) # SO, CA, OV
1075 with m.If(op.internal_op == MicrOp.OP_CMP):
1076 comb += e.xer_in.eq(1<<XERRegs.SO) # SO
1077 with m.If(op.internal_op == MicrOp.OP_MTSPR):
1078 comb += e.xer_out.eq(1)
1079
1080 # set the trapaddr to 0x700 for a td/tw/tdi/twi operation
1081 with m.If(op.internal_op == MicrOp.OP_TRAP):
1082 # *DO NOT* call self.trap here. that would reset absolutely
1083 # everything including destroying read of RA and RB.
1084 comb += self.do_copy("trapaddr", 0x70) # strip first nibble
1085
1086 ####################
1087 # ok so the instruction's been decoded, blah blah, however
1088 # now we need to determine if it's actually going to go ahead...
1089 # *or* if in fact it's a privileged operation, whether there's
1090 # an external interrupt, etc. etc. this is a simple priority
1091 # if-elif-elif sequence. decrement takes highest priority,
1092 # EINT next highest, privileged operation third.
1093
1094 # check if instruction is privileged
1095 is_priv_insn = instr_is_priv(m, op.internal_op, e.do.insn)
1096
1097 # different IRQ conditions
1098 ext_irq_ok = Signal()
1099 dec_irq_ok = Signal()
1100 priv_ok = Signal()
1101 illeg_ok = Signal()
1102 exc = self.exc
1103
1104 comb += ext_irq_ok.eq(ext_irq & msr[MSR.EE]) # v3.0B p944 (MSR.EE)
1105 comb += dec_irq_ok.eq(dec_spr[63] & msr[MSR.EE]) # 6.5.11 p1076
1106 comb += priv_ok.eq(is_priv_insn & msr[MSR.PR])
1107 comb += illeg_ok.eq(op.internal_op == MicrOp.OP_ILLEGAL)
1108
1109 # LD/ST exceptions. TestIssuer copies the exception info at us
1110 # after a failed LD/ST.
1111 with m.If(exc.happened):
1112 with m.If(exc.alignment):
1113 self.trap(m, TT.PRIV, 0x600)
1114 with m.Elif(exc.instr_fault):
1115 with m.If(exc.segment_fault):
1116 self.trap(m, TT.PRIV, 0x480)
1117 with m.Else():
1118 # pass exception info to trap to create SRR1
1119 self.trap(m, TT.MEMEXC, 0x400, exc)
1120 with m.Else():
1121 with m.If(exc.segment_fault):
1122 self.trap(m, TT.PRIV, 0x380)
1123 with m.Else():
1124 self.trap(m, TT.PRIV, 0x300)
1125
1126 # decrement counter (v3.0B p1099): TODO 32-bit version (MSR.LPCR)
1127 with m.Elif(dec_irq_ok):
1128 self.trap(m, TT.DEC, 0x900) # v3.0B 6.5 p1065
1129
1130 # external interrupt? only if MSR.EE set
1131 with m.Elif(ext_irq_ok):
1132 self.trap(m, TT.EINT, 0x500)
1133
1134 # privileged instruction trap
1135 with m.Elif(priv_ok):
1136 self.trap(m, TT.PRIV, 0x700)
1137
1138 # illegal instruction must redirect to trap. this is done by
1139 # *overwriting* the decoded instruction and starting again.
1140 # (note: the same goes for interrupts and for privileged operations,
1141 # just with different trapaddr and traptype)
1142 with m.Elif(illeg_ok):
1143 # illegal instruction trap
1144 self.trap(m, TT.ILLEG, 0x700)
1145
1146 # no exception, just copy things to the output
1147 with m.Else():
1148 comb += e_out.eq(e)
1149
1150 ####################
1151 # follow-up after trap/irq to set up SRR0/1
1152
1153 # trap: (note e.insn_type so this includes OP_ILLEGAL) set up fast regs
1154 # Note: OP_SC could actually be modified to just be a trap
1155 with m.If((do_out.insn_type == MicrOp.OP_TRAP) |
1156 (do_out.insn_type == MicrOp.OP_SC)):
1157 # TRAP write fast1 = SRR0
1158 comb += e_out.write_fast1.data.eq(FastRegs.SRR0) # constant: SRR0
1159 comb += e_out.write_fast1.ok.eq(1)
1160 # TRAP write fast2 = SRR1
1161 comb += e_out.write_fast2.data.eq(FastRegs.SRR1) # constant: SRR1
1162 comb += e_out.write_fast2.ok.eq(1)
1163
1164 # RFID: needs to read SRR0/1
1165 with m.If(do_out.insn_type == MicrOp.OP_RFID):
1166 # TRAP read fast1 = SRR0
1167 comb += e_out.read_fast1.data.eq(FastRegs.SRR0) # constant: SRR0
1168 comb += e_out.read_fast1.ok.eq(1)
1169 # TRAP read fast2 = SRR1
1170 comb += e_out.read_fast2.data.eq(FastRegs.SRR1) # constant: SRR1
1171 comb += e_out.read_fast2.ok.eq(1)
1172
1173 # annoying simulator bug
1174 if hasattr(e_out, "asmcode") and hasattr(self.dec.op, "asmcode"):
1175 comb += e_out.asmcode.eq(self.dec.op.asmcode)
1176
1177 return m
1178
1179 def trap(self, m, traptype, trapaddr, exc=None):
1180 """trap: this basically "rewrites" the decoded instruction as a trap
1181 """
1182 comb = m.d.comb
1183 op, e = self.dec.op, self.e
1184 comb += e.eq(0) # reset eeeeeverything
1185
1186 # start again
1187 comb += self.do_copy("insn", self.dec.opcode_in, True)
1188 comb += self.do_copy("insn_type", MicrOp.OP_TRAP, True)
1189 comb += self.do_copy("fn_unit", Function.TRAP, True)
1190 comb += self.do_copy("trapaddr", trapaddr >> 4, True) # bottom 4 bits
1191 comb += self.do_copy("traptype", traptype, True) # request type
1192 comb += self.do_copy("ldst_exc", exc, True) # request type
1193 comb += self.do_copy("msr", self.state.msr, True) # copy of MSR "state"
1194 comb += self.do_copy("cia", self.state.pc, True) # copy of PC "state"
1195
1196
1197 def get_rdflags(e, cu):
1198 rdl = []
1199 for idx in range(cu.n_src):
1200 regfile, regname, _ = cu.get_in_spec(idx)
1201 rdflag, read = regspec_decode_read(e, regfile, regname)
1202 rdl.append(rdflag)
1203 print("rdflags", rdl)
1204 return Cat(*rdl)
1205
1206
1207 if __name__ == '__main__':
1208 pdecode = create_pdecode()
1209 dec2 = PowerDecode2(pdecode)
1210 vl = rtlil.convert(dec2, ports=dec2.ports() + pdecode.ports())
1211 with open("dec2.il", "w") as f:
1212 f.write(vl)