format code
[soc.git] / src / soc / experiment / compalu_multi.py
1 """Computation Unit (aka "ALU Manager").
2
3 Manages a Pipeline or FSM, ensuring that the start and end time are 100%
4 monitored. At no time may the ALU proceed without this module notifying
5 the Dependency Matrices. At no time is a result production "abandoned".
6 This module blocks (indicates busy) starting from when it first receives
7 an opcode until it receives notification that
8 its result(s) have been successfully stored in the regfile(s)
9
10 Documented at http://libre-soc.org/3d_gpu/architecture/compunit
11 """
12
13 from nmigen import Module, Signal, Mux, Elaboratable, Repl, Cat, Const
14 from nmigen.hdl.rec import (Record, DIR_FANIN, DIR_FANOUT)
15
16 from nmutil.latch import SRLatch, latchregister
17 from nmutil.iocontrol import RecordObject
18
19 from soc.fu.regspec import RegSpec, RegSpecALUAPI
20
21
22 def find_ok(fields):
23 """find_ok helper function - finds field ending in "_ok"
24 """
25 for field_name in fields:
26 if field_name.endswith("_ok"):
27 return field_name
28 return None
29
30
31 def go_record(n, name):
32 r = Record([('go', n, DIR_FANIN),
33 ('rel', n, DIR_FANOUT)], name=name)
34 r.go.reset_less = True
35 r.rel.reset_less = True
36 return r
37
38
39 # see https://libre-soc.org/3d_gpu/architecture/regfile/ section on regspecs
40
41 class CompUnitRecord(RegSpec, RecordObject):
42 """CompUnitRecord
43
44 base class for Computation Units, to provide a uniform API
45 and allow "record.connect" etc. to be used, particularly when
46 it comes to connecting multiple Computation Units up as a block
47 (very laborious)
48
49 LDSTCompUnitRecord should derive from this class and add the
50 additional signals it requires
51
52 :subkls: the class (not an instance) needed to construct the opcode
53 :rwid: either an integer (specifies width of all regs) or a "regspec"
54
55 see https://libre-soc.org/3d_gpu/architecture/regfile/ section on regspecs
56 """
57
58 def __init__(self, subkls, rwid, n_src=None, n_dst=None, name=None):
59 RegSpec.__init__(self, rwid, n_src, n_dst)
60 RecordObject.__init__(self, name)
61 self._subkls = subkls
62 n_src, n_dst = self._n_src, self._n_dst
63
64 # create source operands
65 src = []
66 for i in range(n_src):
67 j = i + 1 # name numbering to match src1/src2
68 name = "src%d_i" % j
69 rw = self._get_srcwid(i)
70 sreg = Signal(rw, name=name, reset_less=True)
71 setattr(self, name, sreg)
72 src.append(sreg)
73 self._src_i = src
74
75 # create dest operands
76 dst = []
77 for i in range(n_dst):
78 j = i + 1 # name numbering to match dest1/2...
79 name = "dest%d_o" % j
80 rw = self._get_dstwid(i)
81 # dreg = Data(rw, name=name) XXX ??? output needs to be a Data type?
82 dreg = Signal(rw, name=name, reset_less=True)
83 setattr(self, name, dreg)
84 dst.append(dreg)
85 self._dest = dst
86
87 # operation / data input
88 self.oper_i = subkls(name="oper_i") # operand
89
90 # create read/write and other scoreboard signalling
91 self.rd = go_record(n_src, name="rd") # read in, req out
92 self.wr = go_record(n_dst, name="wr") # write in, req out
93 self.rdmaskn = Signal(n_src, reset_less=True) # read mask
94 self.wrmask = Signal(n_dst, reset_less=True) # write mask
95 self.issue_i = Signal(reset_less=True) # fn issue in
96 self.shadown_i = Signal(reset=1) # shadow function, defaults to ON
97 self.go_die_i = Signal() # go die (reset)
98
99 # output (busy/done)
100 self.busy_o = Signal(reset_less=True) # fn busy out
101 self.done_o = Signal(reset_less=True)
102
103
104 class MultiCompUnit(RegSpecALUAPI, Elaboratable):
105 def __init__(self, rwid, alu, opsubsetkls, n_src=2, n_dst=1, name=None):
106 """MultiCompUnit
107
108 * :rwid: width of register latches (TODO: allocate per regspec)
109 * :alu: ALU (pipeline, FSM) - must conform to nmutil Pipe API
110 * :opsubsetkls: subset of Decode2ExecuteType
111 * :n_src: number of src operands
112 * :n_dst: number of destination operands
113 """
114 RegSpecALUAPI.__init__(self, rwid, alu)
115 self.alu_name = name or "alu"
116 self.opsubsetkls = opsubsetkls
117 self.cu = cu = CompUnitRecord(opsubsetkls, rwid, n_src, n_dst)
118 n_src, n_dst = self.n_src, self.n_dst = cu._n_src, cu._n_dst
119 print("n_src %d n_dst %d" % (self.n_src, self.n_dst))
120
121 # convenience names for src operands
122 for i in range(n_src):
123 j = i + 1 # name numbering to match src1/src2
124 name = "src%d_i" % j
125 setattr(self, name, getattr(cu, name))
126
127 # convenience names for dest operands
128 for i in range(n_dst):
129 j = i + 1 # name numbering to match dest1/2...
130 name = "dest%d_o" % j
131 setattr(self, name, getattr(cu, name))
132
133 # more convenience names
134 self.rd = cu.rd
135 self.wr = cu.wr
136 self.rdmaskn = cu.rdmaskn
137 self.wrmask = cu.wrmask
138 self.go_rd_i = self.rd.go # temporary naming
139 self.go_wr_i = self.wr.go # temporary naming
140 self.rd_rel_o = self.rd.rel # temporary naming
141 self.req_rel_o = self.wr.rel # temporary naming
142 self.issue_i = cu.issue_i
143 self.shadown_i = cu.shadown_i
144 self.go_die_i = cu.go_die_i
145
146 # operation / data input
147 self.oper_i = cu.oper_i
148 self.src_i = cu._src_i
149
150 self.busy_o = cu.busy_o
151 self.dest = cu._dest
152 self.data_o = self.dest[0] # Dest out
153 self.done_o = cu.done_o
154
155 def _mux_op(self, m, sl, op_is_imm, imm, i):
156 # select imm if opcode says so. however also change the latch
157 # to trigger *from* the opcode latch instead.
158 src_or_imm = Signal(self.cu._get_srcwid(i), reset_less=True)
159 src_sel = Signal(reset_less=True)
160 m.d.comb += src_sel.eq(Mux(op_is_imm, self.opc_l.q, self.src_l.q[i]))
161 m.d.comb += src_or_imm.eq(Mux(op_is_imm, imm, self.src_i[i]))
162 # overwrite 1st src-latch with immediate-muxed stuff
163 sl[i][0] = src_or_imm
164 sl[i][2] = src_sel
165 sl[i][3] = ~op_is_imm # change rd.rel[i] gate condition
166
167 def elaborate(self, platform):
168 m = Module()
169 setattr(m.submodules, self.alu_name, self.alu)
170 m.submodules.src_l = src_l = SRLatch(False, self.n_src, name="src")
171 m.submodules.opc_l = opc_l = SRLatch(sync=False, name="opc")
172 m.submodules.req_l = req_l = SRLatch(False, self.n_dst, name="req")
173 m.submodules.rst_l = rst_l = SRLatch(sync=False, name="rst")
174 m.submodules.rok_l = rok_l = SRLatch(sync=False, name="rdok")
175 self.opc_l, self.src_l = opc_l, src_l
176
177 # ALU only proceeds when all src are ready. rd_rel_o is delayed
178 # so combine it with go_rd_i. if all bits are set we're good
179 all_rd = Signal(reset_less=True)
180 m.d.comb += all_rd.eq(self.busy_o & rok_l.q &
181 (((~self.rd.rel) | self.rd.go).all()))
182
183 # generate read-done pulse
184 all_rd_dly = Signal(reset_less=True)
185 all_rd_pulse = Signal(reset_less=True)
186 m.d.sync += all_rd_dly.eq(all_rd)
187 m.d.comb += all_rd_pulse.eq(all_rd & ~all_rd_dly)
188
189 # create rising pulse from alu valid condition.
190 alu_done = Signal(reset_less=True)
191 alu_done_dly = Signal(reset_less=True)
192 alu_pulse = Signal(reset_less=True)
193 alu_pulsem = Signal(self.n_dst, reset_less=True)
194 m.d.comb += alu_done.eq(self.alu.n.valid_o)
195 m.d.sync += alu_done_dly.eq(alu_done)
196 m.d.comb += alu_pulse.eq(alu_done & ~alu_done_dly)
197 m.d.comb += alu_pulsem.eq(Repl(alu_pulse, self.n_dst))
198
199 # sigh bug where req_l gets both set and reset raised at same time
200 prev_wr_go = Signal(self.n_dst)
201 brd = Repl(self.busy_o, self.n_dst)
202 m.d.sync += prev_wr_go.eq(self.wr.go & brd)
203
204 # write_requests all done
205 # req_done works because any one of the last of the writes
206 # is enough, when combined with when read-phase is done (rst_l.q)
207 wr_any = Signal(reset_less=True)
208 req_done = Signal(reset_less=True)
209 m.d.comb += self.done_o.eq(self.busy_o &
210 ~((self.wr.rel & ~self.wrmask).bool()))
211 m.d.comb += wr_any.eq(self.wr.go.bool() | prev_wr_go.bool())
212 m.d.comb += req_done.eq(wr_any & ~self.alu.n.ready_i &
213 ((req_l.q & self.wrmask) == 0))
214 # argh, complicated hack: if there are no regs to write,
215 # instead of waiting for regs that are never going to happen,
216 # we indicate "done" when the ALU is "done"
217 with m.If((self.wrmask == 0) &
218 self.alu.n.ready_i & self.alu.n.valid_o & self.busy_o):
219 m.d.comb += req_done.eq(1)
220
221 # shadow/go_die
222 reset = Signal(reset_less=True)
223 rst_r = Signal(reset_less=True) # reset latch off
224 reset_w = Signal(self.n_dst, reset_less=True)
225 reset_r = Signal(self.n_src, reset_less=True)
226 m.d.comb += reset.eq(req_done | self.go_die_i)
227 m.d.comb += rst_r.eq(self.issue_i | self.go_die_i)
228 m.d.comb += reset_w.eq(self.wr.go | Repl(self.go_die_i, self.n_dst))
229 m.d.comb += reset_r.eq(self.rd.go | Repl(self.go_die_i, self.n_src))
230
231 # read-done,wr-proceed latch
232 m.d.comb += rok_l.s.eq(self.issue_i) # set up when issue starts
233 m.d.sync += rok_l.r.eq(self.alu.n.valid_o & self.busy_o) # ALU done
234
235 # wr-done, back-to-start latch
236 m.d.comb += rst_l.s.eq(all_rd) # set when read-phase is fully done
237 m.d.comb += rst_l.r.eq(rst_r) # *off* on issue
238
239 # opcode latch (not using go_rd_i) - inverted so that busy resets to 0
240 m.d.sync += opc_l.s.eq(self.issue_i) # set on issue
241 m.d.sync += opc_l.r.eq(req_done) # reset on ALU
242
243 # src operand latch (not using go_wr_i)
244 m.d.sync += src_l.s.eq(Repl(self.issue_i, self.n_src))
245 m.d.sync += src_l.r.eq(reset_r)
246
247 # dest operand latch (not using issue_i)
248 m.d.comb += req_l.s.eq(alu_pulsem & self.wrmask)
249 m.d.comb += req_l.r.eq(reset_w | prev_wr_go)
250
251 # create a latch/register for the operand
252 oper_r = self.opsubsetkls(name="oper_r")
253 latchregister(m, self.oper_i, oper_r, self.issue_i, "oper_l")
254
255 # and for each output from the ALU: capture when ALU output is valid
256 drl = []
257 wrok = []
258 for i in range(self.n_dst):
259 name = "data_r%d" % i
260 lro = self.get_out(i)
261 ok = Const(1, 1)
262 if isinstance(lro, Record):
263 data_r = Record.like(lro, name=name)
264 print("wr fields", i, lro, data_r.fields)
265 # bye-bye abstract interface design..
266 fname = find_ok(data_r.fields)
267 if fname:
268 ok = data_r[fname]
269 else:
270 data_r = Signal.like(lro, name=name, reset_less=True)
271 wrok.append(ok & self.busy_o)
272 latchregister(m, lro, data_r, alu_pulsem, name + "_l")
273 drl.append(data_r)
274
275 # ok, above we collated anything with an "ok" on the output side
276 # now actually use those to create a write-mask. this basically
277 # is now the Function Unit API tells the Comp Unit "do not request
278 # a regfile port because this particular output is not valid"
279 m.d.comb += self.wrmask.eq(Cat(*wrok))
280
281 # pass the operation to the ALU
282 m.d.comb += self.get_op().eq(oper_r)
283
284 # create list of src/alu-src/src-latch. override 1st and 2nd one below.
285 # in the case, for ALU and Logical pipelines, we assume RB is the
286 # 2nd operand in the input "regspec". see for example
287 # soc.fu.alu.pipe_data.ALUInputData
288 sl = []
289 print("src_i", self.src_i)
290 for i in range(self.n_src):
291 sl.append([self.src_i[i], self.get_in(i), src_l.q[i], Const(1, 1)])
292
293 # if the operand subset has "zero_a" we implicitly assume that means
294 # src_i[0] is an INT reg type where zero can be multiplexed in, instead.
295 # see https://bugs.libre-soc.org/show_bug.cgi?id=336
296 if hasattr(oper_r, "zero_a"):
297 # select zero imm if opcode says so. however also change the latch
298 # to trigger *from* the opcode latch instead.
299 self._mux_op(m, sl, oper_r.zero_a, 0, 0)
300
301 # if the operand subset has "imm_data" we implicitly assume that means
302 # "this is an INT ALU/Logical FU jobbie, RB is muxed with the immediate"
303 if hasattr(oper_r, "imm_data"):
304 # select immediate if opcode says so. however also change the latch
305 # to trigger *from* the opcode latch instead.
306 op_is_imm = oper_r.imm_data.imm_ok
307 imm = oper_r.imm_data.imm
308 self._mux_op(m, sl, op_is_imm, imm, 1)
309
310 # create a latch/register for src1/src2 (even if it is a copy of imm)
311 for i in range(self.n_src):
312 src, alusrc, latch, _ = sl[i]
313 latchregister(m, src, alusrc, latch, name="src_r%d" % i)
314
315 # -----
316 # ALU connection / interaction
317 # -----
318
319 # on a go_read, tell the ALU we're accepting data.
320 m.submodules.alui_l = alui_l = SRLatch(False, name="alui")
321 m.d.comb += self.alu.p.valid_i.eq(alui_l.q)
322 m.d.sync += alui_l.r.eq(self.alu.p.ready_o & alui_l.q)
323 m.d.comb += alui_l.s.eq(all_rd_pulse)
324
325 # ALU output "ready" side. alu "ready" indication stays hi until
326 # ALU says "valid".
327 m.submodules.alu_l = alu_l = SRLatch(False, name="alu")
328 m.d.comb += self.alu.n.ready_i.eq(alu_l.q)
329 m.d.sync += alu_l.r.eq(self.alu.n.valid_o & alu_l.q)
330 m.d.comb += alu_l.s.eq(all_rd_pulse)
331
332 # -----
333 # outputs
334 # -----
335
336 slg = Cat(*map(lambda x: x[3], sl)) # get req gate conditions
337 # all request signals gated by busy_o. prevents picker problems
338 m.d.comb += self.busy_o.eq(opc_l.q) # busy out
339
340 # read-release gated by busy (and read-mask)
341 bro = Repl(self.busy_o, self.n_src)
342 m.d.comb += self.rd.rel.eq(src_l.q & bro & slg & ~self.rdmaskn)
343
344 # write-release gated by busy and by shadow (and write-mask)
345 brd = Repl(self.busy_o & self.shadown_i, self.n_dst)
346 m.d.comb += self.wr.rel.eq(req_l.q & brd & self.wrmask)
347
348 # output the data from the latch on go_write
349 for i in range(self.n_dst):
350 with m.If(self.wr.go[i] & self.busy_o):
351 m.d.comb += self.dest[i].eq(drl[i])
352
353 return m
354
355 def get_fu_out(self, i):
356 return self.dest[i]
357
358 def __iter__(self):
359 yield self.rd.go
360 yield self.wr.go
361 yield self.issue_i
362 yield self.shadown_i
363 yield self.go_die_i
364 yield from self.oper_i.ports()
365 yield self.src1_i
366 yield self.src2_i
367 yield self.busy_o
368 yield self.rd.rel
369 yield self.wr.rel
370 yield self.data_o
371
372 def ports(self):
373 return list(self)