f6635c320fc213b68bdacdc9669d531b0e14362e
[soc.git] / src / soc / experiment / test / test_compalu_multi.py
1 """Computation Unit (aka "ALU Manager").
2
3 Manages a Pipeline or FSM, ensuring that the start and end time are 100%
4 monitored. At no time may the ALU proceed without this module notifying
5 the Dependency Matrices. At no time is a result production "abandoned".
6 This module blocks (indicates busy) starting from when it first receives
7 an opcode until it receives notification that
8 its result(s) have been successfully stored in the regfile(s)
9
10 Documented at http://libre-soc.org/3d_gpu/architecture/compunit
11 """
12
13 from soc.experiment.alu_fsm import Shifter, CompFSMOpSubset
14 from soc.fu.alu.alu_input_record import CompALUOpSubset
15 from soc.fu.cr.cr_input_record import CompCROpSubset
16 from soc.experiment.alu_hier import ALU, DummyALU
17 from soc.experiment.compalu_multi import MultiCompUnit
18 from soc.decoder.power_enums import MicrOp
19 from nmutil.gtkw import write_gtkw
20 from nmigen import Module, Signal
21 from nmigen.cli import rtlil
22
23 # NOTE: to use cxxsim, export NMIGEN_SIM_MODE=cxxsim from the shell
24 # Also, check out the cxxsim nmigen branch, and latest yosys from git
25 from nmutil.sim_tmp_alternative import (Simulator, Settle, is_engine_pysim,
26 Passive)
27
28
29 def wrap(process):
30 def wrapper():
31 yield from process
32 return wrapper
33
34
35 class OperandProducer:
36 """
37 Produces an operand when requested by the Computation Unit
38 (`dut` parameter), using the `rel_o` / `go_i` handshake.
39
40 Attaches itself to the `dut` operand indexed by `op_index`.
41
42 Has a programmable delay between the assertion of `rel_o` and the
43 `go_i` pulse.
44
45 Data is presented only during the cycle in which `go_i` is active.
46
47 It adds itself as a passive process to the simulation (`sim` parameter).
48 Since it is passive, it will not hang the simulation, and does not need a
49 flag to terminate itself.
50 """
51 def __init__(self, sim, dut, op_index):
52 self.count = Signal(8, name=f"src{op_index + 1}_count")
53 """ transaction counter"""
54 # data and handshake signals from the DUT
55 self.port = dut.src_i[op_index]
56 self.go_i = dut.rd.go_i[op_index]
57 self.rel_o = dut.rd.rel_o[op_index]
58 # transaction parameters, passed via signals
59 self.delay = Signal(8)
60 self.data = Signal.like(self.port)
61 # add ourselves to the simulation process list
62 sim.add_sync_process(self._process)
63
64 def _process(self):
65 yield Passive()
66 while True:
67 # Settle() is needed to give a quick response to
68 # the zero delay case
69 yield Settle()
70 # wait for rel_o to become active
71 while not (yield self.rel_o):
72 yield
73 yield Settle()
74 # read the transaction parameters
75 delay = (yield self.delay)
76 data = (yield self.data)
77 # wait for `delay` cycles
78 for _ in range(delay):
79 yield
80 # activate go_i and present data, for one cycle
81 yield self.go_i.eq(1)
82 yield self.port.eq(data)
83 yield self.count.eq(self.count + 1)
84 yield
85 yield self.go_i.eq(0)
86 yield self.port.eq(0)
87
88 def send(self, data, delay):
89 """
90 Schedules the module to send some `data`, counting `delay` cycles after
91 `rel_i` becomes active.
92
93 To be called from the main test-bench process,
94 it returns in the same cycle.
95
96 Communication with the worker process is done by means of
97 combinatorial simulation-only signals.
98
99 """
100 yield self.data.eq(data)
101 yield self.delay.eq(delay)
102
103
104 class ResultConsumer:
105 """
106 Consumes a result when requested by the Computation Unit
107 (`dut` parameter), using the `rel_o` / `go_i` handshake.
108
109 Attaches itself to the `dut` result indexed by `op_index`.
110
111 Has a programmable delay between the assertion of `rel_o` and the
112 `go_i` pulse.
113
114 Data is retrieved only during the cycle in which `go_i` is active.
115
116 It adds itself as a passive process to the simulation (`sim` parameter).
117 Since it is passive, it will not hang the simulation, and does not need a
118 flag to terminate itself.
119 """
120 def __init__(self, sim, dut, op_index):
121 self.count = Signal(8, name=f"dest{op_index + 1}_count")
122 """ transaction counter"""
123 # data and handshake signals from the DUT
124 self.port = dut.dest[op_index]
125 self.go_i = dut.wr.go_i[op_index]
126 self.rel_o = dut.wr.rel_o[op_index]
127 # transaction parameters, passed via signals
128 self.delay = Signal(8)
129 self.expected = Signal.like(self.port)
130 # add ourselves to the simulation process list
131 sim.add_sync_process(self._process)
132
133 def _process(self):
134 yield Passive()
135 while True:
136 # Settle() is needed to give a quick response to
137 # the zero delay case
138 yield Settle()
139 # wait for rel_o to become active
140 while not (yield self.rel_o):
141 yield
142 yield Settle()
143 # read the transaction parameters
144 delay = (yield self.delay)
145 expected = (yield self.expected)
146 # wait for `delay` cycles
147 for _ in range(delay):
148 yield
149 # activate go_i for one cycle
150 yield self.go_i.eq(1)
151 yield self.count.eq(self.count + 1)
152 yield
153 # check received data against the expected value
154 result = (yield self.port)
155 assert result == expected,\
156 f"expected {expected}, received {result}"
157 yield self.go_i.eq(0)
158 yield self.port.eq(0)
159
160 def receive(self, expected, delay):
161 """
162 Schedules the module to receive some result,
163 counting `delay` cycles after `rel_i` becomes active.
164 As 'go_i' goes active, check the result with `expected`.
165
166 To be called from the main test-bench process,
167 it returns in the same cycle.
168
169 Communication with the worker process is done by means of
170 combinatorial simulation-only signals.
171 """
172 yield self.expected.eq(expected)
173 yield self.delay.eq(delay)
174
175
176 def op_sim(dut, a, b, op, inv_a=0, imm=0, imm_ok=0, zero_a=0):
177 yield dut.issue_i.eq(0)
178 yield
179 yield dut.src_i[0].eq(a)
180 yield dut.src_i[1].eq(b)
181 yield dut.oper_i.insn_type.eq(op)
182 yield dut.oper_i.invert_in.eq(inv_a)
183 yield dut.oper_i.imm_data.data.eq(imm)
184 yield dut.oper_i.imm_data.ok.eq(imm_ok)
185 yield dut.oper_i.zero_a.eq(zero_a)
186 yield dut.issue_i.eq(1)
187 yield
188 yield dut.issue_i.eq(0)
189 yield
190 if not imm_ok or not zero_a:
191 yield dut.rd.go_i.eq(0b11)
192 while True:
193 yield
194 rd_rel_o = yield dut.rd.rel_o
195 print("rd_rel", rd_rel_o)
196 if rd_rel_o:
197 break
198 yield dut.rd.go_i.eq(0)
199 else:
200 print("no go rd")
201
202 if len(dut.src_i) == 3:
203 yield dut.rd.go_i.eq(0b100)
204 while True:
205 yield
206 rd_rel_o = yield dut.rd.rel_o
207 print("rd_rel", rd_rel_o)
208 if rd_rel_o:
209 break
210 yield dut.rd.go_i.eq(0)
211 else:
212 print("no 3rd rd")
213
214 req_rel_o = yield dut.wr.rel_o
215 result = yield dut.data_o
216 print("req_rel", req_rel_o, result)
217 while True:
218 req_rel_o = yield dut.wr.rel_o
219 result = yield dut.data_o
220 print("req_rel", req_rel_o, result)
221 if req_rel_o:
222 break
223 yield
224 yield dut.wr.go_i[0].eq(1)
225 yield Settle()
226 result = yield dut.data_o
227 yield
228 print("result", result)
229 yield dut.wr.go_i[0].eq(0)
230 yield
231 return result
232
233
234 def scoreboard_sim_fsm(dut, producers, consumers):
235
236 # stores the operation count
237 op_count = 0
238
239 def op_sim_fsm(a, b, direction, expected, delays):
240 print("op_sim_fsm", a, b, direction, expected)
241 yield dut.issue_i.eq(0)
242 yield
243 # forward data and delays to the producers and consumers
244 yield from producers[0].send(a, delays[0])
245 yield from producers[1].send(b, delays[1])
246 yield from consumers[0].receive(expected, delays[2])
247 # submit operation, and assert issue_i for one cycle
248 yield dut.oper_i.sdir.eq(direction)
249 yield dut.issue_i.eq(1)
250 yield
251 yield dut.issue_i.eq(0)
252 # wait for busy to be negated
253 yield Settle()
254 while (yield dut.busy_o):
255 yield
256 yield Settle()
257 # update the operation count
258 nonlocal op_count
259 op_count = (op_count + 1) & 255
260 # check that producers and consumers have the same count
261 # this assures that no data was left unused or was lost
262 assert (yield producers[0].count) == op_count
263 assert (yield producers[1].count) == op_count
264 assert (yield consumers[0].count) == op_count
265
266 # 13 >> 2 = 3
267 # operand 1 arrives immediately
268 # operand 2 arrives after operand 1
269 # write data is accepted immediately
270 yield from op_sim_fsm(13, 2, 1, 3, [0, 2, 0])
271 # 3 << 4 = 48
272 # operand 2 arrives immediately
273 # operand 1 arrives after operand 2
274 # write data is accepted after some delay
275 yield from op_sim_fsm(3, 4, 0, 48, [2, 0, 2])
276 # 21 << 0 = 21
277 # operands 1 and 2 arrive at the same time
278 # write data is accepted after some delay
279 yield from op_sim_fsm(21, 0, 0, 21, [1, 1, 1])
280
281
282 def scoreboard_sim_dummy(op):
283 yield from op.issue([5, 2, 0], MicrOp.OP_NOP, [5],
284 src_delays=[0, 2, 1], dest_delays=[0])
285 yield from op.issue([9, 2, 0], MicrOp.OP_NOP, [9],
286 src_delays=[2, 1, 0], dest_delays=[2])
287 # test all combinations of masked input ports
288 yield from op.issue([5, 2, 0], MicrOp.OP_NOP, [0],
289 rdmaskn=[1, 0, 0],
290 src_delays=[0, 2, 1], dest_delays=[0])
291 yield from op.issue([9, 2, 0], MicrOp.OP_NOP, [9],
292 rdmaskn=[0, 1, 0],
293 src_delays=[2, 1, 0], dest_delays=[2])
294 yield from op.issue([5, 2, 0], MicrOp.OP_NOP, [5],
295 rdmaskn=[0, 0, 1],
296 src_delays=[2, 1, 0], dest_delays=[2])
297 yield from op.issue([9, 2, 0], MicrOp.OP_NOP, [9],
298 rdmaskn=[0, 1, 1],
299 src_delays=[2, 1, 0], dest_delays=[2])
300 yield from op.issue([9, 2, 0], MicrOp.OP_NOP, [0],
301 rdmaskn=[1, 1, 0],
302 src_delays=[2, 1, 0], dest_delays=[2])
303 yield from op.issue([9, 2, 0], MicrOp.OP_NOP, [0],
304 rdmaskn=[1, 1, 1],
305 src_delays=[2, 1, 0], dest_delays=[2])
306
307
308 class OpSim:
309 """ALU Operation issuer
310
311 Issues operations to the DUT"""
312 def __init__(self, dut, sim):
313 self.op_count = 0
314 self.zero_a_count = 0
315 self.imm_ok_count = 0
316 self.rdmaskn_count = [0] * len(dut.src_i)
317 self.wrmask_count = [0] * len(dut.dest)
318 self.dut = dut
319 # create one operand producer for each input port
320 self.producers = list()
321 for i in range(len(dut.src_i)):
322 self.producers.append(OperandProducer(sim, dut, i))
323 # create one result consumer for each output port
324 self.consumers = list()
325 for i in range(len(dut.dest)):
326 self.consumers.append(ResultConsumer(sim, dut, i))
327
328 def issue(self, src_i, op, expected, src_delays, dest_delays,
329 inv_a=0, imm=0, imm_ok=0, zero_a=0, rc=0,
330 rdmaskn=None, wrmask=None):
331 """Executes the issue operation"""
332 dut = self.dut
333 producers = self.producers
334 consumers = self.consumers
335 if rdmaskn is None:
336 rdmaskn = [0] * len(src_i)
337 if wrmask is None:
338 wrmask = [0] * len(expected)
339 yield dut.issue_i.eq(0)
340 yield
341 # forward data and delays to the producers and consumers
342 # first, send special cases (with zero_a and/or imm_ok)
343 if not zero_a:
344 yield from producers[0].send(src_i[0], src_delays[0])
345 if not imm_ok:
346 yield from producers[1].send(src_i[1], src_delays[1])
347 # then, send the rest (if any)
348 for i in range(2, len(producers)):
349 yield from producers[i].send(src_i[i], src_delays[i])
350 for i in range(len(consumers)):
351 yield from consumers[i].receive(expected[i], dest_delays[i])
352 # submit operation, and assert issue_i for one cycle
353 yield dut.oper_i.insn_type.eq(op)
354 if hasattr(dut.oper_i, "invert_in"):
355 yield dut.oper_i.invert_in.eq(inv_a)
356 if hasattr(dut.oper_i, "imm_data"):
357 yield dut.oper_i.imm_data.data.eq(imm)
358 yield dut.oper_i.imm_data.ok.eq(imm_ok)
359 if hasattr(dut.oper_i, "zero_a"):
360 yield dut.oper_i.zero_a.eq(zero_a)
361 if hasattr(dut.oper_i, "rc"):
362 yield dut.oper_i.rc.rc.eq(rc)
363 if hasattr(dut, "rdmaskn"):
364 rdmaskn_bits = 0
365 for i in range(len(rdmaskn)):
366 rdmaskn_bits |= rdmaskn[i] << i
367 yield dut.rdmaskn.eq(rdmaskn_bits)
368 yield dut.issue_i.eq(1)
369 yield
370 yield dut.issue_i.eq(0)
371 # deactivate decoder inputs along with issue_i, so we can be sure they
372 # were latched at the correct cycle
373 # note: rdmaskn is not latched, and must be held as long as
374 # busy_o is active
375 # See: https://bugs.libre-soc.org/show_bug.cgi?id=336#c44
376 yield self.dut.oper_i.insn_type.eq(0)
377 if hasattr(dut.oper_i, "invert_in"):
378 yield self.dut.oper_i.invert_in.eq(0)
379 if hasattr(dut.oper_i, "imm_data"):
380 yield self.dut.oper_i.imm_data.data.eq(0)
381 yield self.dut.oper_i.imm_data.ok.eq(0)
382 if hasattr(dut.oper_i, "zero_a"):
383 yield self.dut.oper_i.zero_a.eq(0)
384 if hasattr(dut.oper_i, "rc"):
385 yield dut.oper_i.rc.rc.eq(0)
386 # wait for busy to be negated
387 yield Settle()
388 while (yield dut.busy_o):
389 yield
390 yield Settle()
391 # now, deactivate rdmaskn
392 if hasattr(dut, "rdmaskn"):
393 yield dut.rdmaskn.eq(0)
394 # update the operation count
395 self.op_count = (self.op_count + 1) & 255
396 # On zero_a, imm_ok and rdmaskn executions, the producer counters will
397 # fall behind. But, by summing the following counts, the invariant is
398 # preserved.
399 if zero_a and not rdmaskn[0]:
400 self.zero_a_count += 1
401 if imm_ok and not rdmaskn[1]:
402 self.imm_ok_count += 1
403 for i in range(len(rdmaskn)):
404 if rdmaskn[i]:
405 self.rdmaskn_count[i] += 1
406 for i in range(len(wrmask)):
407 if wrmask[i]:
408 self.wrmask_count[i] += 1
409 # check that producers and consumers have the same count
410 # this assures that no data was left unused or was lost
411 # first, check special cases (zero_a and imm_ok)
412 port_a_cnt = \
413 (yield producers[0].count) \
414 + self.zero_a_count \
415 + self.rdmaskn_count[0]
416 port_b_cnt = \
417 (yield producers[1].count) \
418 + self.imm_ok_count \
419 + self.rdmaskn_count[1]
420 assert port_a_cnt == self.op_count
421 assert port_b_cnt == self.op_count
422 # then, check the rest (if any)
423 for i in range(2, len(producers)):
424 port_cnt = (yield producers[i].count) + self.rdmaskn_count[i]
425 assert port_cnt == self.op_count
426 # check write counter
427 for i in range(len(consumers)):
428 port_cnt = (yield consumers[i].count) + self.wrmask_count[i]
429 assert port_cnt == self.op_count
430
431
432 def scoreboard_sim(op):
433 # zero (no) input operands test
434 # 0 + 8 = 8
435 yield from op.issue([5, 2], MicrOp.OP_ADD, [8, 0],
436 zero_a=1, imm=8, imm_ok=1,
437 wrmask=[0, 1],
438 src_delays=[0, 2], dest_delays=[0, 0])
439 # 5 + 8 = 13
440 yield from op.issue([5, 2], MicrOp.OP_ADD, [13, 0],
441 inv_a=0, imm=8, imm_ok=1,
442 wrmask=[0, 1],
443 src_delays=[2, 0], dest_delays=[2, 0])
444 # 5 + 2 = 7
445 yield from op.issue([5, 2], MicrOp.OP_ADD, [7, 0],
446 wrmask=[0, 1],
447 src_delays=[1, 1], dest_delays=[1, 0])
448 # (-6) + 2 = (-4)
449 yield from op.issue([5, 2], MicrOp.OP_ADD, [65532, 0],
450 inv_a=1,
451 wrmask=[0, 1],
452 src_delays=[1, 2], dest_delays=[0, 0])
453 # 0 + 2 = 2
454 yield from op.issue([5, 2], MicrOp.OP_ADD, [2, 0],
455 zero_a=1,
456 wrmask=[0, 1],
457 src_delays=[2, 0], dest_delays=[1, 0])
458
459 # test combinatorial zero-delay operation
460 # In the test ALU, any operation other than ADD, MUL, EXTS or SHR
461 # is zero-delay, and do a subtraction.
462 # 5 - 2 = 3
463 yield from op.issue([5, 2], MicrOp.OP_CMP, [3, 0],
464 wrmask=[0, 1],
465 src_delays=[0, 1], dest_delays=[2, 0])
466 # test all combinations of masked input ports
467 # NOP does not make any request nor response
468 yield from op.issue([5, 2], MicrOp.OP_NOP, [0, 0],
469 rdmaskn=[1, 1], wrmask=[1, 1],
470 src_delays=[1, 2], dest_delays=[1, 0])
471 # sign_extend(0x80) = 0xFF80
472 yield from op.issue([0x80, 2], MicrOp.OP_EXTS, [0xFF80, 0],
473 rdmaskn=[0, 1], wrmask=[0, 1],
474 src_delays=[2, 1], dest_delays=[0, 0])
475 # sign_extend(0x80) = 0xFF80
476 yield from op.issue([2, 0x80], MicrOp.OP_EXTSWSLI, [0xFF80, 0],
477 rdmaskn=[1, 0], wrmask=[0, 1],
478 src_delays=[1, 2], dest_delays=[1, 0])
479
480
481 def test_compunit_fsm():
482 style = {
483 'in': {'color': 'orange'},
484 'out': {'color': 'yellow'},
485 }
486 traces = [
487 'clk',
488 ('operation port', {'color': 'red'}, [
489 'cu_issue_i', 'cu_busy_o',
490 {'comment': 'operation'},
491 'oper_i_None__sdir']),
492 ('operand 1 port', 'in', [
493 ('cu_rd__rel_o[1:0]', {'bit': 1}),
494 ('cu_rd__go_i[1:0]', {'bit': 1}),
495 'src1_i[7:0]']),
496 ('operand 2 port', 'in', [
497 ('cu_rd__rel_o[1:0]', {'bit': 0}),
498 ('cu_rd__go_i[1:0]', {'bit': 0}),
499 'src2_i[7:0]']),
500 ('result port', 'out', [
501 'cu_wr__rel_o', 'cu_wr__go_i', 'dest1_o[7:0]']),
502 ('alu', {'submodule': 'alu'}, [
503 ('prev port', 'in', [
504 'op__sdir', 'p_data_i[7:0]', 'p_shift_i[7:0]',
505 ({'submodule': 'p'},
506 ['p_valid_i', 'p_ready_o'])]),
507 ('next port', 'out', [
508 'n_data_o[7:0]',
509 ({'submodule': 'n'},
510 ['n_valid_o', 'n_ready_i'])])]),
511 ('debug', {'module': 'top'},
512 ['src1_count[7:0]', 'src2_count[7:0]', 'dest1_count[7:0]'])]
513
514 write_gtkw(
515 "test_compunit_fsm1.gtkw",
516 "test_compunit_fsm1.vcd",
517 traces, style,
518 module='top.cu'
519 )
520 m = Module()
521 alu = Shifter(8)
522 dut = MultiCompUnit(8, alu, CompFSMOpSubset)
523 m.submodules.cu = dut
524
525 vl = rtlil.convert(dut, ports=dut.ports())
526 with open("test_compunit_fsm1.il", "w") as f:
527 f.write(vl)
528
529 sim = Simulator(m)
530 sim.add_clock(1e-6)
531
532 # create one operand producer for each input port
533 prod_a = OperandProducer(sim, dut, 0)
534 prod_b = OperandProducer(sim, dut, 1)
535 # create an result consumer for the output port
536 cons = ResultConsumer(sim, dut, 0)
537 sim.add_sync_process(wrap(scoreboard_sim_fsm(dut,
538 [prod_a, prod_b],
539 [cons])))
540 sim_writer = sim.write_vcd('test_compunit_fsm1.vcd',
541 traces=[prod_a.count,
542 prod_b.count,
543 cons.count])
544 with sim_writer:
545 sim.run()
546
547
548 def test_compunit():
549
550 m = Module()
551 alu = ALU(16)
552 dut = MultiCompUnit(16, alu, CompALUOpSubset, n_dst=2)
553 m.submodules.cu = dut
554
555 vl = rtlil.convert(dut, ports=dut.ports())
556 with open("test_compunit1.il", "w") as f:
557 f.write(vl)
558
559 sim = Simulator(m)
560 sim.add_clock(1e-6)
561
562 # create an operation issuer
563 op = OpSim(dut, sim)
564 sim.add_sync_process(wrap(scoreboard_sim(op)))
565 sim_writer = sim.write_vcd('test_compunit1.vcd')
566 with sim_writer:
567 sim.run()
568
569
570 def test_compunit_regspec2_fsm():
571
572 inspec = [('INT', 'data', '0:15'),
573 ('INT', 'shift', '0:15')]
574 outspec = [('INT', 'data', '0:15')]
575
576 regspec = (inspec, outspec)
577
578 m = Module()
579 alu = Shifter(8)
580 dut = MultiCompUnit(regspec, alu, CompFSMOpSubset)
581 m.submodules.cu = dut
582
583 sim = Simulator(m)
584 sim.add_clock(1e-6)
585
586 # create one operand producer for each input port
587 prod_a = OperandProducer(sim, dut, 0)
588 prod_b = OperandProducer(sim, dut, 1)
589 # create an result consumer for the output port
590 cons = ResultConsumer(sim, dut, 0)
591 sim.add_sync_process(wrap(scoreboard_sim_fsm(dut,
592 [prod_a, prod_b],
593 [cons])))
594 sim_writer = sim.write_vcd('test_compunit_regspec2_fsm.vcd',
595 traces=[prod_a.count,
596 prod_b.count,
597 cons.count])
598 with sim_writer:
599 sim.run()
600
601
602 def test_compunit_regspec3():
603
604 style = {
605 'in': {'color': 'orange'},
606 'out': {'color': 'yellow'},
607 }
608 traces = [
609 'clk',
610 ('operation port', {'color': 'red'}, [
611 'cu_issue_i', 'cu_busy_o',
612 {'comment': 'operation'},
613 ('oper_i_None__insn_type'
614 + ('' if is_engine_pysim() else '[6:0]'),
615 {'display': 'insn_type'})]),
616 ('operand 1 port', 'in', [
617 ('cu_rdmaskn_i[2:0]', {'bit': 2}),
618 ('cu_rd__rel_o[2:0]', {'bit': 2}),
619 ('cu_rd__go_i[2:0]', {'bit': 2}),
620 'src1_i[15:0]']),
621 ('operand 2 port', 'in', [
622 ('cu_rdmaskn_i[2:0]', {'bit': 1}),
623 ('cu_rd__rel_o[2:0]', {'bit': 1}),
624 ('cu_rd__go_i[2:0]', {'bit': 1}),
625 'src2_i[15:0]']),
626 ('operand 3 port', 'in', [
627 ('cu_rdmaskn_i[2:0]', {'bit': 0}),
628 ('cu_rd__rel_o[2:0]', {'bit': 0}),
629 ('cu_rd__go_i[2:0]', {'bit': 0}),
630 'src1_i[15:0]']),
631 ('result port', 'out', [
632 'cu_wrmask_o', 'cu_wr__rel_o', 'cu_wr__go_i', 'dest1_o[15:0]']),
633 ('alu', {'submodule': 'alu'}, [
634 ('prev port', 'in', [
635 'oper_i_None__insn_type', 'i1[15:0]',
636 'valid_i', 'ready_o']),
637 ('next port', 'out', [
638 'alu_o[15:0]', 'valid_o', 'ready_i'])])]
639
640 write_gtkw("test_compunit_regspec3.gtkw",
641 "test_compunit_regspec3.vcd",
642 traces, style,
643 clk_period=1e-6,
644 module='top.cu')
645
646 inspec = [('INT', 'a', '0:15'),
647 ('INT', 'b', '0:15'),
648 ('INT', 'c', '0:15')]
649 outspec = [('INT', 'o', '0:15')]
650
651 regspec = (inspec, outspec)
652
653 m = Module()
654 alu = DummyALU(16)
655 dut = MultiCompUnit(regspec, alu, CompCROpSubset)
656 m.submodules.cu = dut
657
658 sim = Simulator(m)
659 sim.add_clock(1e-6)
660
661 # create an operation issuer
662 op = OpSim(dut, sim)
663 sim.add_sync_process(wrap(scoreboard_sim_dummy(op)))
664 sim_writer = sim.write_vcd('test_compunit_regspec3.vcd')
665 with sim_writer:
666 sim.run()
667
668
669 def test_compunit_regspec1():
670
671 style = {
672 'in': {'color': 'orange'},
673 'out': {'color': 'yellow'},
674 }
675 traces = [
676 'clk',
677 ('operation port', {'color': 'red'}, [
678 'cu_issue_i', 'cu_busy_o',
679 {'comment': 'operation'},
680 ('oper_i_None__insn_type'
681 + ('' if is_engine_pysim() else '[6:0]'),
682 {'display': 'insn_type'}),
683 ('oper_i_None__invert_in', {'display': 'invert_in'}),
684 ('oper_i_None__imm_data__data[63:0]', {'display': 'data[63:0]'}),
685 ('oper_i_None__imm_data__ok', {'display': 'imm_ok'}),
686 ('oper_i_None__zero_a', {'display': 'zero_a'}),
687 ('oper_i_None__rc__rc', {'display': 'rc'})]),
688 ('operand 1 port', 'in', [
689 ('cu_rdmaskn_i[1:0]', {'bit': 1}),
690 ('cu_rd__rel_o[1:0]', {'bit': 1}),
691 ('cu_rd__go_i[1:0]', {'bit': 1}),
692 'src1_i[15:0]']),
693 ('operand 2 port', 'in', [
694 ('cu_rdmaskn_i[1:0]', {'bit': 0}),
695 ('cu_rd__rel_o[1:0]', {'bit': 0}),
696 ('cu_rd__go_i[1:0]', {'bit': 0}),
697 'src2_i[15:0]']),
698 ('result port', 'out', [
699 ('cu_wrmask_o[1:0]', {'bit': 1}),
700 ('cu_wr__rel_o[1:0]', {'bit': 1}),
701 ('cu_wr__go_i[1:0]', {'bit': 1}),
702 'dest1_o[15:0]']),
703 ('cr port', 'out', [
704 ('cu_wrmask_o[1:0]', {'bit': 0}),
705 ('cu_wr__rel_o[1:0]', {'bit': 0}),
706 ('cu_wr__go_i[1:0]', {'bit': 0}),
707 'dest2_o[2:0]']),
708 ('alu', {'submodule': 'alu'}, [
709 ('prev port', 'in', [
710 'op__insn_type', 'op__invert_in', 'a[15:0]', 'b[15:0]',
711 'valid_i', 'ready_o']),
712 ('next port', 'out', [
713 'alu_o[15:0]', 'valid_o', 'ready_i',
714 'alu_o_ok', 'alu_cr_ok'])]),
715 ('debug', {'module': 'top'},
716 ['src1_count[7:0]', 'src2_count[7:0]', 'dest1_count[7:0]'])]
717
718 write_gtkw("test_compunit_regspec1.gtkw",
719 "test_compunit_regspec1.vcd",
720 traces, style,
721 clk_period=1e-6,
722 module='top.cu')
723
724 inspec = [('INT', 'a', '0:15'),
725 ('INT', 'b', '0:15')]
726 outspec = [('INT', 'o', '0:15'),
727 ('INT', 'cr', '0:2')]
728
729 regspec = (inspec, outspec)
730
731 m = Module()
732 alu = ALU(16)
733 dut = MultiCompUnit(regspec, alu, CompALUOpSubset)
734 m.submodules.cu = dut
735
736 vl = rtlil.convert(dut, ports=dut.ports())
737 with open("test_compunit_regspec1.il", "w") as f:
738 f.write(vl)
739
740 sim = Simulator(m)
741 sim.add_clock(1e-6)
742
743 # create an operation issuer
744 op = OpSim(dut, sim)
745 sim.add_sync_process(wrap(scoreboard_sim(op)))
746 sim_writer = sim.write_vcd('test_compunit_regspec1.vcd',
747 traces=[op.producers[0].count,
748 op.producers[1].count,
749 op.consumers[0].count])
750 with sim_writer:
751 sim.run()
752
753
754 if __name__ == '__main__':
755 test_compunit()
756 test_compunit_fsm()
757 test_compunit_regspec1()
758 test_compunit_regspec2_fsm()
759 test_compunit_regspec3()