Add a transaction counter to producers and consumers
[soc.git] / src / soc / experiment / test / test_compalu_multi.py
1 """Computation Unit (aka "ALU Manager").
2
3 Manages a Pipeline or FSM, ensuring that the start and end time are 100%
4 monitored. At no time may the ALU proceed without this module notifying
5 the Dependency Matrices. At no time is a result production "abandoned".
6 This module blocks (indicates busy) starting from when it first receives
7 an opcode until it receives notification that
8 its result(s) have been successfully stored in the regfile(s)
9
10 Documented at http://libre-soc.org/3d_gpu/architecture/compunit
11 """
12
13 from soc.experiment.alu_fsm import Shifter, CompFSMOpSubset
14 from soc.fu.alu.alu_input_record import CompALUOpSubset
15 from soc.experiment.alu_hier import ALU, DummyALU
16 from soc.experiment.compalu_multi import MultiCompUnit
17 from soc.decoder.power_enums import MicrOp
18 from nmutil.gtkw import write_gtkw
19 from nmigen import Module, Signal
20 from nmigen.cli import rtlil
21
22 # NOTE: to use cxxsim, export NMIGEN_SIM_MODE=cxxsim from the shell
23 # Also, check out the cxxsim nmigen branch, and latest yosys from git
24 from nmutil.sim_tmp_alternative import (Simulator, Settle, is_engine_pysim,
25 Passive)
26
27
28 def wrap(process):
29 def wrapper():
30 yield from process
31 return wrapper
32
33
34 class OperandProducer:
35 """
36 Produces an operand when requested by the Computation Unit
37 (`dut` parameter), using the `rel_o` / `go_i` handshake.
38
39 Attaches itself to the `dut` operand indexed by `op_index`.
40
41 Has a programmable delay between the assertion of `rel_o` and the
42 `go_i` pulse.
43
44 Data is presented only during the cycle in which `go_i` is active.
45
46 It adds itself as a passive process to the simulation (`sim` parameter).
47 Since it is passive, it will not hang the simulation, and does not need a
48 flag to terminate itself.
49 """
50 def __init__(self, sim, dut, op_index):
51 self.count = Signal(8, name=f"src{op_index + 1}_count")
52 """ transaction counter"""
53 # data and handshake signals from the DUT
54 self.port = dut.src_i[op_index]
55 self.go_i = dut.rd.go_i[op_index]
56 self.rel_o = dut.rd.rel_o[op_index]
57 # transaction parameters, passed via signals
58 self.delay = Signal(8)
59 self.data = Signal.like(self.port)
60 # add ourselves to the simulation process list
61 sim.add_sync_process(self._process)
62
63 def _process(self):
64 yield Passive()
65 while True:
66 # Settle() is needed to give a quick response to
67 # the zero delay case
68 yield Settle()
69 # wait for rel_o to become active
70 while not (yield self.rel_o):
71 yield
72 yield Settle()
73 # read the transaction parameters
74 delay = (yield self.delay)
75 data = (yield self.data)
76 # wait for `delay` cycles
77 for _ in range(delay):
78 yield
79 # activate go_i and present data, for one cycle
80 yield self.go_i.eq(1)
81 yield self.port.eq(data)
82 yield self.count.eq(self.count + 1)
83 yield
84 yield self.go_i.eq(0)
85 yield self.port.eq(0)
86
87 def send(self, data, delay):
88 """
89 Schedules the module to send some `data`, counting `delay` cycles after
90 `rel_i` becomes active.
91
92 To be called from the main test-bench process,
93 it returns in the same cycle.
94
95 Communication with the worker process is done by means of
96 combinatorial simulation-only signals.
97
98 """
99 yield self.data.eq(data)
100 yield self.delay.eq(delay)
101
102
103 class ResultConsumer:
104 """
105 Consumes a result when requested by the Computation Unit
106 (`dut` parameter), using the `rel_o` / `go_i` handshake.
107
108 Attaches itself to the `dut` result indexed by `op_index`.
109
110 Has a programmable delay between the assertion of `rel_o` and the
111 `go_i` pulse.
112
113 Data is retrieved only during the cycle in which `go_i` is active.
114
115 It adds itself as a passive process to the simulation (`sim` parameter).
116 Since it is passive, it will not hang the simulation, and does not need a
117 flag to terminate itself.
118 """
119 def __init__(self, sim, dut, op_index):
120 self.count = Signal(8, name=f"dest{op_index + 1}_count")
121 """ transaction counter"""
122 # data and handshake signals from the DUT
123 self.port = dut.dest[op_index]
124 self.go_i = dut.wr.go_i[op_index]
125 self.rel_o = dut.wr.rel_o[op_index]
126 # transaction parameters, passed via signals
127 self.delay = Signal(8)
128 self.expected = Signal.like(self.port)
129 # add ourselves to the simulation process list
130 sim.add_sync_process(self._process)
131
132 def _process(self):
133 yield Passive()
134 while True:
135 # Settle() is needed to give a quick response to
136 # the zero delay case
137 yield Settle()
138 # wait for rel_o to become active
139 while not (yield self.rel_o):
140 yield
141 yield Settle()
142 # read the transaction parameters
143 delay = (yield self.delay)
144 expected = (yield self.expected)
145 # wait for `delay` cycles
146 for _ in range(delay):
147 yield
148 # activate go_i for one cycle
149 yield self.go_i.eq(1)
150 yield self.count.eq(self.count + 1)
151 yield
152 # check received data against the expected value
153 result = (yield self.port)
154 assert result == expected,\
155 f"expected {expected}, received {result}"
156 yield self.go_i.eq(0)
157 yield self.port.eq(0)
158
159 def receive(self, expected, delay):
160 """
161 Schedules the module to receive some result,
162 counting `delay` cycles after `rel_i` becomes active.
163 As 'go_i' goes active, check the result with `expected`.
164
165 To be called from the main test-bench process,
166 it returns in the same cycle.
167
168 Communication with the worker process is done by means of
169 combinatorial simulation-only signals.
170 """
171 yield self.expected.eq(expected)
172 yield self.delay.eq(delay)
173
174
175 def op_sim(dut, a, b, op, inv_a=0, imm=0, imm_ok=0, zero_a=0):
176 yield dut.issue_i.eq(0)
177 yield
178 yield dut.src_i[0].eq(a)
179 yield dut.src_i[1].eq(b)
180 yield dut.oper_i.insn_type.eq(op)
181 yield dut.oper_i.invert_in.eq(inv_a)
182 yield dut.oper_i.imm_data.data.eq(imm)
183 yield dut.oper_i.imm_data.ok.eq(imm_ok)
184 yield dut.oper_i.zero_a.eq(zero_a)
185 yield dut.issue_i.eq(1)
186 yield
187 yield dut.issue_i.eq(0)
188 yield
189 if not imm_ok or not zero_a:
190 yield dut.rd.go_i.eq(0b11)
191 while True:
192 yield
193 rd_rel_o = yield dut.rd.rel_o
194 print("rd_rel", rd_rel_o)
195 if rd_rel_o:
196 break
197 yield dut.rd.go_i.eq(0)
198 else:
199 print("no go rd")
200
201 if len(dut.src_i) == 3:
202 yield dut.rd.go_i.eq(0b100)
203 while True:
204 yield
205 rd_rel_o = yield dut.rd.rel_o
206 print("rd_rel", rd_rel_o)
207 if rd_rel_o:
208 break
209 yield dut.rd.go_i.eq(0)
210 else:
211 print("no 3rd rd")
212
213 req_rel_o = yield dut.wr.rel_o
214 result = yield dut.data_o
215 print("req_rel", req_rel_o, result)
216 while True:
217 req_rel_o = yield dut.wr.rel_o
218 result = yield dut.data_o
219 print("req_rel", req_rel_o, result)
220 if req_rel_o:
221 break
222 yield
223 yield dut.wr.go_i[0].eq(1)
224 yield Settle()
225 result = yield dut.data_o
226 yield
227 print("result", result)
228 yield dut.wr.go_i[0].eq(0)
229 yield
230 return result
231
232
233 def scoreboard_sim_fsm(dut, producers, consumers):
234
235 # stores the operation count
236 op_count = 0
237
238 def op_sim_fsm(a, b, direction, expected, delays):
239 print("op_sim_fsm", a, b, direction, expected)
240 yield dut.issue_i.eq(0)
241 yield
242 # forward data and delays to the producers and consumers
243 yield from producers[0].send(a, delays[0])
244 yield from producers[1].send(b, delays[1])
245 yield from consumers[0].receive(expected, delays[2])
246 # submit operation, and assert issue_i for one cycle
247 yield dut.oper_i.sdir.eq(direction)
248 yield dut.issue_i.eq(1)
249 yield
250 yield dut.issue_i.eq(0)
251 # wait for busy to be negated
252 yield Settle()
253 while (yield dut.busy_o):
254 yield
255 yield Settle()
256 # update the operation count
257 nonlocal op_count
258 op_count = (op_count + 1) & 255
259 # check that producers and consumers have the same count
260 # this assures that no data was left unused or was lost
261 assert (yield producers[0].count) == op_count
262 assert (yield producers[1].count) == op_count
263 assert (yield consumers[0].count) == op_count
264
265 yield from op_sim_fsm(13, 2, 1, 3, [0, 2, 0])
266 yield from op_sim_fsm(3, 4, 0, 48, [2, 0, 2])
267 yield from op_sim_fsm(21, 0, 0, 21, [1, 1, 1])
268
269
270 def scoreboard_sim_dummy(dut):
271 result = yield from op_sim(dut, 5, 2, MicrOp.OP_NOP, inv_a=0,
272 imm=8, imm_ok=1)
273 assert result == 5, result
274
275 result = yield from op_sim(dut, 9, 2, MicrOp.OP_NOP, inv_a=0,
276 imm=8, imm_ok=1)
277 assert result == 9, result
278
279
280 def scoreboard_sim(dut):
281 # zero (no) input operands test
282 result = yield from op_sim(dut, 5, 2, MicrOp.OP_ADD, zero_a=1,
283 imm=8, imm_ok=1)
284 assert result == 8
285
286 result = yield from op_sim(dut, 5, 2, MicrOp.OP_ADD, inv_a=0,
287 imm=8, imm_ok=1)
288 assert result == 13
289
290 result = yield from op_sim(dut, 5, 2, MicrOp.OP_ADD)
291 assert result == 7
292
293 result = yield from op_sim(dut, 5, 2, MicrOp.OP_ADD, inv_a=1)
294 assert result == 65532
295
296 result = yield from op_sim(dut, 5, 2, MicrOp.OP_ADD, zero_a=1)
297 assert result == 2
298
299 # test combinatorial zero-delay operation
300 # In the test ALU, any operation other than ADD, MUL or SHR
301 # is zero-delay, and do a subtraction.
302 result = yield from op_sim(dut, 5, 2, MicrOp.OP_NOP)
303 assert result == 3
304
305
306 def test_compunit_fsm():
307 top = "top.cu" if is_engine_pysim() else "cu"
308 traces = [
309 'clk', 'src1_i[7:0]', 'src2_i[7:0]', 'oper_i_None__sdir', 'cu_issue_i',
310 'cu_busy_o', 'cu_rd__rel_o[1:0]', 'cu_rd__go_i[1:0]',
311 'cu_wr__rel_o', 'cu_wr__go_i', 'dest1_o[7:0]',
312 ('alu', {'module': top+'.alu'}, [
313 'p_data_i[7:0]', 'p_shift_i[7:0]', 'op__sdir',
314 'p_valid_i', 'p_ready_o', 'n_valid_o', 'n_ready_i',
315 'n_data_o[7:0]'
316 ]),
317 ('debug', {'module': 'top'},
318 ['src1_count[7:0]', 'src2_count[7:0]', 'dest1_count[7:0]'])
319
320 ]
321 write_gtkw(
322 "test_compunit_fsm1.gtkw",
323 "test_compunit_fsm1.vcd",
324 traces,
325 module=top
326 )
327 m = Module()
328 alu = Shifter(8)
329 dut = MultiCompUnit(8, alu, CompFSMOpSubset)
330 m.submodules.cu = dut
331
332 vl = rtlil.convert(dut, ports=dut.ports())
333 with open("test_compunit_fsm1.il", "w") as f:
334 f.write(vl)
335
336 sim = Simulator(m)
337 sim.add_clock(1e-6)
338
339 # create one operand producer for each input port
340 prod_a = OperandProducer(sim, dut, 0)
341 prod_b = OperandProducer(sim, dut, 1)
342 # create an result consumer for the output port
343 cons = ResultConsumer(sim, dut, 0)
344 sim.add_sync_process(wrap(scoreboard_sim_fsm(dut,
345 [prod_a, prod_b],
346 [cons])))
347 sim_writer = sim.write_vcd('test_compunit_fsm1.vcd',
348 traces=[prod_a.count,
349 prod_b.count,
350 cons.count])
351 with sim_writer:
352 sim.run()
353
354
355 def test_compunit():
356
357 m = Module()
358 alu = ALU(16)
359 dut = MultiCompUnit(16, alu, CompALUOpSubset)
360 m.submodules.cu = dut
361
362 vl = rtlil.convert(dut, ports=dut.ports())
363 with open("test_compunit1.il", "w") as f:
364 f.write(vl)
365
366 sim = Simulator(m)
367 sim.add_clock(1e-6)
368
369 sim.add_sync_process(wrap(scoreboard_sim(dut)))
370 sim_writer = sim.write_vcd('test_compunit1.vcd')
371 with sim_writer:
372 sim.run()
373
374
375 class CompUnitParallelTest:
376 def __init__(self, dut):
377 self.dut = dut
378
379 # Operation cycle should not take longer than this:
380 self.MAX_BUSY_WAIT = 50
381
382 # Minimum duration in which issue_i will be kept inactive,
383 # during which busy_o must remain low.
384 self.MIN_BUSY_LOW = 5
385
386 # Number of cycles to stall until the assertion of go.
387 # One value, for each port. Can be zero, for no delay.
388 self.RD_GO_DELAY = [0, 3]
389
390 # store common data for the input operation of the processes
391 # input operation:
392 self.op = 0
393 self.inv_a = self.zero_a = 0
394 self.imm = self.imm_ok = 0
395 self.imm_control = (0, 0)
396 self.rdmaskn = (0, 0)
397 # input data:
398 self.operands = (0, 0)
399
400 # Indicates completion of the sub-processes
401 self.rd_complete = [False, False]
402
403 def driver(self):
404 print("Begin parallel test.")
405 yield from self.operation(5, 2, MicrOp.OP_ADD)
406
407 def operation(self, a, b, op, inv_a=0, imm=0, imm_ok=0, zero_a=0,
408 rdmaskn=(0, 0)):
409 # store data for the operation
410 self.operands = (a, b)
411 self.op = op
412 self.inv_a = inv_a
413 self.imm = imm
414 self.imm_ok = imm_ok
415 self.zero_a = zero_a
416 self.imm_control = (zero_a, imm_ok)
417 self.rdmaskn = rdmaskn
418
419 # Initialize completion flags
420 self.rd_complete = [False, False]
421
422 # trigger operation cycle
423 yield from self.issue()
424
425 # check that the sub-processes completed, before the busy_o cycle ended
426 for completion in self.rd_complete:
427 assert completion
428
429 def issue(self):
430 # issue_i starts inactive
431 yield self.dut.issue_i.eq(0)
432
433 for n in range(self.MIN_BUSY_LOW):
434 yield
435 # busy_o must remain inactive. It cannot rise on its own.
436 busy_o = yield self.dut.busy_o
437 assert not busy_o
438
439 # activate issue_i to begin the operation cycle
440 yield self.dut.issue_i.eq(1)
441
442 # at the same time, present the operation
443 yield self.dut.oper_i.insn_type.eq(self.op)
444 yield self.dut.oper_i.invert_in.eq(self.inv_a)
445 yield self.dut.oper_i.imm_data.data.eq(self.imm)
446 yield self.dut.oper_i.imm_data.ok.eq(self.imm_ok)
447 yield self.dut.oper_i.zero_a.eq(self.zero_a)
448 rdmaskn = self.rdmaskn[0] | (self.rdmaskn[1] << 1)
449 yield self.dut.rdmaskn.eq(rdmaskn)
450
451 # give one cycle for the CompUnit to latch the data
452 yield
453
454 # busy_o must keep being low in this cycle, because issue_i was
455 # low on the previous cycle.
456 # It cannot rise on its own.
457 # Also, busy_o and issue_i must never be active at the same time, ever.
458 busy_o = yield self.dut.busy_o
459 assert not busy_o
460
461 # Lower issue_i
462 yield self.dut.issue_i.eq(0)
463
464 # deactivate inputs along with issue_i, so we can be sure the data
465 # was latched at the correct cycle
466 # note: rdmaskn must be held, while busy_o is active
467 # TODO: deactivate rdmaskn when the busy_o cycle ends
468 yield self.dut.oper_i.insn_type.eq(0)
469 yield self.dut.oper_i.invert_in.eq(0)
470 yield self.dut.oper_i.imm_data.data.eq(0)
471 yield self.dut.oper_i.imm_data.ok.eq(0)
472 yield self.dut.oper_i.zero_a.eq(0)
473 yield
474
475 # wait for busy_o to lower
476 # timeout after self.MAX_BUSY_WAIT cycles
477 for n in range(self.MAX_BUSY_WAIT):
478 # sample busy_o in the current cycle
479 busy_o = yield self.dut.busy_o
480 if not busy_o:
481 # operation cycle ends when busy_o becomes inactive
482 break
483 yield
484
485 # if busy_o is still active, a timeout has occurred
486 # TODO: Uncomment this, once the test is complete:
487 # assert not busy_o
488
489 if busy_o:
490 print("If you are reading this, "
491 "it's because the above test failed, as expected,\n"
492 "with a timeout. It must pass, once the test is complete.")
493 return
494
495 print("If you are reading this, "
496 "it's because the above test unexpectedly passed.")
497
498 def rd(self, rd_idx):
499 # wait for issue_i to rise
500 while True:
501 issue_i = yield self.dut.issue_i
502 if issue_i:
503 break
504 # issue_i has not risen yet, so rd must keep low
505 rel = yield self.dut.rd.rel_o[rd_idx]
506 assert not rel
507 yield
508
509 # we do not want rd to rise on an immediate operand
510 # if it is immediate, exit the process
511 # likewise, if the read mask is active
512 # TODO: don't exit the process, monitor rd instead to ensure it
513 # doesn't rise on its own
514 if self.rdmaskn[rd_idx] or self.imm_control[rd_idx]:
515 self.rd_complete[rd_idx] = True
516 return
517
518 # issue_i has risen. rel must rise on the next cycle
519 rel = yield self.dut.rd.rel_o[rd_idx]
520 assert not rel
521
522 # stall for additional cycles. Check that rel doesn't fall on its own
523 for n in range(self.RD_GO_DELAY[rd_idx]):
524 yield
525 rel = yield self.dut.rd.rel_o[rd_idx]
526 assert rel
527
528 # Before asserting "go", make sure "rel" has risen.
529 # The use of Settle allows "go" to be set combinatorially,
530 # rising on the same cycle as "rel".
531 yield Settle()
532 rel = yield self.dut.rd.rel_o[rd_idx]
533 assert rel
534
535 # assert go for one cycle, passing along the operand value
536 yield self.dut.rd.go_i[rd_idx].eq(1)
537 yield self.dut.src_i[rd_idx].eq(self.operands[rd_idx])
538 # check that the operand was sent to the alu
539 # TODO: Properly check the alu protocol
540 yield Settle()
541 alu_input = yield self.dut.get_in(rd_idx)
542 assert alu_input == self.operands[rd_idx]
543 yield
544
545 # rel must keep high, since go was inactive in the last cycle
546 rel = yield self.dut.rd.rel_o[rd_idx]
547 assert rel
548
549 # finish the go one-clock pulse
550 yield self.dut.rd.go_i[rd_idx].eq(0)
551 yield self.dut.src_i[rd_idx].eq(0)
552 yield
553
554 # rel must have gone low in response to go being high
555 # on the previous cycle
556 rel = yield self.dut.rd.rel_o[rd_idx]
557 assert not rel
558
559 self.rd_complete[rd_idx] = True
560
561 # TODO: check that rel doesn't rise again until the end of the
562 # busy_o cycle
563
564 def wr(self, wr_idx):
565 # monitor self.dut.wr.req[rd_idx] and sets dut.wr.go[idx] for one cycle
566 yield
567 # TODO: also when dut.wr.go is set, check the output against the
568 # self.expected_o and assert. use dut.get_out(wr_idx) to do so.
569
570 def run_simulation(self, vcd_name):
571 m = Module()
572 m.submodules.cu = self.dut
573 sim = Simulator(m)
574 sim.add_clock(1e-6)
575
576 sim.add_sync_process(wrap(self.driver()))
577 sim.add_sync_process(wrap(self.rd(0)))
578 sim.add_sync_process(wrap(self.rd(1)))
579 sim.add_sync_process(wrap(self.wr(0)))
580 sim_writer = sim.write_vcd(vcd_name)
581 with sim_writer:
582 sim.run()
583
584
585 def test_compunit_regspec2_fsm():
586
587 inspec = [('INT', 'data', '0:15'),
588 ('INT', 'shift', '0:15'),
589 ]
590 outspec = [('INT', 'data', '0:15'),
591 ]
592
593 regspec = (inspec, outspec)
594
595 m = Module()
596 alu = Shifter(8)
597 dut = MultiCompUnit(regspec, alu, CompFSMOpSubset)
598 m.submodules.cu = dut
599
600 sim = Simulator(m)
601 sim.add_clock(1e-6)
602
603 # create one operand producer for each input port
604 prod_a = OperandProducer(sim, dut, 0)
605 prod_b = OperandProducer(sim, dut, 1)
606 # create an result consumer for the output port
607 cons = ResultConsumer(sim, dut, 0)
608 sim.add_sync_process(wrap(scoreboard_sim_fsm(dut,
609 [prod_a, prod_b],
610 [cons])))
611 sim_writer = sim.write_vcd('test_compunit_regspec2_fsm.vcd',
612 traces=[prod_a.count,
613 prod_b.count,
614 cons.count])
615 with sim_writer:
616 sim.run()
617
618
619 def test_compunit_regspec3():
620
621 inspec = [('INT', 'a', '0:15'),
622 ('INT', 'b', '0:15'),
623 ('INT', 'c', '0:15')]
624 outspec = [('INT', 'o', '0:15'),
625 ]
626
627 regspec = (inspec, outspec)
628
629 m = Module()
630 alu = DummyALU(16)
631 dut = MultiCompUnit(regspec, alu, CompALUOpSubset)
632 m.submodules.cu = dut
633
634 sim = Simulator(m)
635 sim.add_clock(1e-6)
636
637 sim.add_sync_process(wrap(scoreboard_sim_dummy(dut)))
638 sim_writer = sim.write_vcd('test_compunit_regspec3.vcd')
639 with sim_writer:
640 sim.run()
641
642
643 def test_compunit_regspec1():
644
645 inspec = [('INT', 'a', '0:15'),
646 ('INT', 'b', '0:15')]
647 outspec = [('INT', 'o', '0:15'),
648 ]
649
650 regspec = (inspec, outspec)
651
652 m = Module()
653 alu = ALU(16)
654 dut = MultiCompUnit(regspec, alu, CompALUOpSubset)
655 m.submodules.cu = dut
656
657 vl = rtlil.convert(dut, ports=dut.ports())
658 with open("test_compunit_regspec1.il", "w") as f:
659 f.write(vl)
660
661 sim = Simulator(m)
662 sim.add_clock(1e-6)
663
664 sim.add_sync_process(wrap(scoreboard_sim(dut)))
665 sim_writer = sim.write_vcd('test_compunit_regspec1.vcd')
666 with sim_writer:
667 sim.run()
668
669 test = CompUnitParallelTest(dut)
670 test.run_simulation("test_compunit_parallel.vcd")
671
672
673 if __name__ == '__main__':
674 test_compunit()
675 test_compunit_fsm()
676 test_compunit_regspec1()
677 test_compunit_regspec2_fsm()
678 test_compunit_regspec3()