move pipelines to pipe dir
[soc.git] / src / soc / pipe / shift_rot / test / test_pipe_caller.py
1 from nmigen import Module, Signal
2 from nmigen.back.pysim import Simulator, Delay, Settle
3 from nmigen.test.utils import FHDLTestCase
4 from nmigen.cli import rtlil
5 import unittest
6 from soc.decoder.isa.caller import ISACaller, special_sprs
7 from soc.decoder.power_decoder import (create_pdecode)
8 from soc.decoder.power_decoder2 import (PowerDecode2)
9 from soc.decoder.power_enums import (XER_bits, Function)
10 from soc.decoder.selectable_int import SelectableInt
11 from soc.simulator.program import Program
12 from soc.decoder.isa.all import ISA
13
14
15 from soc.shift_rot.pipeline import ShiftRotBasePipe
16 from soc.alu.alu_input_record import CompALUOpSubset
17 from soc.alu.pipe_data import ALUPipeSpec
18 import random
19
20 class TestCase:
21 def __init__(self, program, regs, sprs, name):
22 self.program = program
23 self.regs = regs
24 self.sprs = sprs
25 self.name = name
26
27 def get_rec_width(rec):
28 recwidth = 0
29 # Setup random inputs for dut.op
30 for p in rec.ports():
31 width = p.width
32 recwidth += width
33 return recwidth
34
35 def set_alu_inputs(alu, dec2, sim):
36 inputs = []
37 # TODO: see https://bugs.libre-soc.org/show_bug.cgi?id=305#c43
38 # detect the immediate here (with m.If(self.i.ctx.op.imm_data.imm_ok))
39 # and place it into data_i.b
40
41 reg3_ok = yield dec2.e.read_reg3.ok
42 if reg3_ok:
43 reg3_sel = yield dec2.e.read_reg3.data
44 data3 = sim.gpr(reg3_sel).value
45 else:
46 data3 = 0
47 reg1_ok = yield dec2.e.read_reg1.ok
48 if reg1_ok:
49 reg1_sel = yield dec2.e.read_reg1.data
50 data1 = sim.gpr(reg1_sel).value
51 else:
52 data1 = 0
53 reg2_ok = yield dec2.e.read_reg2.ok
54 imm_ok = yield dec2.e.imm_data.ok
55 if reg2_ok:
56 reg2_sel = yield dec2.e.read_reg2.data
57 data2 = sim.gpr(reg2_sel).value
58 elif imm_ok:
59 data2 = yield dec2.e.imm_data.imm
60 else:
61 data2 = 0
62
63 yield alu.p.data_i.ra.eq(data1)
64 yield alu.p.data_i.rb.eq(data2)
65 yield alu.p.data_i.rs.eq(data3)
66
67
68 def set_extra_alu_inputs(alu, dec2, sim):
69 carry = 1 if sim.spr['XER'][XER_bits['CA']] else 0
70 yield alu.p.data_i.carry_in.eq(carry)
71 so = 1 if sim.spr['XER'][XER_bits['SO']] else 0
72 yield alu.p.data_i.so.eq(so)
73
74
75 # This test bench is a bit different than is usual. Initially when I
76 # was writing it, I had all of the tests call a function to create a
77 # device under test and simulator, initialize the dut, run the
78 # simulation for ~2 cycles, and assert that the dut output what it
79 # should have. However, this was really slow, since it needed to
80 # create and tear down the dut and simulator for every test case.
81
82 # Now, instead of doing that, every test case in ALUTestCase puts some
83 # data into the test_data list below, describing the instructions to
84 # be tested and the initial state. Once all the tests have been run,
85 # test_data gets passed to TestRunner which then sets up the DUT and
86 # simulator once, runs all the data through it, and asserts that the
87 # results match the pseudocode sim at every cycle.
88
89 # By doing this, I've reduced the time it takes to run the test suite
90 # massively. Before, it took around 1 minute on my computer, now it
91 # takes around 3 seconds
92
93 test_data = []
94
95
96 class ALUTestCase(FHDLTestCase):
97 def __init__(self, name):
98 super().__init__(name)
99 self.test_name = name
100 def run_tst_program(self, prog, initial_regs=[0] * 32, initial_sprs={}):
101 tc = TestCase(prog, initial_regs, initial_sprs, self.test_name)
102 test_data.append(tc)
103
104
105 def test_shift(self):
106 insns = ["slw", "sld", "srw", "srd", "sraw", "srad"]
107 for i in range(20):
108 choice = random.choice(insns)
109 lst = [f"{choice} 3, 1, 2"]
110 initial_regs = [0] * 32
111 initial_regs[1] = random.randint(0, (1<<64)-1)
112 initial_regs[2] = random.randint(0, 63)
113 print(initial_regs[1], initial_regs[2])
114 self.run_tst_program(Program(lst), initial_regs)
115
116
117 def test_shift_arith(self):
118 lst = ["sraw 3, 1, 2"]
119 initial_regs = [0] * 32
120 initial_regs[1] = random.randint(0, (1<<64)-1)
121 initial_regs[2] = random.randint(0, 63)
122 print(initial_regs[1], initial_regs[2])
123 self.run_tst_program(Program(lst), initial_regs)
124
125 def test_shift_once(self):
126 lst = ["slw 3, 1, 4",
127 "slw 3, 1, 2"]
128 initial_regs = [0] * 32
129 initial_regs[1] = 0x80000000
130 initial_regs[2] = 0x40
131 initial_regs[4] = 0x00
132 self.run_tst_program(Program(lst), initial_regs)
133
134 def test_rlwinm(self):
135 for i in range(10):
136 mb = random.randint(0,31)
137 me = random.randint(0,31)
138 sh = random.randint(0,31)
139 lst = [f"rlwinm 3, 1, {mb}, {me}, {sh}"]
140 initial_regs = [0] * 32
141 initial_regs[1] = random.randint(0, (1<<64)-1)
142 self.run_tst_program(Program(lst), initial_regs)
143
144 def test_rlwimi(self):
145 lst = ["rlwimi 3, 1, 5, 20, 6"]
146 initial_regs = [0] * 32
147 initial_regs[1] = 0xdeadbeef
148 initial_regs[3] = 0x12345678
149 self.run_tst_program(Program(lst), initial_regs)
150
151 def test_rlwnm(self):
152 lst = ["rlwnm 3, 1, 2, 20, 6"]
153 initial_regs = [0] * 32
154 initial_regs[1] = random.randint(0, (1<<64)-1)
155 initial_regs[2] = random.randint(0, 63)
156 self.run_tst_program(Program(lst), initial_regs)
157
158 def test_rldicl(self):
159 lst = ["rldicl 3, 1, 5, 20"]
160 initial_regs = [0] * 32
161 initial_regs[1] = random.randint(0, (1<<64)-1)
162 self.run_tst_program(Program(lst), initial_regs)
163
164 def test_rldicr(self):
165 lst = ["rldicr 3, 1, 5, 20"]
166 initial_regs = [0] * 32
167 initial_regs[1] = random.randint(0, (1<<64)-1)
168 self.run_tst_program(Program(lst), initial_regs)
169
170 def test_rlc(self):
171 insns = ["rldic", "rldicl", "rldicr"]
172 for i in range(20):
173 choice = random.choice(insns)
174 sh = random.randint(0, 63)
175 m = random.randint(0, 63)
176 lst = [f"{choice} 3, 1, {sh}, {m}"]
177 initial_regs = [0] * 32
178 initial_regs[1] = random.randint(0, (1<<64)-1)
179 self.run_tst_program(Program(lst), initial_regs)
180
181 def test_ilang(self):
182 rec = CompALUOpSubset()
183
184 pspec = ALUPipeSpec(id_wid=2, op_wid=get_rec_width(rec))
185 alu = ShiftRotBasePipe(pspec)
186 vl = rtlil.convert(alu, ports=alu.ports())
187 with open("pipeline.il", "w") as f:
188 f.write(vl)
189
190
191 class TestRunner(FHDLTestCase):
192 def __init__(self, test_data):
193 super().__init__("run_all")
194 self.test_data = test_data
195
196 def run_all(self):
197 m = Module()
198 comb = m.d.comb
199 instruction = Signal(32)
200
201 pdecode = create_pdecode()
202
203 m.submodules.pdecode2 = pdecode2 = PowerDecode2(pdecode)
204
205 rec = CompALUOpSubset()
206
207 pspec = ALUPipeSpec(id_wid=2, op_wid=get_rec_width(rec))
208 m.submodules.alu = alu = ShiftRotBasePipe(pspec)
209
210 comb += alu.p.data_i.ctx.op.eq_from_execute1(pdecode2.e)
211 comb += alu.p.valid_i.eq(1)
212 comb += alu.n.ready_i.eq(1)
213 comb += pdecode2.dec.raw_opcode_in.eq(instruction)
214 sim = Simulator(m)
215
216 sim.add_clock(1e-6)
217 def process():
218 for test in self.test_data:
219 print(test.name)
220 program = test.program
221 self.subTest(test.name)
222 simulator = ISA(pdecode2, test.regs, test.sprs, 0)
223 gen = program.generate_instructions()
224 instructions = list(zip(gen, program.assembly.splitlines()))
225
226 index = simulator.pc.CIA.value//4
227 while index < len(instructions):
228 ins, code = instructions[index]
229
230 print("0x{:X}".format(ins & 0xffffffff))
231 print(code)
232
233 # ask the decoder to decode this binary data (endian'd)
234 yield pdecode2.dec.bigendian.eq(0) # little / big?
235 yield instruction.eq(ins) # raw binary instr.
236 yield Settle()
237 fn_unit = yield pdecode2.e.fn_unit
238 self.assertEqual(fn_unit, Function.SHIFT_ROT.value)
239 yield from set_alu_inputs(alu, pdecode2, simulator)
240 yield from set_extra_alu_inputs(alu, pdecode2, simulator)
241 yield
242 opname = code.split(' ')[0]
243 yield from simulator.call(opname)
244 index = simulator.pc.CIA.value//4
245
246 vld = yield alu.n.valid_o
247 while not vld:
248 yield
249 vld = yield alu.n.valid_o
250 yield
251 alu_out = yield alu.n.data_o.o
252 out_reg_valid = yield pdecode2.e.write_reg.ok
253 if out_reg_valid:
254 write_reg_idx = yield pdecode2.e.write_reg.data
255 expected = simulator.gpr(write_reg_idx).value
256 msg = f"expected {expected:x}, actual: {alu_out:x}"
257 self.assertEqual(expected, alu_out, msg)
258 yield from self.check_extra_alu_outputs(alu, pdecode2,
259 simulator)
260
261 sim.add_sync_process(process)
262 with sim.write_vcd("simulator.vcd", "simulator.gtkw",
263 traces=[]):
264 sim.run()
265 def check_extra_alu_outputs(self, alu, dec2, sim):
266 rc = yield dec2.e.rc.data
267 if rc:
268 cr_expected = sim.crl[0].get_range().value
269 cr_actual = yield alu.n.data_o.cr0
270 self.assertEqual(cr_expected, cr_actual)
271
272
273 if __name__ == "__main__":
274 unittest.main(exit=False)
275 suite = unittest.TestSuite()
276 suite.addTest(TestRunner(test_data))
277
278 runner = unittest.TextTestRunner()
279 runner.run(suite)