877c73829017aee8885687585e3305ae1ad6a1b9
[soc.git] / src / soc / simple / issuer.py
1 """simple core issuer
2
3 not in any way intended for production use. this runs a FSM that:
4
5 * reads the Program Counter from StateRegs
6 * reads an instruction from a fixed-size Test Memory
7 * issues it to the Simple Core
8 * waits for it to complete
9 * increments the PC
10 * does it all over again
11
12 the purpose of this module is to verify the functional correctness
13 of the Function Units in the absolute simplest and clearest possible
14 way, and to at provide something that can be further incrementally
15 improved.
16 """
17
18 from nmigen import (Elaboratable, Module, Signal, ClockSignal, ResetSignal,
19 ClockDomain, DomainRenamer, Mux, Const)
20 from nmigen.cli import rtlil
21 from nmigen.cli import main
22 import sys
23
24 from soc.decoder.power_decoder import create_pdecode
25 from soc.decoder.power_decoder2 import PowerDecode2, SVP64PrefixDecoder
26 from soc.decoder.decode2execute1 import IssuerDecode2ToOperand
27 from soc.decoder.decode2execute1 import Data
28 from soc.experiment.testmem import TestMemory # test only for instructions
29 from soc.regfile.regfiles import StateRegs, FastRegs
30 from soc.simple.core import NonProductionCore
31 from soc.config.test.test_loadstore import TestMemPspec
32 from soc.config.ifetch import ConfigFetchUnit
33 from soc.decoder.power_enums import MicrOp
34 from soc.debug.dmi import CoreDebug, DMIInterface
35 from soc.debug.jtag import JTAG
36 from soc.config.pinouts import get_pinspecs
37 from soc.config.state import CoreState
38 from soc.interrupts.xics import XICS_ICP, XICS_ICS
39 from soc.bus.simple_gpio import SimpleGPIO
40 from soc.bus.SPBlock512W64B8W import SPBlock512W64B8W
41 from soc.clock.select import ClockSelect
42 from soc.clock.dummypll import DummyPLL
43 from soc.sv.svstate import SVSTATERec
44
45
46 from nmutil.util import rising_edge
47
48 def get_insn(f_instr_o, pc):
49 if f_instr_o.width == 32:
50 return f_instr_o
51 else:
52 # 64-bit: bit 2 of pc decides which word to select
53 return f_instr_o.word_select(pc[2], 32)
54
55
56 class TestIssuerInternal(Elaboratable):
57 """TestIssuer - reads instructions from TestMemory and issues them
58
59 efficiency and speed is not the main goal here: functional correctness is.
60 """
61 def __init__(self, pspec):
62
63 # JTAG interface. add this right at the start because if it's
64 # added it *modifies* the pspec, by adding enable/disable signals
65 # for parts of the rest of the core
66 self.jtag_en = hasattr(pspec, "debug") and pspec.debug == 'jtag'
67 if self.jtag_en:
68 subset = {'uart', 'mtwi', 'eint', 'gpio', 'mspi0', 'mspi1',
69 'pwm', 'sd0', 'sdr'}
70 self.jtag = JTAG(get_pinspecs(subset=subset))
71 # add signals to pspec to enable/disable icache and dcache
72 # (or data and intstruction wishbone if icache/dcache not included)
73 # https://bugs.libre-soc.org/show_bug.cgi?id=520
74 # TODO: do we actually care if these are not domain-synchronised?
75 # honestly probably not.
76 pspec.wb_icache_en = self.jtag.wb_icache_en
77 pspec.wb_dcache_en = self.jtag.wb_dcache_en
78 self.wb_sram_en = self.jtag.wb_sram_en
79 else:
80 self.wb_sram_en = Const(1)
81
82 # add 4k sram blocks?
83 self.sram4x4k = (hasattr(pspec, "sram4x4kblock") and
84 pspec.sram4x4kblock == True)
85 if self.sram4x4k:
86 self.sram4k = []
87 for i in range(4):
88 self.sram4k.append(SPBlock512W64B8W(name="sram4k_%d" % i,
89 features={'err'}))
90
91 # add interrupt controller?
92 self.xics = hasattr(pspec, "xics") and pspec.xics == True
93 if self.xics:
94 self.xics_icp = XICS_ICP()
95 self.xics_ics = XICS_ICS()
96 self.int_level_i = self.xics_ics.int_level_i
97
98 # add GPIO peripheral?
99 self.gpio = hasattr(pspec, "gpio") and pspec.gpio == True
100 if self.gpio:
101 self.simple_gpio = SimpleGPIO()
102 self.gpio_o = self.simple_gpio.gpio_o
103
104 # main instruction core25
105 self.core = core = NonProductionCore(pspec)
106
107 # instruction decoder. goes into Trap Record
108 pdecode = create_pdecode()
109 self.cur_state = CoreState("cur") # current state (MSR/PC/EINT/SVSTATE)
110 self.pdecode2 = PowerDecode2(pdecode, state=self.cur_state,
111 opkls=IssuerDecode2ToOperand)
112 self.svp64 = SVP64PrefixDecoder() # for decoding SVP64 prefix
113
114 # Test Instruction memory
115 self.imem = ConfigFetchUnit(pspec).fu
116 # one-row cache of instruction read
117 self.iline = Signal(64) # one instruction line
118 self.iprev_adr = Signal(64) # previous address: if different, do read
119
120 # DMI interface
121 self.dbg = CoreDebug()
122
123 # instruction go/monitor
124 self.pc_o = Signal(64, reset_less=True)
125 self.pc_i = Data(64, "pc_i") # set "ok" to indicate "please change me"
126 self.svstate_i = Data(32, "svstate_i") # ditto
127 self.core_bigendian_i = Signal()
128 self.busy_o = Signal(reset_less=True)
129 self.memerr_o = Signal(reset_less=True)
130
131 # STATE regfile read /write ports for PC, MSR, SVSTATE
132 staterf = self.core.regs.rf['state']
133 self.state_r_pc = staterf.r_ports['cia'] # PC rd
134 self.state_w_pc = staterf.w_ports['d_wr1'] # PC wr
135 self.state_r_msr = staterf.r_ports['msr'] # MSR rd
136 self.state_r_sv = staterf.r_ports['sv'] # SVSTATE rd
137 self.state_w_sv = staterf.w_ports['sv'] # SVSTATE wr
138
139 # DMI interface access
140 intrf = self.core.regs.rf['int']
141 crrf = self.core.regs.rf['cr']
142 xerrf = self.core.regs.rf['xer']
143 self.int_r = intrf.r_ports['dmi'] # INT read
144 self.cr_r = crrf.r_ports['full_cr_dbg'] # CR read
145 self.xer_r = xerrf.r_ports['full_xer'] # XER read
146
147 # hack method of keeping an eye on whether branch/trap set the PC
148 self.state_nia = self.core.regs.rf['state'].w_ports['nia']
149 self.state_nia.wen.name = 'state_nia_wen'
150
151 def fetch_fsm(self, m, core, pc, svstate, nia,
152 fetch_pc_ready_o, fetch_pc_valid_i,
153 fetch_insn_valid_o, fetch_insn_ready_i):
154 """fetch FSM
155 this FSM performs fetch of raw instruction data, partial-decodes
156 it 32-bit at a time to detect SVP64 prefixes, and will optionally
157 read a 2nd 32-bit quantity if that occurs.
158 """
159 comb = m.d.comb
160 sync = m.d.sync
161 pdecode2 = self.pdecode2
162 svp64 = self.svp64
163 cur_state = self.cur_state
164 dec_opcode_i = pdecode2.dec.raw_opcode_in # raw opcode
165
166 msr_read = Signal(reset=1)
167
168 with m.FSM(name='fetch_fsm'):
169
170 # waiting (zzz)
171 with m.State("IDLE"):
172 comb += fetch_pc_ready_o.eq(1)
173 with m.If(fetch_pc_valid_i):
174 # instruction allowed to go: start by reading the PC
175 # capture the PC and also drop it into Insn Memory
176 # we have joined a pair of combinatorial memory
177 # lookups together. this is Generally Bad.
178 comb += self.imem.a_pc_i.eq(pc)
179 comb += self.imem.a_valid_i.eq(1)
180 comb += self.imem.f_valid_i.eq(1)
181 sync += cur_state.pc.eq(pc)
182 sync += cur_state.svstate.eq(svstate) # and svstate
183
184 # initiate read of MSR. arrives one clock later
185 comb += self.state_r_msr.ren.eq(1 << StateRegs.MSR)
186 sync += msr_read.eq(0)
187
188 m.next = "INSN_READ" # move to "wait for bus" phase
189
190 # dummy pause to find out why simulation is not keeping up
191 with m.State("INSN_READ"):
192 # one cycle later, msr/sv read arrives. valid only once.
193 with m.If(~msr_read):
194 sync += msr_read.eq(1) # yeah don't read it again
195 sync += cur_state.msr.eq(self.state_r_msr.data_o)
196 with m.If(self.imem.f_busy_o): # zzz...
197 # busy: stay in wait-read
198 comb += self.imem.a_valid_i.eq(1)
199 comb += self.imem.f_valid_i.eq(1)
200 with m.Else():
201 # not busy: instruction fetched
202 insn = get_insn(self.imem.f_instr_o, cur_state.pc)
203 # decode the SVP64 prefix, if any
204 comb += svp64.raw_opcode_in.eq(insn)
205 comb += svp64.bigendian.eq(self.core_bigendian_i)
206 # pass the decoded prefix (if any) to PowerDecoder2
207 sync += pdecode2.sv_rm.eq(svp64.svp64_rm)
208 # calculate the address of the following instruction
209 insn_size = Mux(svp64.is_svp64_mode, 8, 4)
210 sync += nia.eq(cur_state.pc + insn_size)
211 with m.If(~svp64.is_svp64_mode):
212 # with no prefix, store the instruction
213 # and hand it directly to the next FSM
214 sync += dec_opcode_i.eq(insn)
215 m.next = "INSN_READY"
216 with m.Else():
217 # fetch the rest of the instruction from memory
218 comb += self.imem.a_pc_i.eq(cur_state.pc + 4)
219 comb += self.imem.a_valid_i.eq(1)
220 comb += self.imem.f_valid_i.eq(1)
221 m.next = "INSN_READ2"
222
223 with m.State("INSN_READ2"):
224 with m.If(self.imem.f_busy_o): # zzz...
225 # busy: stay in wait-read
226 comb += self.imem.a_valid_i.eq(1)
227 comb += self.imem.f_valid_i.eq(1)
228 with m.Else():
229 # not busy: instruction fetched
230 insn = get_insn(self.imem.f_instr_o, cur_state.pc+4)
231 sync += dec_opcode_i.eq(insn)
232 m.next = "INSN_READY"
233
234 with m.State("INSN_READY"):
235 # hand over the instruction, to be decoded
236 comb += fetch_insn_valid_o.eq(1)
237 with m.If(fetch_insn_ready_i):
238 m.next = "IDLE"
239
240 def issue_fsm(self, m, core, pc_changed, sv_changed, nia,
241 dbg, core_rst,
242 fetch_pc_ready_o, fetch_pc_valid_i,
243 fetch_insn_valid_o, fetch_insn_ready_i,
244 exec_insn_valid_i, exec_insn_ready_o,
245 exec_pc_valid_o, exec_pc_ready_i):
246 """issue FSM
247
248 decode / issue FSM. this interacts with the "fetch" FSM
249 through fetch_insn_ready/valid (incoming) and fetch_pc_ready/valid
250 (outgoing). also interacts with the "execute" FSM
251 through exec_insn_ready/valid (outgoing) and exec_pc_ready/valid
252 (incoming).
253 SVP64 RM prefixes have already been set up by the
254 "fetch" phase, so execute is fairly straightforward.
255 """
256
257 comb = m.d.comb
258 sync = m.d.sync
259 pdecode2 = self.pdecode2
260 cur_state = self.cur_state
261
262 # temporaries
263 dec_opcode_i = pdecode2.dec.raw_opcode_in # raw opcode
264
265 # for updating svstate (things like srcstep etc.)
266 update_svstate = Signal() # set this (below) if updating
267 new_svstate = SVSTATERec("new_svstate")
268 comb += new_svstate.eq(cur_state.svstate)
269
270 with m.FSM(name="issue_fsm"):
271
272 # Wait on "core stop" release, at reset
273 with m.State("WAIT_RESET"):
274 with m.If(~dbg.core_stop_o & ~core_rst):
275 m.next = "INSN_FETCH"
276 with m.Else():
277 comb += core.core_stopped_i.eq(1)
278 comb += dbg.core_stopped_i.eq(1)
279
280 # go fetch the instruction at the current PC
281 # at this point, there is no instruction running, that
282 # could inadvertently update the PC.
283 with m.State("INSN_FETCH"):
284 # TODO: update PC here, before fetch
285 comb += fetch_pc_valid_i.eq(1)
286 with m.If(fetch_pc_ready_o):
287 m.next = "INSN_WAIT"
288
289 # decode the instruction when it arrives
290 with m.State("INSN_WAIT"):
291 comb += fetch_insn_ready_i.eq(1)
292 with m.If(fetch_insn_valid_o):
293 # decode the instruction
294 sync += core.e.eq(pdecode2.e)
295 sync += core.state.eq(cur_state)
296 sync += core.raw_insn_i.eq(dec_opcode_i)
297 sync += core.bigendian_i.eq(self.core_bigendian_i)
298 # TODO: loop into INSN_FETCH if it's a vector instruction
299 # and VL == 0. this because VL==0 is a for-loop
300 # from 0 to 0 i.e. always, always a NOP.
301 m.next = "INSN_EXECUTE" # move to "execute"
302
303 with m.State("INSN_EXECUTE"):
304 comb += exec_insn_valid_i.eq(1)
305 with m.If(exec_insn_ready_o):
306 m.next = "EXECUTE_WAIT"
307
308 with m.State("EXECUTE_WAIT"):
309 # wait on "core stop" release, at instruction end
310 with m.If(~dbg.core_stop_o & ~core_rst):
311 comb += exec_pc_ready_i.eq(1)
312 with m.If(exec_pc_valid_o):
313 # TODO: update SRCSTEP here (in new_svstate)
314 # and set update_svstate to True *as long as*
315 # PC / SVSTATE was not modified. that's an
316 # exception (or setvl was called)
317 # TODO: loop into INSN_EXECUTE if it's a vector
318 # instruction and SRCSTEP != VL-1 and
319 # PowerDecoder.no_out_vec is True
320 # unless PC / SVSTATE was modified, in that
321 # case do go back to INSN_FETCH.
322
323 # before fetch, update the PC state register with
324 # the NIA, unless PC was modified in execute
325 with m.If(~pc_changed):
326 # ok here we are not reading the branch unit.
327 # TODO: this just blithely overwrites whatever
328 # pipeline updated the PC
329 comb += self.state_w_pc.wen.eq(1 << StateRegs.PC)
330 comb += self.state_w_pc.data_i.eq(nia)
331 m.next = "INSN_FETCH"
332 with m.Else():
333 comb += core.core_stopped_i.eq(1)
334 comb += dbg.core_stopped_i.eq(1)
335
336 # check if svstate needs updating: if so, write it to State Regfile
337 with m.If(update_svstate):
338 comb += self.state_w_sv.wen.eq(1<<StateRegs.SVSTATE)
339 comb += self.state_w_sv.data_i.eq(new_svstate)
340 sync += cur_state.svstate.eq(new_svstate) # for next clock
341
342 def execute_fsm(self, m, core, insn_done, pc_changed, sv_changed,
343 exec_insn_valid_i, exec_insn_ready_o,
344 exec_pc_valid_o, exec_pc_ready_i):
345 """execute FSM
346
347 execute FSM. this interacts with the "issue" FSM
348 through exec_insn_ready/valid (incoming) and exec_pc_ready/valid
349 (outgoing). SVP64 RM prefixes have already been set up by the
350 "issue" phase, so execute is fairly straightforward.
351 """
352
353 comb = m.d.comb
354 sync = m.d.sync
355 pdecode2 = self.pdecode2
356 svp64 = self.svp64
357
358 # temporaries
359 core_busy_o = core.busy_o # core is busy
360 core_ivalid_i = core.ivalid_i # instruction is valid
361 core_issue_i = core.issue_i # instruction is issued
362 insn_type = core.e.do.insn_type # instruction MicroOp type
363
364 with m.FSM(name="exec_fsm"):
365
366 # waiting for instruction bus (stays there until not busy)
367 with m.State("INSN_START"):
368 comb += exec_insn_ready_o.eq(1)
369 with m.If(exec_insn_valid_i):
370 comb += core_ivalid_i.eq(1) # instruction is valid
371 comb += core_issue_i.eq(1) # and issued
372 m.next = "INSN_ACTIVE" # move to "wait completion"
373
374 # instruction started: must wait till it finishes
375 with m.State("INSN_ACTIVE"):
376 with m.If(insn_type != MicrOp.OP_NOP):
377 comb += core_ivalid_i.eq(1) # instruction is valid
378 # note changes to PC and SVSTATE
379 with m.If(self.state_nia.wen & (1<<StateRegs.SVSTATE)):
380 sync += sv_changed.eq(1)
381 with m.If(self.state_nia.wen & (1<<StateRegs.PC)):
382 sync += pc_changed.eq(1)
383 with m.If(~core_busy_o): # instruction done!
384 comb += insn_done.eq(1)
385 sync += core.e.eq(0)
386 sync += core.raw_insn_i.eq(0)
387 sync += core.bigendian_i.eq(0)
388 sync += sv_changed.eq(0)
389 sync += pc_changed.eq(0)
390 comb += exec_pc_valid_o.eq(1)
391 with m.If(exec_pc_ready_i):
392 m.next = "INSN_START" # back to fetch
393
394 def elaborate(self, platform):
395 m = Module()
396 comb, sync = m.d.comb, m.d.sync
397
398 m.submodules.core = core = DomainRenamer("coresync")(self.core)
399 m.submodules.imem = imem = self.imem
400 m.submodules.dbg = dbg = self.dbg
401 if self.jtag_en:
402 m.submodules.jtag = jtag = self.jtag
403 # TODO: UART2GDB mux, here, from external pin
404 # see https://bugs.libre-soc.org/show_bug.cgi?id=499
405 sync += dbg.dmi.connect_to(jtag.dmi)
406
407 cur_state = self.cur_state
408
409 # 4x 4k SRAM blocks. these simply "exist", they get routed in litex
410 if self.sram4x4k:
411 for i, sram in enumerate(self.sram4k):
412 m.submodules["sram4k_%d" % i] = sram
413 comb += sram.enable.eq(self.wb_sram_en)
414
415 # XICS interrupt handler
416 if self.xics:
417 m.submodules.xics_icp = icp = self.xics_icp
418 m.submodules.xics_ics = ics = self.xics_ics
419 comb += icp.ics_i.eq(ics.icp_o) # connect ICS to ICP
420 sync += cur_state.eint.eq(icp.core_irq_o) # connect ICP to core
421
422 # GPIO test peripheral
423 if self.gpio:
424 m.submodules.simple_gpio = simple_gpio = self.simple_gpio
425
426 # connect one GPIO output to ICS bit 15 (like in microwatt soc.vhdl)
427 # XXX causes litex ECP5 test to get wrong idea about input and output
428 # (but works with verilator sim *sigh*)
429 #if self.gpio and self.xics:
430 # comb += self.int_level_i[15].eq(simple_gpio.gpio_o[0])
431
432 # instruction decoder
433 pdecode = create_pdecode()
434 m.submodules.dec2 = pdecode2 = self.pdecode2
435 m.submodules.svp64 = svp64 = self.svp64
436
437 # convenience
438 dmi, d_reg, d_cr, d_xer, = dbg.dmi, dbg.d_gpr, dbg.d_cr, dbg.d_xer
439 intrf = self.core.regs.rf['int']
440
441 # clock delay power-on reset
442 cd_por = ClockDomain(reset_less=True)
443 cd_sync = ClockDomain()
444 core_sync = ClockDomain("coresync")
445 m.domains += cd_por, cd_sync, core_sync
446
447 ti_rst = Signal(reset_less=True)
448 delay = Signal(range(4), reset=3)
449 with m.If(delay != 0):
450 m.d.por += delay.eq(delay - 1)
451 comb += cd_por.clk.eq(ClockSignal())
452
453 # power-on reset delay
454 core_rst = ResetSignal("coresync")
455 comb += ti_rst.eq(delay != 0 | dbg.core_rst_o | ResetSignal())
456 comb += core_rst.eq(ti_rst)
457
458 # busy/halted signals from core
459 comb += self.busy_o.eq(core.busy_o)
460 comb += pdecode2.dec.bigendian.eq(self.core_bigendian_i)
461
462 # temporary hack: says "go" immediately for both address gen and ST
463 l0 = core.l0
464 ldst = core.fus.fus['ldst0']
465 st_go_edge = rising_edge(m, ldst.st.rel_o)
466 m.d.comb += ldst.ad.go_i.eq(ldst.ad.rel_o) # link addr-go direct to rel
467 m.d.comb += ldst.st.go_i.eq(st_go_edge) # link store-go to rising rel
468
469 # PC and instruction from I-Memory
470 comb += self.pc_o.eq(cur_state.pc)
471 pc_changed = Signal() # note write to PC
472 sv_changed = Signal() # note write to SVSTATE
473 insn_done = Signal() # fires just once
474
475 # read the PC
476 pc = Signal(64, reset_less=True)
477 pc_ok_delay = Signal()
478 sync += pc_ok_delay.eq(~self.pc_i.ok)
479 with m.If(self.pc_i.ok):
480 # incoming override (start from pc_i)
481 comb += pc.eq(self.pc_i.data)
482 with m.Else():
483 # otherwise read StateRegs regfile for PC...
484 comb += self.state_r_pc.ren.eq(1<<StateRegs.PC)
485 # ... but on a 1-clock delay
486 with m.If(pc_ok_delay):
487 comb += pc.eq(self.state_r_pc.data_o)
488
489 # read svstate
490 svstate = Signal(64, reset_less=True)
491 svstate_ok_delay = Signal()
492 sync += svstate_ok_delay.eq(~self.svstate_i.ok)
493 with m.If(self.svstate_i.ok):
494 # incoming override (start from svstate__i)
495 comb += svstate.eq(self.svstate_i.data)
496 with m.Else():
497 # otherwise read StateRegs regfile for SVSTATE...
498 comb += self.state_r_sv.ren.eq(1 << StateRegs.SVSTATE)
499 # ... but on a 1-clock delay
500 with m.If(svstate_ok_delay):
501 comb += svstate.eq(self.state_r_sv.data_o)
502
503 # don't write pc every cycle
504 comb += self.state_w_pc.wen.eq(0)
505 comb += self.state_w_pc.data_i.eq(0)
506
507 # don't read msr every cycle
508 comb += self.state_r_msr.ren.eq(0)
509
510 # address of the next instruction, in the absence of a branch
511 # depends on the instruction size
512 nia = Signal(64, reset_less=True)
513
514 # connect up debug signals
515 # TODO comb += core.icache_rst_i.eq(dbg.icache_rst_o)
516 comb += dbg.terminate_i.eq(core.core_terminate_o)
517 comb += dbg.state.pc.eq(pc)
518 comb += dbg.state.svstate.eq(svstate)
519 comb += dbg.state.msr.eq(cur_state.msr)
520
521 # there are *TWO* FSMs, one fetch (32/64-bit) one decode/execute.
522 # these are the handshake signals between fetch and decode/execute
523
524 # fetch FSM can run as soon as the PC is valid
525 fetch_pc_valid_i = Signal() # Execute tells Fetch "start next read"
526 fetch_pc_ready_o = Signal() # Fetch Tells SVSTATE "proceed"
527
528 # fetch FSM hands over the instruction to be decoded / issued
529 fetch_insn_valid_o = Signal()
530 fetch_insn_ready_i = Signal()
531
532 # issue FSM delivers the instruction to the be executed
533 exec_insn_valid_i = Signal()
534 exec_insn_ready_o = Signal()
535
536 # execute FSM, hands over the PC/SVSTATE back to the issue FSM
537 exec_pc_valid_o = Signal()
538 exec_pc_ready_i = Signal()
539
540 # actually use a nmigen FSM for the first time (w00t)
541 # this FSM is perhaps unusual in that it detects conditions
542 # then "holds" information, combinatorially, for the core
543 # (as opposed to using sync - which would be on a clock's delay)
544 # this includes the actual opcode, valid flags and so on.
545
546 self.fetch_fsm(m, core, pc, svstate, nia,
547 fetch_pc_ready_o, fetch_pc_valid_i,
548 fetch_insn_valid_o, fetch_insn_ready_i)
549
550 # TODO: an SVSTATE-based for-loop FSM that goes in between
551 # fetch pc/insn ready/valid and advances SVSTATE.srcstep
552 # until it reaches VL-1 or PowerDecoder2.no_out_vec is True.
553 self.issue_fsm(m, core, pc_changed, sv_changed, nia,
554 dbg, core_rst,
555 fetch_pc_ready_o, fetch_pc_valid_i,
556 fetch_insn_valid_o, fetch_insn_ready_i,
557 exec_insn_valid_i, exec_insn_ready_o,
558 exec_pc_ready_i, exec_pc_valid_o)
559
560 self.execute_fsm(m, core, insn_done, pc_changed, sv_changed,
561 exec_insn_valid_i, exec_insn_ready_o,
562 exec_pc_ready_i, exec_pc_valid_o)
563
564 # this bit doesn't have to be in the FSM: connect up to read
565 # regfiles on demand from DMI
566 with m.If(d_reg.req): # request for regfile access being made
567 # TODO: error-check this
568 # XXX should this be combinatorial? sync better?
569 if intrf.unary:
570 comb += self.int_r.ren.eq(1<<d_reg.addr)
571 else:
572 comb += self.int_r.addr.eq(d_reg.addr)
573 comb += self.int_r.ren.eq(1)
574 d_reg_delay = Signal()
575 sync += d_reg_delay.eq(d_reg.req)
576 with m.If(d_reg_delay):
577 # data arrives one clock later
578 comb += d_reg.data.eq(self.int_r.data_o)
579 comb += d_reg.ack.eq(1)
580
581 # sigh same thing for CR debug
582 with m.If(d_cr.req): # request for regfile access being made
583 comb += self.cr_r.ren.eq(0b11111111) # enable all
584 d_cr_delay = Signal()
585 sync += d_cr_delay.eq(d_cr.req)
586 with m.If(d_cr_delay):
587 # data arrives one clock later
588 comb += d_cr.data.eq(self.cr_r.data_o)
589 comb += d_cr.ack.eq(1)
590
591 # aaand XER...
592 with m.If(d_xer.req): # request for regfile access being made
593 comb += self.xer_r.ren.eq(0b111111) # enable all
594 d_xer_delay = Signal()
595 sync += d_xer_delay.eq(d_xer.req)
596 with m.If(d_xer_delay):
597 # data arrives one clock later
598 comb += d_xer.data.eq(self.xer_r.data_o)
599 comb += d_xer.ack.eq(1)
600
601 # DEC and TB inc/dec FSM. copy of DEC is put into CoreState,
602 # (which uses that in PowerDecoder2 to raise 0x900 exception)
603 self.tb_dec_fsm(m, cur_state.dec)
604
605 return m
606
607 def tb_dec_fsm(self, m, spr_dec):
608 """tb_dec_fsm
609
610 this is a FSM for updating either dec or tb. it runs alternately
611 DEC, TB, DEC, TB. note that SPR pipeline could have written a new
612 value to DEC, however the regfile has "passthrough" on it so this
613 *should* be ok.
614
615 see v3.0B p1097-1099 for Timeer Resource and p1065 and p1076
616 """
617
618 comb, sync = m.d.comb, m.d.sync
619 fast_rf = self.core.regs.rf['fast']
620 fast_r_dectb = fast_rf.r_ports['issue'] # DEC/TB
621 fast_w_dectb = fast_rf.w_ports['issue'] # DEC/TB
622
623 with m.FSM() as fsm:
624
625 # initiates read of current DEC
626 with m.State("DEC_READ"):
627 comb += fast_r_dectb.addr.eq(FastRegs.DEC)
628 comb += fast_r_dectb.ren.eq(1)
629 m.next = "DEC_WRITE"
630
631 # waits for DEC read to arrive (1 cycle), updates with new value
632 with m.State("DEC_WRITE"):
633 new_dec = Signal(64)
634 # TODO: MSR.LPCR 32-bit decrement mode
635 comb += new_dec.eq(fast_r_dectb.data_o - 1)
636 comb += fast_w_dectb.addr.eq(FastRegs.DEC)
637 comb += fast_w_dectb.wen.eq(1)
638 comb += fast_w_dectb.data_i.eq(new_dec)
639 sync += spr_dec.eq(new_dec) # copy into cur_state for decoder
640 m.next = "TB_READ"
641
642 # initiates read of current TB
643 with m.State("TB_READ"):
644 comb += fast_r_dectb.addr.eq(FastRegs.TB)
645 comb += fast_r_dectb.ren.eq(1)
646 m.next = "TB_WRITE"
647
648 # waits for read TB to arrive, initiates write of current TB
649 with m.State("TB_WRITE"):
650 new_tb = Signal(64)
651 comb += new_tb.eq(fast_r_dectb.data_o + 1)
652 comb += fast_w_dectb.addr.eq(FastRegs.TB)
653 comb += fast_w_dectb.wen.eq(1)
654 comb += fast_w_dectb.data_i.eq(new_tb)
655 m.next = "DEC_READ"
656
657 return m
658
659 def __iter__(self):
660 yield from self.pc_i.ports()
661 yield self.pc_o
662 yield self.memerr_o
663 yield from self.core.ports()
664 yield from self.imem.ports()
665 yield self.core_bigendian_i
666 yield self.busy_o
667
668 def ports(self):
669 return list(self)
670
671 def external_ports(self):
672 ports = self.pc_i.ports()
673 ports += [self.pc_o, self.memerr_o, self.core_bigendian_i, self.busy_o,
674 ]
675
676 if self.jtag_en:
677 ports += list(self.jtag.external_ports())
678 else:
679 # don't add DMI if JTAG is enabled
680 ports += list(self.dbg.dmi.ports())
681
682 ports += list(self.imem.ibus.fields.values())
683 ports += list(self.core.l0.cmpi.lsmem.lsi.slavebus.fields.values())
684
685 if self.sram4x4k:
686 for sram in self.sram4k:
687 ports += list(sram.bus.fields.values())
688
689 if self.xics:
690 ports += list(self.xics_icp.bus.fields.values())
691 ports += list(self.xics_ics.bus.fields.values())
692 ports.append(self.int_level_i)
693
694 if self.gpio:
695 ports += list(self.simple_gpio.bus.fields.values())
696 ports.append(self.gpio_o)
697
698 return ports
699
700 def ports(self):
701 return list(self)
702
703
704 class TestIssuer(Elaboratable):
705 def __init__(self, pspec):
706 self.ti = TestIssuerInternal(pspec)
707
708 self.pll = DummyPLL()
709
710 # PLL direct clock or not
711 self.pll_en = hasattr(pspec, "use_pll") and pspec.use_pll
712 if self.pll_en:
713 self.pll_18_o = Signal(reset_less=True)
714
715 def elaborate(self, platform):
716 m = Module()
717 comb = m.d.comb
718
719 # TestIssuer runs at direct clock
720 m.submodules.ti = ti = self.ti
721 cd_int = ClockDomain("coresync")
722
723 if self.pll_en:
724 # ClockSelect runs at PLL output internal clock rate
725 m.submodules.pll = pll = self.pll
726
727 # add clock domains from PLL
728 cd_pll = ClockDomain("pllclk")
729 m.domains += cd_pll
730
731 # PLL clock established. has the side-effect of running clklsel
732 # at the PLL's speed (see DomainRenamer("pllclk") above)
733 pllclk = ClockSignal("pllclk")
734 comb += pllclk.eq(pll.clk_pll_o)
735
736 # wire up external 24mhz to PLL
737 comb += pll.clk_24_i.eq(ClockSignal())
738
739 # output 18 mhz PLL test signal
740 comb += self.pll_18_o.eq(pll.pll_18_o)
741
742 # now wire up ResetSignals. don't mind them being in this domain
743 pll_rst = ResetSignal("pllclk")
744 comb += pll_rst.eq(ResetSignal())
745
746 # internal clock is set to selector clock-out. has the side-effect of
747 # running TestIssuer at this speed (see DomainRenamer("intclk") above)
748 intclk = ClockSignal("coresync")
749 if self.pll_en:
750 comb += intclk.eq(pll.clk_pll_o)
751 else:
752 comb += intclk.eq(ClockSignal())
753
754 return m
755
756 def ports(self):
757 return list(self.ti.ports()) + list(self.pll.ports()) + \
758 [ClockSignal(), ResetSignal()]
759
760 def external_ports(self):
761 ports = self.ti.external_ports()
762 ports.append(ClockSignal())
763 ports.append(ResetSignal())
764 if self.pll_en:
765 ports.append(self.pll.clk_sel_i)
766 ports.append(self.pll_18_o)
767 ports.append(self.pll.pll_lck_o)
768 return ports
769
770
771 if __name__ == '__main__':
772 units = {'alu': 1, 'cr': 1, 'branch': 1, 'trap': 1, 'logical': 1,
773 'spr': 1,
774 'div': 1,
775 'mul': 1,
776 'shiftrot': 1
777 }
778 pspec = TestMemPspec(ldst_ifacetype='bare_wb',
779 imem_ifacetype='bare_wb',
780 addr_wid=48,
781 mask_wid=8,
782 reg_wid=64,
783 units=units)
784 dut = TestIssuer(pspec)
785 vl = main(dut, ports=dut.ports(), name="test_issuer")
786
787 if len(sys.argv) == 1:
788 vl = rtlil.convert(dut, ports=dut.external_ports(), name="test_issuer")
789 with open("test_issuer.il", "w") as f:
790 f.write(vl)