do not set sv_changed
[soc.git] / src / soc / simple / issuer.py
1 """simple core issuer
2
3 not in any way intended for production use. this runs a FSM that:
4
5 * reads the Program Counter from StateRegs
6 * reads an instruction from a fixed-size Test Memory
7 * issues it to the Simple Core
8 * waits for it to complete
9 * increments the PC
10 * does it all over again
11
12 the purpose of this module is to verify the functional correctness
13 of the Function Units in the absolute simplest and clearest possible
14 way, and to at provide something that can be further incrementally
15 improved.
16 """
17
18 from nmigen import (Elaboratable, Module, Signal, ClockSignal, ResetSignal,
19 ClockDomain, DomainRenamer, Mux, Const, Repl)
20 from nmigen.cli import rtlil
21 from nmigen.cli import main
22 import sys
23
24 from soc.decoder.power_decoder import create_pdecode
25 from soc.decoder.power_decoder2 import PowerDecode2, SVP64PrefixDecoder
26 from soc.decoder.decode2execute1 import IssuerDecode2ToOperand
27 from soc.decoder.decode2execute1 import Data
28 from soc.experiment.testmem import TestMemory # test only for instructions
29 from soc.regfile.regfiles import StateRegs, FastRegs
30 from soc.simple.core import NonProductionCore
31 from soc.config.test.test_loadstore import TestMemPspec
32 from soc.config.ifetch import ConfigFetchUnit
33 from soc.decoder.power_enums import (MicrOp, SVP64PredInt, SVP64PredCR,
34 SVP64PredMode)
35 from soc.debug.dmi import CoreDebug, DMIInterface
36 from soc.debug.jtag import JTAG
37 from soc.config.pinouts import get_pinspecs
38 from soc.config.state import CoreState
39 from soc.interrupts.xics import XICS_ICP, XICS_ICS
40 from soc.bus.simple_gpio import SimpleGPIO
41 from soc.bus.SPBlock512W64B8W import SPBlock512W64B8W
42 from soc.clock.select import ClockSelect
43 from soc.clock.dummypll import DummyPLL
44 from soc.sv.svstate import SVSTATERec
45
46
47 from nmutil.util import rising_edge
48
49 def get_insn(f_instr_o, pc):
50 if f_instr_o.width == 32:
51 return f_instr_o
52 else:
53 # 64-bit: bit 2 of pc decides which word to select
54 return f_instr_o.word_select(pc[2], 32)
55
56 # gets state input or reads from state regfile
57 def state_get(m, state_i, name, regfile, regnum):
58 comb = m.d.comb
59 sync = m.d.sync
60 # read the PC
61 res = Signal(64, reset_less=True, name=name)
62 res_ok_delay = Signal(name="%s_ok_delay" % name)
63 sync += res_ok_delay.eq(~state_i.ok)
64 with m.If(state_i.ok):
65 # incoming override (start from pc_i)
66 comb += res.eq(state_i.data)
67 with m.Else():
68 # otherwise read StateRegs regfile for PC...
69 comb += regfile.ren.eq(1<<regnum)
70 # ... but on a 1-clock delay
71 with m.If(res_ok_delay):
72 comb += res.eq(regfile.data_o)
73 return res
74
75 def get_predint(m, mask, name):
76 """decode SVP64 predicate integer mask field to reg number and invert
77 this is identical to the equivalent function in ISACaller except that
78 it doesn't read the INT directly, it just decodes "what needs to be done"
79 i.e. which INT reg, whether it is shifted and whether it is bit-inverted.
80
81 * all1s is set to indicate that no mask is to be applied.
82 * regread indicates the GPR register number to be read
83 * invert is set to indicate that the register value is to be inverted
84 * unary indicates that the contents of the register is to be shifted 1<<r3
85 """
86 comb = m.d.comb
87 regread = Signal(5, name=name+"regread")
88 invert = Signal(name=name+"invert")
89 unary = Signal(name=name+"unary")
90 all1s = Signal(name=name+"all1s")
91 with m.Switch(mask):
92 with m.Case(SVP64PredInt.ALWAYS.value):
93 comb += all1s.eq(1) # use 0b1111 (all ones)
94 with m.Case(SVP64PredInt.R3_UNARY.value):
95 comb += regread.eq(3)
96 comb += unary.eq(1) # 1<<r3 - shift r3 (single bit)
97 with m.Case(SVP64PredInt.R3.value):
98 comb += regread.eq(3)
99 with m.Case(SVP64PredInt.R3_N.value):
100 comb += regread.eq(3)
101 comb += invert.eq(1)
102 with m.Case(SVP64PredInt.R10.value):
103 comb += regread.eq(10)
104 with m.Case(SVP64PredInt.R10_N.value):
105 comb += regread.eq(10)
106 comb += invert.eq(1)
107 with m.Case(SVP64PredInt.R30.value):
108 comb += regread.eq(30)
109 with m.Case(SVP64PredInt.R30_N.value):
110 comb += regread.eq(30)
111 comb += invert.eq(1)
112 return regread, invert, unary, all1s
113
114 def get_predcr(m, mask, name):
115 """decode SVP64 predicate CR to reg number field and invert status
116 this is identical to _get_predcr in ISACaller
117 """
118 comb = m.d.comb
119 idx = Signal(2, name=name+"idx")
120 invert = Signal(name=name+"crinvert")
121 with m.Switch(mask):
122 with m.Case(SVP64PredCR.LT.value):
123 comb += idx.eq(0)
124 comb += invert.eq(1)
125 with m.Case(SVP64PredCR.GE.value):
126 comb += idx.eq(0)
127 comb += invert.eq(0)
128 with m.Case(SVP64PredCR.GT.value):
129 comb += idx.eq(1)
130 comb += invert.eq(1)
131 with m.Case(SVP64PredCR.LE.value):
132 comb += idx.eq(1)
133 comb += invert.eq(0)
134 with m.Case(SVP64PredCR.EQ.value):
135 comb += idx.eq(2)
136 comb += invert.eq(1)
137 with m.Case(SVP64PredCR.NE.value):
138 comb += idx.eq(1)
139 comb += invert.eq(0)
140 with m.Case(SVP64PredCR.SO.value):
141 comb += idx.eq(3)
142 comb += invert.eq(1)
143 with m.Case(SVP64PredCR.NS.value):
144 comb += idx.eq(3)
145 comb += invert.eq(0)
146 return idx, invert
147
148
149 class TestIssuerInternal(Elaboratable):
150 """TestIssuer - reads instructions from TestMemory and issues them
151
152 efficiency and speed is not the main goal here: functional correctness
153 and code clarity is. optimisations (which almost 100% interfere with
154 easy understanding) come later.
155 """
156 def __init__(self, pspec):
157
158 # test is SVP64 is to be enabled
159 self.svp64_en = hasattr(pspec, "svp64") and (pspec.svp64 == True)
160
161 # JTAG interface. add this right at the start because if it's
162 # added it *modifies* the pspec, by adding enable/disable signals
163 # for parts of the rest of the core
164 self.jtag_en = hasattr(pspec, "debug") and pspec.debug == 'jtag'
165 if self.jtag_en:
166 subset = {'uart', 'mtwi', 'eint', 'gpio', 'mspi0', 'mspi1',
167 'pwm', 'sd0', 'sdr'}
168 self.jtag = JTAG(get_pinspecs(subset=subset))
169 # add signals to pspec to enable/disable icache and dcache
170 # (or data and intstruction wishbone if icache/dcache not included)
171 # https://bugs.libre-soc.org/show_bug.cgi?id=520
172 # TODO: do we actually care if these are not domain-synchronised?
173 # honestly probably not.
174 pspec.wb_icache_en = self.jtag.wb_icache_en
175 pspec.wb_dcache_en = self.jtag.wb_dcache_en
176 self.wb_sram_en = self.jtag.wb_sram_en
177 else:
178 self.wb_sram_en = Const(1)
179
180 # add 4k sram blocks?
181 self.sram4x4k = (hasattr(pspec, "sram4x4kblock") and
182 pspec.sram4x4kblock == True)
183 if self.sram4x4k:
184 self.sram4k = []
185 for i in range(4):
186 self.sram4k.append(SPBlock512W64B8W(name="sram4k_%d" % i,
187 features={'err'}))
188
189 # add interrupt controller?
190 self.xics = hasattr(pspec, "xics") and pspec.xics == True
191 if self.xics:
192 self.xics_icp = XICS_ICP()
193 self.xics_ics = XICS_ICS()
194 self.int_level_i = self.xics_ics.int_level_i
195
196 # add GPIO peripheral?
197 self.gpio = hasattr(pspec, "gpio") and pspec.gpio == True
198 if self.gpio:
199 self.simple_gpio = SimpleGPIO()
200 self.gpio_o = self.simple_gpio.gpio_o
201
202 # main instruction core. suitable for prototyping / demo only
203 self.core = core = NonProductionCore(pspec)
204
205 # instruction decoder. goes into Trap Record
206 pdecode = create_pdecode()
207 self.cur_state = CoreState("cur") # current state (MSR/PC/SVSTATE)
208 self.pdecode2 = PowerDecode2(pdecode, state=self.cur_state,
209 opkls=IssuerDecode2ToOperand,
210 svp64_en=self.svp64_en)
211 if self.svp64_en:
212 self.svp64 = SVP64PrefixDecoder() # for decoding SVP64 prefix
213
214 # Test Instruction memory
215 self.imem = ConfigFetchUnit(pspec).fu
216
217 # DMI interface
218 self.dbg = CoreDebug()
219
220 # instruction go/monitor
221 self.pc_o = Signal(64, reset_less=True)
222 self.pc_i = Data(64, "pc_i") # set "ok" to indicate "please change me"
223 self.svstate_i = Data(32, "svstate_i") # ditto
224 self.core_bigendian_i = Signal() # TODO: set based on MSR.LE
225 self.busy_o = Signal(reset_less=True)
226 self.memerr_o = Signal(reset_less=True)
227
228 # STATE regfile read /write ports for PC, MSR, SVSTATE
229 staterf = self.core.regs.rf['state']
230 self.state_r_pc = staterf.r_ports['cia'] # PC rd
231 self.state_w_pc = staterf.w_ports['d_wr1'] # PC wr
232 self.state_r_msr = staterf.r_ports['msr'] # MSR rd
233 self.state_r_sv = staterf.r_ports['sv'] # SVSTATE rd
234 self.state_w_sv = staterf.w_ports['sv'] # SVSTATE wr
235
236 # DMI interface access
237 intrf = self.core.regs.rf['int']
238 crrf = self.core.regs.rf['cr']
239 xerrf = self.core.regs.rf['xer']
240 self.int_r = intrf.r_ports['dmi'] # INT read
241 self.cr_r = crrf.r_ports['full_cr_dbg'] # CR read
242 self.xer_r = xerrf.r_ports['full_xer'] # XER read
243
244 # for predication
245 self.int_pred = intrf.r_ports['pred'] # INT predicate read
246 self.cr_pred = crrf.r_ports['cr_pred'] # CR predicate read
247
248 # hack method of keeping an eye on whether branch/trap set the PC
249 self.state_nia = self.core.regs.rf['state'].w_ports['nia']
250 self.state_nia.wen.name = 'state_nia_wen'
251
252 # pulse to synchronize the simulator at instruction end
253 self.insn_done = Signal()
254
255 if self.svp64_en:
256 # store copies of predicate masks
257 self.srcmask = Signal(64)
258 self.dstmask = Signal(64)
259
260 def fetch_fsm(self, m, core, pc, svstate, nia, is_svp64_mode,
261 fetch_pc_ready_o, fetch_pc_valid_i,
262 fetch_insn_valid_o, fetch_insn_ready_i):
263 """fetch FSM
264
265 this FSM performs fetch of raw instruction data, partial-decodes
266 it 32-bit at a time to detect SVP64 prefixes, and will optionally
267 read a 2nd 32-bit quantity if that occurs.
268 """
269 comb = m.d.comb
270 sync = m.d.sync
271 pdecode2 = self.pdecode2
272 cur_state = self.cur_state
273 dec_opcode_i = pdecode2.dec.raw_opcode_in # raw opcode
274
275 msr_read = Signal(reset=1)
276
277 with m.FSM(name='fetch_fsm'):
278
279 # waiting (zzz)
280 with m.State("IDLE"):
281 comb += fetch_pc_ready_o.eq(1)
282 with m.If(fetch_pc_valid_i):
283 # instruction allowed to go: start by reading the PC
284 # capture the PC and also drop it into Insn Memory
285 # we have joined a pair of combinatorial memory
286 # lookups together. this is Generally Bad.
287 comb += self.imem.a_pc_i.eq(pc)
288 comb += self.imem.a_valid_i.eq(1)
289 comb += self.imem.f_valid_i.eq(1)
290 sync += cur_state.pc.eq(pc)
291 sync += cur_state.svstate.eq(svstate) # and svstate
292
293 # initiate read of MSR. arrives one clock later
294 comb += self.state_r_msr.ren.eq(1 << StateRegs.MSR)
295 sync += msr_read.eq(0)
296
297 m.next = "INSN_READ" # move to "wait for bus" phase
298
299 # dummy pause to find out why simulation is not keeping up
300 with m.State("INSN_READ"):
301 # one cycle later, msr/sv read arrives. valid only once.
302 with m.If(~msr_read):
303 sync += msr_read.eq(1) # yeah don't read it again
304 sync += cur_state.msr.eq(self.state_r_msr.data_o)
305 with m.If(self.imem.f_busy_o): # zzz...
306 # busy: stay in wait-read
307 comb += self.imem.a_valid_i.eq(1)
308 comb += self.imem.f_valid_i.eq(1)
309 with m.Else():
310 # not busy: instruction fetched
311 insn = get_insn(self.imem.f_instr_o, cur_state.pc)
312 if self.svp64_en:
313 svp64 = self.svp64
314 # decode the SVP64 prefix, if any
315 comb += svp64.raw_opcode_in.eq(insn)
316 comb += svp64.bigendian.eq(self.core_bigendian_i)
317 # pass the decoded prefix (if any) to PowerDecoder2
318 sync += pdecode2.sv_rm.eq(svp64.svp64_rm)
319 # remember whether this is a prefixed instruction, so
320 # the FSM can readily loop when VL==0
321 sync += is_svp64_mode.eq(svp64.is_svp64_mode)
322 # calculate the address of the following instruction
323 insn_size = Mux(svp64.is_svp64_mode, 8, 4)
324 sync += nia.eq(cur_state.pc + insn_size)
325 with m.If(~svp64.is_svp64_mode):
326 # with no prefix, store the instruction
327 # and hand it directly to the next FSM
328 sync += dec_opcode_i.eq(insn)
329 m.next = "INSN_READY"
330 with m.Else():
331 # fetch the rest of the instruction from memory
332 comb += self.imem.a_pc_i.eq(cur_state.pc + 4)
333 comb += self.imem.a_valid_i.eq(1)
334 comb += self.imem.f_valid_i.eq(1)
335 m.next = "INSN_READ2"
336 else:
337 # not SVP64 - 32-bit only
338 sync += nia.eq(cur_state.pc + 4)
339 sync += dec_opcode_i.eq(insn)
340 m.next = "INSN_READY"
341
342 with m.State("INSN_READ2"):
343 with m.If(self.imem.f_busy_o): # zzz...
344 # busy: stay in wait-read
345 comb += self.imem.a_valid_i.eq(1)
346 comb += self.imem.f_valid_i.eq(1)
347 with m.Else():
348 # not busy: instruction fetched
349 insn = get_insn(self.imem.f_instr_o, cur_state.pc+4)
350 sync += dec_opcode_i.eq(insn)
351 m.next = "INSN_READY"
352 # TODO: probably can start looking at pdecode2.rm_dec
353 # here or maybe even in INSN_READ state, if svp64_mode
354 # detected, in order to trigger - and wait for - the
355 # predicate reading.
356 if self.svp64_en:
357 pmode = pdecode2.rm_dec.predmode
358 """
359 if pmode != SVP64PredMode.ALWAYS.value:
360 fire predicate loading FSM and wait before
361 moving to INSN_READY
362 else:
363 sync += self.srcmask.eq(-1) # set to all 1s
364 sync += self.dstmask.eq(-1) # set to all 1s
365 m.next = "INSN_READY"
366 """
367
368 with m.State("INSN_READY"):
369 # hand over the instruction, to be decoded
370 comb += fetch_insn_valid_o.eq(1)
371 with m.If(fetch_insn_ready_i):
372 m.next = "IDLE"
373
374 def fetch_predicate_fsm(self, m,
375 pred_insn_valid_i, pred_insn_ready_o,
376 pred_mask_valid_o, pred_mask_ready_i):
377 """fetch_predicate_fsm - obtains (constructs in the case of CR)
378 src/dest predicate masks
379
380 https://bugs.libre-soc.org/show_bug.cgi?id=617
381 the predicates can be read here, by using IntRegs r_ports['pred']
382 or CRRegs r_ports['pred']. in the case of CRs it will have to
383 be done through multiple reads, extracting one relevant at a time.
384 later, a faster way would be to use the 32-bit-wide CR port but
385 this is more complex decoding, here. equivalent code used in
386 ISACaller is "from soc.decoder.isa.caller import get_predcr"
387 """
388 comb = m.d.comb
389 sync = m.d.sync
390 pdecode2 = self.pdecode2
391 rm_dec = pdecode2.rm_dec # SVP64RMModeDecode
392 predmode = rm_dec.predmode
393 srcpred, dstpred = rm_dec.srcpred, rm_dec.dstpred
394 cr_pred, int_pred = self.cr_pred, self.int_pred # read regfiles
395
396 # elif predmode == CR:
397 # CR-src sidx, sinvert = get_predcr(m, srcpred)
398 # CR-dst didx, dinvert = get_predcr(m, dstpred)
399 # TODO read CR-src and CR-dst into self.srcmask+dstmask with loop
400 # has to cope with first one then the other
401 # for cr_idx = FSM-state-loop(0..VL-1):
402 # FSM-state-trigger-CR-read:
403 # cr_ren = (1<<7-(cr_idx+SVP64CROffs.CRPred))
404 # comb += cr_pred.ren.eq(cr_ren)
405 # FSM-state-1-clock-later-actual-Read:
406 # cr_field = Signal(4)
407 # cr_bit = Signal(1)
408 # # read the CR field, select the appropriate bit
409 # comb += cr_field.eq(cr_pred.data_o)
410 # comb += cr_bit.eq(cr_field.bit_select(idx)))
411 # # just like in branch BO tests
412 # comd += self.srcmask[cr_idx].eq(inv ^ cr_bit)
413
414 # decode predicates
415 sregread, sinvert, sunary, sall1s = get_predint(m, srcpred, 's')
416 dregread, dinvert, dunary, dall1s = get_predint(m, dstpred, 'd')
417 sidx, scrinvert = get_predcr(m, srcpred, 's')
418 didx, dcrinvert = get_predcr(m, dstpred, 'd')
419
420 with m.FSM(name="fetch_predicate"):
421
422 with m.State("FETCH_PRED_IDLE"):
423 comb += pred_insn_ready_o.eq(1)
424 with m.If(pred_insn_valid_i):
425 with m.If(predmode == SVP64PredMode.INT):
426 # skip fetching destination mask register, when zero
427 with m.If(dall1s):
428 sync += self.dstmask.eq(-1)
429 # directly go to fetch source mask register
430 # guaranteed not to be zero (otherwise predmode
431 # would be SVP64PredMode.ALWAYS, not INT)
432 comb += int_pred.addr.eq(sregread)
433 comb += int_pred.ren.eq(1)
434 m.next = "INT_SRC_READ"
435 # fetch destination predicate register
436 with m.Else():
437 comb += int_pred.addr.eq(dregread)
438 comb += int_pred.ren.eq(1)
439 m.next = "INT_DST_READ"
440 with m.Else():
441 sync += self.srcmask.eq(-1)
442 sync += self.dstmask.eq(-1)
443 m.next = "FETCH_PRED_DONE"
444
445 with m.State("INT_DST_READ"):
446 # store destination mask
447 inv = Repl(dinvert, 64)
448 sync += self.dstmask.eq(self.int_pred.data_o ^ inv)
449 # skip fetching source mask register, when zero
450 with m.If(sall1s):
451 sync += self.srcmask.eq(-1)
452 m.next = "FETCH_PRED_DONE"
453 # fetch source predicate register
454 with m.Else():
455 comb += int_pred.addr.eq(sregread)
456 comb += int_pred.ren.eq(1)
457 m.next = "INT_SRC_READ"
458
459 with m.State("INT_SRC_READ"):
460 # store source mask
461 inv = Repl(sinvert, 64)
462 sync += self.srcmask.eq(self.int_pred.data_o ^ inv)
463 m.next = "FETCH_PRED_DONE"
464
465 with m.State("FETCH_PRED_DONE"):
466 comb += pred_mask_valid_o.eq(1)
467 with m.If(pred_mask_ready_i):
468 m.next = "FETCH_PRED_IDLE"
469
470 def issue_fsm(self, m, core, pc_changed, sv_changed, nia,
471 dbg, core_rst, is_svp64_mode,
472 fetch_pc_ready_o, fetch_pc_valid_i,
473 fetch_insn_valid_o, fetch_insn_ready_i,
474 pred_insn_valid_i, pred_insn_ready_o,
475 pred_mask_valid_o, pred_mask_ready_i,
476 exec_insn_valid_i, exec_insn_ready_o,
477 exec_pc_valid_o, exec_pc_ready_i):
478 """issue FSM
479
480 decode / issue FSM. this interacts with the "fetch" FSM
481 through fetch_insn_ready/valid (incoming) and fetch_pc_ready/valid
482 (outgoing). also interacts with the "execute" FSM
483 through exec_insn_ready/valid (outgoing) and exec_pc_ready/valid
484 (incoming).
485 SVP64 RM prefixes have already been set up by the
486 "fetch" phase, so execute is fairly straightforward.
487 """
488
489 comb = m.d.comb
490 sync = m.d.sync
491 pdecode2 = self.pdecode2
492 cur_state = self.cur_state
493
494 # temporaries
495 dec_opcode_i = pdecode2.dec.raw_opcode_in # raw opcode
496
497 # for updating svstate (things like srcstep etc.)
498 update_svstate = Signal() # set this (below) if updating
499 new_svstate = SVSTATERec("new_svstate")
500 comb += new_svstate.eq(cur_state.svstate)
501
502 # precalculate srcstep+1 and dststep+1
503 cur_srcstep = cur_state.svstate.srcstep
504 cur_dststep = cur_state.svstate.dststep
505 next_srcstep = Signal.like(cur_srcstep)
506 next_dststep = Signal.like(cur_dststep)
507 comb += next_srcstep.eq(cur_state.svstate.srcstep+1)
508 comb += next_dststep.eq(cur_state.svstate.dststep+1)
509
510 with m.FSM(name="issue_fsm"):
511
512 # sync with the "fetch" phase which is reading the instruction
513 # at this point, there is no instruction running, that
514 # could inadvertently update the PC.
515 with m.State("ISSUE_START"):
516 # wait on "core stop" release, before next fetch
517 # need to do this here, in case we are in a VL==0 loop
518 with m.If(~dbg.core_stop_o & ~core_rst):
519 comb += fetch_pc_valid_i.eq(1) # tell fetch to start
520 with m.If(fetch_pc_ready_o): # fetch acknowledged us
521 m.next = "INSN_WAIT"
522 with m.Else():
523 # tell core it's stopped, and acknowledge debug handshake
524 comb += core.core_stopped_i.eq(1)
525 comb += dbg.core_stopped_i.eq(1)
526 # while stopped, allow updating the PC and SVSTATE
527 with m.If(self.pc_i.ok):
528 comb += self.state_w_pc.wen.eq(1 << StateRegs.PC)
529 comb += self.state_w_pc.data_i.eq(self.pc_i.data)
530 sync += pc_changed.eq(1)
531 with m.If(self.svstate_i.ok):
532 comb += new_svstate.eq(self.svstate_i.data)
533 comb += update_svstate.eq(1)
534 sync += sv_changed.eq(1)
535
536 # decode the instruction when it arrives
537 with m.State("INSN_WAIT"):
538 comb += fetch_insn_ready_i.eq(1)
539 with m.If(fetch_insn_valid_o):
540 # decode the instruction
541 sync += core.e.eq(pdecode2.e)
542 sync += core.state.eq(cur_state)
543 sync += core.raw_insn_i.eq(dec_opcode_i)
544 sync += core.bigendian_i.eq(self.core_bigendian_i)
545 # set RA_OR_ZERO detection in satellite decoders
546 sync += core.sv_a_nz.eq(pdecode2.sv_a_nz)
547 # loop into ISSUE_START if it's a SVP64 instruction
548 # and VL == 0. this because VL==0 is a for-loop
549 # from 0 to 0 i.e. always, always a NOP.
550 cur_vl = cur_state.svstate.vl
551 with m.If(is_svp64_mode & (cur_vl == 0)):
552 # update the PC before fetching the next instruction
553 # since we are in a VL==0 loop, no instruction was
554 # executed that we could be overwriting
555 comb += self.state_w_pc.wen.eq(1 << StateRegs.PC)
556 comb += self.state_w_pc.data_i.eq(nia)
557 comb += self.insn_done.eq(1)
558 m.next = "ISSUE_START"
559 with m.Else():
560 if self.svp64_en:
561 m.next = "PRED_START" # start fetching predicate
562 else:
563 m.next = "INSN_EXECUTE" # skip predication
564
565 with m.State("PRED_START"):
566 comb += pred_insn_valid_i.eq(1) # tell fetch_pred to start
567 with m.If(pred_insn_ready_o): # fetch_pred acknowledged us
568 m.next = "MASK_WAIT"
569
570 with m.State("MASK_WAIT"):
571 comb += pred_mask_ready_i.eq(1) # ready to receive the masks
572 with m.If(pred_mask_valid_o): # predication masks are ready
573 m.next = "INSN_EXECUTE"
574
575 # handshake with execution FSM, move to "wait" once acknowledged
576 with m.State("INSN_EXECUTE"):
577 # with m.If(is_svp64_mode):
578 # TODO advance src/dst step to "skip" over predicated-out
579 # from self.srcmask and self.dstmask
580 # https://bugs.libre-soc.org/show_bug.cgi?id=617#c3
581 # but still without exceeding VL in either case
582 # IMPORTANT: when changing src/dest step, have to
583 # jump to m.next = "DECODE_SV" to deal with the change in
584 # SVSTATE
585
586 with m.If(is_svp64_mode):
587
588 if self.svp64_en:
589 pred_src_zero = pdecode2.rm_dec.pred_sz
590 pred_dst_zero = pdecode2.rm_dec.pred_dz
591
592 """
593 if not pred_src_zero:
594 if (((1<<cur_srcstep) & self.srcmask) == 0) and
595 (cur_srcstep != vl):
596 comb += update_svstate.eq(1)
597 comb += new_svstate.srcstep.eq(next_srcstep)
598
599 if not pred_dst_zero:
600 if (((1<<cur_dststep) & self.dstmask) == 0) and
601 (cur_dststep != vl):
602 comb += new_svstate.dststep.eq(next_dststep)
603 comb += update_svstate.eq(1)
604
605 if update_svstate:
606 m.next = "DECODE_SV"
607 """
608
609 comb += exec_insn_valid_i.eq(1) # trigger execute
610 with m.If(exec_insn_ready_o): # execute acknowledged us
611 m.next = "EXECUTE_WAIT"
612
613 with m.State("EXECUTE_WAIT"):
614 # wait on "core stop" release, at instruction end
615 # need to do this here, in case we are in a VL>1 loop
616 with m.If(~dbg.core_stop_o & ~core_rst):
617 comb += exec_pc_ready_i.eq(1)
618 with m.If(exec_pc_valid_o):
619
620 # was this the last loop iteration?
621 is_last = Signal()
622 cur_vl = cur_state.svstate.vl
623 comb += is_last.eq(next_srcstep == cur_vl)
624
625 # if either PC or SVSTATE were changed by the previous
626 # instruction, go directly back to Fetch, without
627 # updating either PC or SVSTATE
628 with m.If(pc_changed | sv_changed):
629 m.next = "ISSUE_START"
630
631 # also return to Fetch, when no output was a vector
632 # (regardless of SRCSTEP and VL), or when the last
633 # instruction was really the last one of the VL loop
634 with m.Elif((~pdecode2.loop_continue) | is_last):
635 # before going back to fetch, update the PC state
636 # register with the NIA.
637 # ok here we are not reading the branch unit.
638 # TODO: this just blithely overwrites whatever
639 # pipeline updated the PC
640 comb += self.state_w_pc.wen.eq(1 << StateRegs.PC)
641 comb += self.state_w_pc.data_i.eq(nia)
642 # reset SRCSTEP before returning to Fetch
643 with m.If(pdecode2.loop_continue):
644 comb += new_svstate.srcstep.eq(0)
645 comb += new_svstate.dststep.eq(0)
646 comb += update_svstate.eq(1)
647 m.next = "ISSUE_START"
648
649 # returning to Execute? then, first update SRCSTEP
650 with m.Else():
651 comb += new_svstate.srcstep.eq(next_srcstep)
652 comb += new_svstate.dststep.eq(next_dststep)
653 comb += update_svstate.eq(1)
654 m.next = "DECODE_SV"
655
656 with m.Else():
657 comb += core.core_stopped_i.eq(1)
658 comb += dbg.core_stopped_i.eq(1)
659 # while stopped, allow updating the PC and SVSTATE
660 with m.If(self.pc_i.ok):
661 comb += self.state_w_pc.wen.eq(1 << StateRegs.PC)
662 comb += self.state_w_pc.data_i.eq(self.pc_i.data)
663 sync += pc_changed.eq(1)
664 with m.If(self.svstate_i.ok):
665 comb += new_svstate.eq(self.svstate_i.data)
666 comb += update_svstate.eq(1)
667 sync += sv_changed.eq(1)
668
669 # need to decode the instruction again, after updating SRCSTEP
670 # in the previous state.
671 # mostly a copy of INSN_WAIT, but without the actual wait
672 with m.State("DECODE_SV"):
673 # decode the instruction
674 sync += core.e.eq(pdecode2.e)
675 sync += core.state.eq(cur_state)
676 sync += core.bigendian_i.eq(self.core_bigendian_i)
677 sync += core.sv_a_nz.eq(pdecode2.sv_a_nz)
678 m.next = "INSN_EXECUTE" # move to "execute"
679
680 # check if svstate needs updating: if so, write it to State Regfile
681 with m.If(update_svstate):
682 comb += self.state_w_sv.wen.eq(1<<StateRegs.SVSTATE)
683 comb += self.state_w_sv.data_i.eq(new_svstate)
684 sync += cur_state.svstate.eq(new_svstate) # for next clock
685
686 def execute_fsm(self, m, core, pc_changed, sv_changed,
687 exec_insn_valid_i, exec_insn_ready_o,
688 exec_pc_valid_o, exec_pc_ready_i):
689 """execute FSM
690
691 execute FSM. this interacts with the "issue" FSM
692 through exec_insn_ready/valid (incoming) and exec_pc_ready/valid
693 (outgoing). SVP64 RM prefixes have already been set up by the
694 "issue" phase, so execute is fairly straightforward.
695 """
696
697 comb = m.d.comb
698 sync = m.d.sync
699 pdecode2 = self.pdecode2
700
701 # temporaries
702 core_busy_o = core.busy_o # core is busy
703 core_ivalid_i = core.ivalid_i # instruction is valid
704 core_issue_i = core.issue_i # instruction is issued
705 insn_type = core.e.do.insn_type # instruction MicroOp type
706
707 with m.FSM(name="exec_fsm"):
708
709 # waiting for instruction bus (stays there until not busy)
710 with m.State("INSN_START"):
711 comb += exec_insn_ready_o.eq(1)
712 with m.If(exec_insn_valid_i):
713 comb += core_ivalid_i.eq(1) # instruction is valid
714 comb += core_issue_i.eq(1) # and issued
715 sync += sv_changed.eq(0)
716 sync += pc_changed.eq(0)
717 m.next = "INSN_ACTIVE" # move to "wait completion"
718
719 # instruction started: must wait till it finishes
720 with m.State("INSN_ACTIVE"):
721 with m.If(insn_type != MicrOp.OP_NOP):
722 comb += core_ivalid_i.eq(1) # instruction is valid
723 # note changes to PC and SVSTATE
724 with m.If(self.state_nia.wen & (1<<StateRegs.SVSTATE)):
725 sync += sv_changed.eq(1)
726 with m.If(self.state_nia.wen & (1<<StateRegs.PC)):
727 sync += pc_changed.eq(1)
728 with m.If(~core_busy_o): # instruction done!
729 comb += exec_pc_valid_o.eq(1)
730 with m.If(exec_pc_ready_i):
731 comb += self.insn_done.eq(1)
732 m.next = "INSN_START" # back to fetch
733
734 def setup_peripherals(self, m):
735 comb, sync = m.d.comb, m.d.sync
736
737 m.submodules.core = core = DomainRenamer("coresync")(self.core)
738 m.submodules.imem = imem = self.imem
739 m.submodules.dbg = dbg = self.dbg
740 if self.jtag_en:
741 m.submodules.jtag = jtag = self.jtag
742 # TODO: UART2GDB mux, here, from external pin
743 # see https://bugs.libre-soc.org/show_bug.cgi?id=499
744 sync += dbg.dmi.connect_to(jtag.dmi)
745
746 cur_state = self.cur_state
747
748 # 4x 4k SRAM blocks. these simply "exist", they get routed in litex
749 if self.sram4x4k:
750 for i, sram in enumerate(self.sram4k):
751 m.submodules["sram4k_%d" % i] = sram
752 comb += sram.enable.eq(self.wb_sram_en)
753
754 # XICS interrupt handler
755 if self.xics:
756 m.submodules.xics_icp = icp = self.xics_icp
757 m.submodules.xics_ics = ics = self.xics_ics
758 comb += icp.ics_i.eq(ics.icp_o) # connect ICS to ICP
759 sync += cur_state.eint.eq(icp.core_irq_o) # connect ICP to core
760
761 # GPIO test peripheral
762 if self.gpio:
763 m.submodules.simple_gpio = simple_gpio = self.simple_gpio
764
765 # connect one GPIO output to ICS bit 15 (like in microwatt soc.vhdl)
766 # XXX causes litex ECP5 test to get wrong idea about input and output
767 # (but works with verilator sim *sigh*)
768 #if self.gpio and self.xics:
769 # comb += self.int_level_i[15].eq(simple_gpio.gpio_o[0])
770
771 # instruction decoder
772 pdecode = create_pdecode()
773 m.submodules.dec2 = pdecode2 = self.pdecode2
774 if self.svp64_en:
775 m.submodules.svp64 = svp64 = self.svp64
776
777 # convenience
778 dmi, d_reg, d_cr, d_xer, = dbg.dmi, dbg.d_gpr, dbg.d_cr, dbg.d_xer
779 intrf = self.core.regs.rf['int']
780
781 # clock delay power-on reset
782 cd_por = ClockDomain(reset_less=True)
783 cd_sync = ClockDomain()
784 core_sync = ClockDomain("coresync")
785 m.domains += cd_por, cd_sync, core_sync
786
787 ti_rst = Signal(reset_less=True)
788 delay = Signal(range(4), reset=3)
789 with m.If(delay != 0):
790 m.d.por += delay.eq(delay - 1)
791 comb += cd_por.clk.eq(ClockSignal())
792
793 # power-on reset delay
794 core_rst = ResetSignal("coresync")
795 comb += ti_rst.eq(delay != 0 | dbg.core_rst_o | ResetSignal())
796 comb += core_rst.eq(ti_rst)
797
798 # busy/halted signals from core
799 comb += self.busy_o.eq(core.busy_o)
800 comb += pdecode2.dec.bigendian.eq(self.core_bigendian_i)
801
802 # temporary hack: says "go" immediately for both address gen and ST
803 l0 = core.l0
804 ldst = core.fus.fus['ldst0']
805 st_go_edge = rising_edge(m, ldst.st.rel_o)
806 m.d.comb += ldst.ad.go_i.eq(ldst.ad.rel_o) # link addr-go direct to rel
807 m.d.comb += ldst.st.go_i.eq(st_go_edge) # link store-go to rising rel
808
809 return core_rst
810
811 def elaborate(self, platform):
812 m = Module()
813 # convenience
814 comb, sync = m.d.comb, m.d.sync
815 cur_state = self.cur_state
816 pdecode2 = self.pdecode2
817 dbg = self.dbg
818 core = self.core
819
820 # set up peripherals and core
821 core_rst = self.setup_peripherals(m)
822
823 # PC and instruction from I-Memory
824 comb += self.pc_o.eq(cur_state.pc)
825 pc_changed = Signal() # note write to PC
826 sv_changed = Signal() # note write to SVSTATE
827
828 # read state either from incoming override or from regfile
829 # TODO: really should be doing MSR in the same way
830 pc = state_get(m, self.pc_i, "pc", # read PC
831 self.state_r_pc, StateRegs.PC)
832 svstate = state_get(m, self.svstate_i, "svstate", # read SVSTATE
833 self.state_r_sv, StateRegs.SVSTATE)
834
835 # don't write pc every cycle
836 comb += self.state_w_pc.wen.eq(0)
837 comb += self.state_w_pc.data_i.eq(0)
838
839 # don't read msr every cycle
840 comb += self.state_r_msr.ren.eq(0)
841
842 # address of the next instruction, in the absence of a branch
843 # depends on the instruction size
844 nia = Signal(64, reset_less=True)
845
846 # connect up debug signals
847 # TODO comb += core.icache_rst_i.eq(dbg.icache_rst_o)
848 comb += dbg.terminate_i.eq(core.core_terminate_o)
849 comb += dbg.state.pc.eq(pc)
850 comb += dbg.state.svstate.eq(svstate)
851 comb += dbg.state.msr.eq(cur_state.msr)
852
853 # pass the prefix mode from Fetch to Issue, so the latter can loop
854 # on VL==0
855 is_svp64_mode = Signal()
856
857 # there are *THREE* FSMs, fetch (32/64-bit) issue, decode/execute.
858 # these are the handshake signals between fetch and decode/execute
859
860 # fetch FSM can run as soon as the PC is valid
861 fetch_pc_valid_i = Signal() # Execute tells Fetch "start next read"
862 fetch_pc_ready_o = Signal() # Fetch Tells SVSTATE "proceed"
863
864 # fetch FSM hands over the instruction to be decoded / issued
865 fetch_insn_valid_o = Signal()
866 fetch_insn_ready_i = Signal()
867
868 # predicate fetch FSM decodes and fetches the predicate
869 pred_insn_valid_i = Signal()
870 pred_insn_ready_o = Signal()
871
872 # predicate fetch FSM delivers the masks
873 pred_mask_valid_o = Signal()
874 pred_mask_ready_i = Signal()
875
876 # issue FSM delivers the instruction to the be executed
877 exec_insn_valid_i = Signal()
878 exec_insn_ready_o = Signal()
879
880 # execute FSM, hands over the PC/SVSTATE back to the issue FSM
881 exec_pc_valid_o = Signal()
882 exec_pc_ready_i = Signal()
883
884 # the FSMs here are perhaps unusual in that they detect conditions
885 # then "hold" information, combinatorially, for the core
886 # (as opposed to using sync - which would be on a clock's delay)
887 # this includes the actual opcode, valid flags and so on.
888
889 # Fetch, then predicate fetch, then Issue, then Execute.
890 # Issue is where the VL for-loop # lives. the ready/valid
891 # signalling is used to communicate between the four.
892
893 self.fetch_fsm(m, core, pc, svstate, nia, is_svp64_mode,
894 fetch_pc_ready_o, fetch_pc_valid_i,
895 fetch_insn_valid_o, fetch_insn_ready_i)
896
897 self.issue_fsm(m, core, pc_changed, sv_changed, nia,
898 dbg, core_rst, is_svp64_mode,
899 fetch_pc_ready_o, fetch_pc_valid_i,
900 fetch_insn_valid_o, fetch_insn_ready_i,
901 pred_insn_valid_i, pred_insn_ready_o,
902 pred_mask_valid_o, pred_mask_ready_i,
903 exec_insn_valid_i, exec_insn_ready_o,
904 exec_pc_valid_o, exec_pc_ready_i)
905
906 if self.svp64_en:
907 self.fetch_predicate_fsm(m,
908 pred_insn_valid_i, pred_insn_ready_o,
909 pred_mask_valid_o, pred_mask_ready_i)
910
911 self.execute_fsm(m, core, pc_changed, sv_changed,
912 exec_insn_valid_i, exec_insn_ready_o,
913 exec_pc_valid_o, exec_pc_ready_i)
914
915 # this bit doesn't have to be in the FSM: connect up to read
916 # regfiles on demand from DMI
917 self.do_dmi(m, dbg)
918
919 # DEC and TB inc/dec FSM. copy of DEC is put into CoreState,
920 # (which uses that in PowerDecoder2 to raise 0x900 exception)
921 self.tb_dec_fsm(m, cur_state.dec)
922
923 return m
924
925 def do_dmi(self, m, dbg):
926 """deals with DMI debug requests
927
928 currently only provides read requests for the INT regfile, CR and XER
929 it will later also deal with *writing* to these regfiles.
930 """
931 comb = m.d.comb
932 sync = m.d.sync
933 dmi, d_reg, d_cr, d_xer, = dbg.dmi, dbg.d_gpr, dbg.d_cr, dbg.d_xer
934 intrf = self.core.regs.rf['int']
935
936 with m.If(d_reg.req): # request for regfile access being made
937 # TODO: error-check this
938 # XXX should this be combinatorial? sync better?
939 if intrf.unary:
940 comb += self.int_r.ren.eq(1<<d_reg.addr)
941 else:
942 comb += self.int_r.addr.eq(d_reg.addr)
943 comb += self.int_r.ren.eq(1)
944 d_reg_delay = Signal()
945 sync += d_reg_delay.eq(d_reg.req)
946 with m.If(d_reg_delay):
947 # data arrives one clock later
948 comb += d_reg.data.eq(self.int_r.data_o)
949 comb += d_reg.ack.eq(1)
950
951 # sigh same thing for CR debug
952 with m.If(d_cr.req): # request for regfile access being made
953 comb += self.cr_r.ren.eq(0b11111111) # enable all
954 d_cr_delay = Signal()
955 sync += d_cr_delay.eq(d_cr.req)
956 with m.If(d_cr_delay):
957 # data arrives one clock later
958 comb += d_cr.data.eq(self.cr_r.data_o)
959 comb += d_cr.ack.eq(1)
960
961 # aaand XER...
962 with m.If(d_xer.req): # request for regfile access being made
963 comb += self.xer_r.ren.eq(0b111111) # enable all
964 d_xer_delay = Signal()
965 sync += d_xer_delay.eq(d_xer.req)
966 with m.If(d_xer_delay):
967 # data arrives one clock later
968 comb += d_xer.data.eq(self.xer_r.data_o)
969 comb += d_xer.ack.eq(1)
970
971 def tb_dec_fsm(self, m, spr_dec):
972 """tb_dec_fsm
973
974 this is a FSM for updating either dec or tb. it runs alternately
975 DEC, TB, DEC, TB. note that SPR pipeline could have written a new
976 value to DEC, however the regfile has "passthrough" on it so this
977 *should* be ok.
978
979 see v3.0B p1097-1099 for Timeer Resource and p1065 and p1076
980 """
981
982 comb, sync = m.d.comb, m.d.sync
983 fast_rf = self.core.regs.rf['fast']
984 fast_r_dectb = fast_rf.r_ports['issue'] # DEC/TB
985 fast_w_dectb = fast_rf.w_ports['issue'] # DEC/TB
986
987 with m.FSM() as fsm:
988
989 # initiates read of current DEC
990 with m.State("DEC_READ"):
991 comb += fast_r_dectb.addr.eq(FastRegs.DEC)
992 comb += fast_r_dectb.ren.eq(1)
993 m.next = "DEC_WRITE"
994
995 # waits for DEC read to arrive (1 cycle), updates with new value
996 with m.State("DEC_WRITE"):
997 new_dec = Signal(64)
998 # TODO: MSR.LPCR 32-bit decrement mode
999 comb += new_dec.eq(fast_r_dectb.data_o - 1)
1000 comb += fast_w_dectb.addr.eq(FastRegs.DEC)
1001 comb += fast_w_dectb.wen.eq(1)
1002 comb += fast_w_dectb.data_i.eq(new_dec)
1003 sync += spr_dec.eq(new_dec) # copy into cur_state for decoder
1004 m.next = "TB_READ"
1005
1006 # initiates read of current TB
1007 with m.State("TB_READ"):
1008 comb += fast_r_dectb.addr.eq(FastRegs.TB)
1009 comb += fast_r_dectb.ren.eq(1)
1010 m.next = "TB_WRITE"
1011
1012 # waits for read TB to arrive, initiates write of current TB
1013 with m.State("TB_WRITE"):
1014 new_tb = Signal(64)
1015 comb += new_tb.eq(fast_r_dectb.data_o + 1)
1016 comb += fast_w_dectb.addr.eq(FastRegs.TB)
1017 comb += fast_w_dectb.wen.eq(1)
1018 comb += fast_w_dectb.data_i.eq(new_tb)
1019 m.next = "DEC_READ"
1020
1021 return m
1022
1023 def __iter__(self):
1024 yield from self.pc_i.ports()
1025 yield self.pc_o
1026 yield self.memerr_o
1027 yield from self.core.ports()
1028 yield from self.imem.ports()
1029 yield self.core_bigendian_i
1030 yield self.busy_o
1031
1032 def ports(self):
1033 return list(self)
1034
1035 def external_ports(self):
1036 ports = self.pc_i.ports()
1037 ports += [self.pc_o, self.memerr_o, self.core_bigendian_i, self.busy_o,
1038 ]
1039
1040 if self.jtag_en:
1041 ports += list(self.jtag.external_ports())
1042 else:
1043 # don't add DMI if JTAG is enabled
1044 ports += list(self.dbg.dmi.ports())
1045
1046 ports += list(self.imem.ibus.fields.values())
1047 ports += list(self.core.l0.cmpi.lsmem.lsi.slavebus.fields.values())
1048
1049 if self.sram4x4k:
1050 for sram in self.sram4k:
1051 ports += list(sram.bus.fields.values())
1052
1053 if self.xics:
1054 ports += list(self.xics_icp.bus.fields.values())
1055 ports += list(self.xics_ics.bus.fields.values())
1056 ports.append(self.int_level_i)
1057
1058 if self.gpio:
1059 ports += list(self.simple_gpio.bus.fields.values())
1060 ports.append(self.gpio_o)
1061
1062 return ports
1063
1064 def ports(self):
1065 return list(self)
1066
1067
1068 class TestIssuer(Elaboratable):
1069 def __init__(self, pspec):
1070 self.ti = TestIssuerInternal(pspec)
1071
1072 self.pll = DummyPLL()
1073
1074 # PLL direct clock or not
1075 self.pll_en = hasattr(pspec, "use_pll") and pspec.use_pll
1076 if self.pll_en:
1077 self.pll_18_o = Signal(reset_less=True)
1078
1079 def elaborate(self, platform):
1080 m = Module()
1081 comb = m.d.comb
1082
1083 # TestIssuer runs at direct clock
1084 m.submodules.ti = ti = self.ti
1085 cd_int = ClockDomain("coresync")
1086
1087 if self.pll_en:
1088 # ClockSelect runs at PLL output internal clock rate
1089 m.submodules.pll = pll = self.pll
1090
1091 # add clock domains from PLL
1092 cd_pll = ClockDomain("pllclk")
1093 m.domains += cd_pll
1094
1095 # PLL clock established. has the side-effect of running clklsel
1096 # at the PLL's speed (see DomainRenamer("pllclk") above)
1097 pllclk = ClockSignal("pllclk")
1098 comb += pllclk.eq(pll.clk_pll_o)
1099
1100 # wire up external 24mhz to PLL
1101 comb += pll.clk_24_i.eq(ClockSignal())
1102
1103 # output 18 mhz PLL test signal
1104 comb += self.pll_18_o.eq(pll.pll_18_o)
1105
1106 # now wire up ResetSignals. don't mind them being in this domain
1107 pll_rst = ResetSignal("pllclk")
1108 comb += pll_rst.eq(ResetSignal())
1109
1110 # internal clock is set to selector clock-out. has the side-effect of
1111 # running TestIssuer at this speed (see DomainRenamer("intclk") above)
1112 intclk = ClockSignal("coresync")
1113 if self.pll_en:
1114 comb += intclk.eq(pll.clk_pll_o)
1115 else:
1116 comb += intclk.eq(ClockSignal())
1117
1118 return m
1119
1120 def ports(self):
1121 return list(self.ti.ports()) + list(self.pll.ports()) + \
1122 [ClockSignal(), ResetSignal()]
1123
1124 def external_ports(self):
1125 ports = self.ti.external_ports()
1126 ports.append(ClockSignal())
1127 ports.append(ResetSignal())
1128 if self.pll_en:
1129 ports.append(self.pll.clk_sel_i)
1130 ports.append(self.pll_18_o)
1131 ports.append(self.pll.pll_lck_o)
1132 return ports
1133
1134
1135 if __name__ == '__main__':
1136 units = {'alu': 1, 'cr': 1, 'branch': 1, 'trap': 1, 'logical': 1,
1137 'spr': 1,
1138 'div': 1,
1139 'mul': 1,
1140 'shiftrot': 1
1141 }
1142 pspec = TestMemPspec(ldst_ifacetype='bare_wb',
1143 imem_ifacetype='bare_wb',
1144 addr_wid=48,
1145 mask_wid=8,
1146 reg_wid=64,
1147 units=units)
1148 dut = TestIssuer(pspec)
1149 vl = main(dut, ports=dut.ports(), name="test_issuer")
1150
1151 if len(sys.argv) == 1:
1152 vl = rtlil.convert(dut, ports=dut.external_ports(), name="test_issuer")
1153 with open("test_issuer.il", "w") as f:
1154 f.write(vl)