move unused directory out of src, to indicate "ignore completely"
[soc.git] / unused_please_ignore_completely / TLB / ariane / test / test_plru.py
1 import sys
2 from soc.TLB.ariane.plru import PLRU
3 from nmigen.compat.sim import run_simulation
4
5
6 def tbench(dut):
7 yield
8
9
10 if __name__ == "__main__":
11 dut = PLRU(4)
12 run_simulation(dut, tbench(dut), vcd_name="test_plru.vcd")
13 print("PLRU Unit Test Success")