fix wb_get error where data was being corrupted
[soc.git] / src / soc / experiment / test / test_mmu_dcache.py
index 037bac0a6b6b883c743e05038270f8b43e193f48..1528d7d40db31bbaed8f821a7a90663ef087bb26 100644 (file)
@@ -22,12 +22,20 @@ from soc.experiment.mmu import MMU
 from soc.experiment.dcache import DCache
 from soc.experiment.icache import ICache
 
+import random
 
 stop = False
 
+def set_stop(newval):
+    global stop
+    stop = newval
+
+
 def b(x):
     return int.from_bytes(x.to_bytes(8, byteorder='little'),
                           byteorder='big', signed=False)
+
+
 default_mem = { 0x10000:    # PARTITION_TABLE_2
                        # PATB_GR=1 PRTB=0x1000 PRTS=0xb
                 b(0x800000000100000b),
@@ -51,14 +59,17 @@ def wb_get(c, mem, name):
     """simulator process for getting memory load requests
     """
 
-    global stop
-
+    logfile = open("/tmp/wb_get.log","w")
 
-    mem = mem
+    def log(msg):
+        logfile.write(msg+"\n")
+        print(msg)
 
+    global stop
     while not stop:
         while True: # wait for dc_valid
             if stop:
+                log("stop")
                 return
             cyc = yield (c.wb_out.cyc)
             stb = yield (c.wb_out.stb)
@@ -67,80 +78,88 @@ def wb_get(c, mem, name):
             yield
         addr = (yield c.wb_out.adr) << 3
         if addr not in mem:
-            print ("    %s LOOKUP FAIL %x" % (name, addr))
+            log("%s LOOKUP FAIL %x" % (name, addr))
             stop = True
             return
 
         yield
         data = mem[addr]
         yield c.wb_in.dat.eq(data)
-        print ("    %s get %x data %x" % (name, addr, data))
+        log("%s get %x data %x" % (name, addr, data))
         yield c.wb_in.ack.eq(1)
         yield
         yield c.wb_in.ack.eq(0)
-
-
-def icache_mmu_lookup(mmu, addr):
-    global stop
-
-    yield mmu.l_in.load.eq(1)
-    yield mmu.l_in.priv.eq(1)
-    yield mmu.l_in.addr.eq(addr)
-    yield mmu.l_in.valid.eq(1)
-    while not stop: # wait for dc_valid / err
-        l_done = yield (mmu.l_out.done)
-        l_err = yield (mmu.l_out.err)
-        l_badtree = yield (mmu.l_out.badtree)
-        l_permerr = yield (mmu.l_out.perm_error)
-        l_rc_err = yield (mmu.l_out.rc_error)
-        l_segerr = yield (mmu.l_out.segerr)
-        l_invalid = yield (mmu.l_out.invalid)
-        if (l_done or l_err or l_badtree or
-            l_permerr or l_rc_err or l_segerr or l_invalid):
-            break
         yield
-    phys_addr = yield mmu.i_out.addr
-    pte = yield mmu.i_out.pte
-    print ("translated done %d err %d badtree %d addr %x pte %x" % \
-               (l_done, l_err, l_badtree, phys_addr, pte))
-    yield
-    yield mmu.l_in.valid.eq(0)
 
-    return phys_addr
 
-def icache_mmu_sim(mmu):
-    global stop
-    yield mmu.rin.prtbl.eq(0x1000000) # set process table
-    yield
+def icache_sim(dut, mem):
+    i_out = dut.i_in
+    i_in  = dut.i_out
+    m_out = dut.m_in
+
+    for k,v in mem.items():
+        yield i_in.valid.eq(0)
+        yield i_out.priv_mode.eq(1)
+        yield i_out.req.eq(0)
+        yield i_out.nia.eq(0)
+        yield i_out.stop_mark.eq(0)
+        yield m_out.tlbld.eq(0)
+        yield m_out.tlbie.eq(0)
+        yield m_out.addr.eq(0)
+        yield m_out.pte.eq(0)
+        yield
+        yield
+        yield
+        yield
+        yield i_out.req.eq(1)
+        yield i_out.nia.eq(C(k, 64))
+        while True:
+            yield
+            valid = yield i_in.valid
+            if valid:
+                break
+        nia   = yield i_out.nia
+        insn  = yield i_in.insn
+        yield
+        assert insn == v, \
+            "insn @%x=%x expected %x" % (nia, insn, v)
+        yield i_out.req.eq(0)
+        yield
 
-    phys_addr = yield from icache_mmu_lookup(mmu, 0x10000)
-    assert phys_addr == 0x40000
 
-    phys_addr = yield from icache_mmu_lookup(mmu, 0x10000)
-    assert phys_addr == 0x40000
+def test_icache_il():
+    dut = ICache()
+    vl = rtlil.convert(dut, ports=[])
+    with open("test_icache.il", "w") as f:
+        f.write(vl)
 
-    stop = True
 
 def test_icache():
-    mmu    = MMU()
+    # create a random set of addresses and "instructions" at those addresses
+    mem = {}
+    # fail 'AssertionError: insn @1d8=0 expected 61928a6100000000'
+    #random.seed(41)
+    # fail infinite loop 'cache read adr: 24 data: 0'
+    random.seed(43)
+    for i in range(3):
+        mem[random.randint(0, 1<<10)] = b(random.randint(0,1<<32))
+
+    # set up module for simulation
+    m = Module()
     icache = ICache()
-    m      = Module()
-
-    m.submodules.mmu    = mmu
     m.submodules.icache = icache
 
-    # link mmu and icache together
-    m.d.comb += icache.m_in.eq(mmu.i_out)
-
     # nmigen Simulation
     sim = Simulator(m)
     sim.add_clock(1e-6)
 
-    sim.add_sync_process(wrap(icache_mmu_sim(mmu)))
-    sim.add_sync_process(wrap(wb_get(icache, "ICACHE")))
-    with sim.write_vcd('test_mmu.vcd'):
+    # read from "memory" process and corresponding wishbone "read" process
+    sim.add_sync_process(wrap(icache_sim(icache, mem)))
+    sim.add_sync_process(wrap(wb_get(icache, mem, "ICACHE")))
+    with sim.write_vcd('test_icache.vcd'):
         sim.run()
 
+
 def mmu_lookup(mmu, addr):
     global stop
 
@@ -169,6 +188,7 @@ def mmu_lookup(mmu, addr):
 
     return phys_addr
 
+
 def mmu_sim(mmu):
     global stop
     yield mmu.rin.prtbl.eq(0x1000000) # set process table
@@ -182,6 +202,7 @@ def mmu_sim(mmu):
 
     stop = True
 
+
 def test_mmu():
     mmu = MMU()
     dcache = DCache()
@@ -202,6 +223,8 @@ def test_mmu():
     with sim.write_vcd('test_mmu.vcd'):
         sim.run()
 
+
 if __name__ == '__main__':
     test_mmu()
-#    test_icache()
+    #test_icache_il()
+    #test_icache()