trigger read ALU ready/valid from latch as well
authorLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Fri, 29 May 2020 15:49:29 +0000 (16:49 +0100)
committerLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Fri, 29 May 2020 15:49:29 +0000 (16:49 +0100)
commit0d31c83eb1089bb9f9974465b1e9b51d65b8b339
tree81edb44adc76a5faaa123f383549a4d9374a5ef2
parent6c72b6fcd040b976d23a1d13b27a88cdbae248c4
trigger read ALU ready/valid from latch as well
src/soc/experiment/compalu_multi.py
src/soc/fu/compunits/test/test_alu_compunit.py