Present the ALU result only when valid_o is active
authorCesar Strauss <cestrauss@gmail.com>
Thu, 2 Jul 2020 08:55:59 +0000 (05:55 -0300)
committerCesar Strauss <cestrauss@gmail.com>
Thu, 2 Jul 2020 08:59:43 +0000 (05:59 -0300)
commit10833548e34e3fc6dfa9b6b6bf19b62a2f8b570f
tree1c59cbd219c37c70253627b9ceb62beadfa9e730
parenta3d81a2bea829b5dd29d25ec091c4b380bd7ce47
Present the ALU result only when valid_o is active

This should help to catch latching of invalid data.
Also, better demonstrates the valid / ready protocol.
src/soc/experiment/alu_hier.py