proof_datamerger: proof that output is zero when idle
authorTobias Platen <tplaten@posteo.de>
Tue, 2 Jun 2020 19:13:35 +0000 (21:13 +0200)
committerTobias Platen <tplaten@posteo.de>
Tue, 2 Jun 2020 19:13:35 +0000 (21:13 +0200)
commit5cf106d9e489d6192bf672302858a4ae523178b7
treec4bc438aa768e24e3d4f3ac00a464008ea832977
parent674bb4a2df1a6df9152e6c34e8585a127c20e244
proof_datamerger: proof that output is zero when idle
src/soc/experiment/proof_datamerger.py