code-munging
authorLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Fri, 15 May 2020 11:13:26 +0000 (12:13 +0100)
committerLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Fri, 15 May 2020 11:13:26 +0000 (12:13 +0100)
src/soc/countzero/countzero.py

index ae0a30dfa5d781ff9544daea8817e7058d48c02e..7826d947ed1f18a5d93e6ae2ee6653f30d5eae47 100644 (file)
@@ -66,7 +66,7 @@ class ZeroCounter(Elaboratable):
         r = IntermediateResult()
         r_in = IntermediateResult()
 
-        m.d.comb += r.eq(r_in)# make the module entirely combinatorial for now
+        m.d.comb += r.eq(r_in) # make the module entirely combinatorial for now
 
         v = IntermediateResult()
         y = Signal(4, reset_less=True)
@@ -129,7 +129,7 @@ class ZeroCounter(Elaboratable):
             m.d.comb += o[5:7].eq(Cat(r.is_32bit, ~r.is_32bit))
         with m.Elif(r.count_right):
             # return (63 - sel), trimmed to 5 bits in 32-bit mode
-            m.d.comb += o.eq(Cat(~sel[0:5], (~sel[5] & ~r.is_32bit)))
+            m.d.comb += o.eq(Cat(~sel[0:5], ~(sel[5] | r.is_32bit)))
         with m.Else():
             m.d.comb += o.eq(sel)