fix more imports
authorLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Wed, 11 Mar 2020 18:18:14 +0000 (18:18 +0000)
committerLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Wed, 11 Mar 2020 18:18:14 +0000 (18:18 +0000)
src/soc/minerva/units/fetch.py
src/soc/minerva/units/loadstore.py

index b140aa20d300b4b837552a8e124928b2d8efd2f8..4f7eb06eacc04437c9b15bb4364973624351a252 100644 (file)
@@ -1,8 +1,8 @@
-from nmigen import Elaboratable, Module, Signal, Record
+from nmigen import Elaboratable, Module, Signal, Record, Const, Mux
 from nmigen.utils import log2_int
 
 from ..cache import L1Cache
-from ..wishbone import wishbone_layout
+from ..wishbone import wishbone_layout, WishboneArbiter, Cycle
 
 
 __all__ = ["PCSelector", "FetchUnitInterface", "BareFetchUnit", "CachedFetchUnit"]
index ac2a042685744ffa2e3b191b8412f2bc3e359e7f..3469851446d7b42ae7af74520bcf40559d3e734c 100644 (file)
@@ -1,10 +1,10 @@
-from nmigen import Elaboratable, Module, Signal, Record, Cat
+from nmigen import Elaboratable, Module, Signal, Record, Cat, Const, Mux
 from nmigen.utils import log2_int
 from nmigen.lib.fifo import SyncFIFO
 
 from ..cache import L1Cache
 from ..isa import Funct3
-from ..wishbone import wishbone_layout
+from ..wishbone import wishbone_layout, WishboneArbiter, Cycle
 
 
 __all__ = ["DataSelector", "LoadStoreUnitInterface", "BareLoadStoreUnit", "CachedLoadStoreUnit"]