soc.git
2023-11-05 Cesar StraussAllow the formal engine to perform a same-cycle result... master
2023-09-12 Jacob Lifshayremove grev, leaving tests for later use with grevlut
2023-09-12 Jacob Lifshayskip test_microwatt.BinaryTestCase.test_binary if file...
2023-09-11 Jacob Lifshaymake installing cocotb work
2023-09-11 Jacob Lifshayskip madd* tests since they're not implemented
2023-09-11 Jacob LifshayMASK was moved into ISACallerHelper class
2023-09-11 Jacob Lifshayset parent pspec to class with XLEN = 64
2023-09-11 Jacob Lifshayset 'soc' filter to filter out v3.1 insns
2023-09-11 Jacob Lifshayfix ALU test by setting 'soc' filter to filter out...
2023-09-11 Jacob Lifshaymark src/soc/experiment/test/test_compldst_multi.py...
2023-09-11 Jacob Lifshaymark test_pi2ls.py as broken
2023-09-11 Jacob Lifshayadd cocotb to gitlab-ci
2023-09-11 Jacob Lifshayadd pytest-output-to-files's output dir to gitignore
2023-09-10 Jacob Lifshaymake CI work
2023-07-27 Andrey MiroshnikovMakefile: Added rule for generating mw-compatible core...
2023-01-01 Cesar StraussHandle newer nMigen adding a "bench" hierarchy root...
2022-11-15 Cesar StraussKeep the valid signal from the formal engine ALU stable...
2022-10-28 Cesar StraussCheck that exactly one ALU write is made, per instruction
2022-10-28 Cesar StraussCheck cover and bmc in separate sub-tests
2022-10-26 Cesar StraussReset req_l latch on system reset
2022-10-26 Cesar StraussReset src_l latch on issue_i
2022-10-24 Luke Kenneth Casso... only NLnet sponsor
2022-10-16 Cesar StraussMove test to expose bug in MultiCompUnit
2022-10-12 Cesar StraussCheck invariant for instruction operands
2022-10-12 Cesar StraussIf the ALU is idle, do not assert valid
2022-10-09 Cesar StraussCount zero_a and imm_data.ok as masked read transactions
2022-10-09 Cesar StraussDon't issue while busy
2022-10-08 Cesar StraussAdd count of masked reads
2022-10-08 Cesar StraussAdd ALU read transaction counter
2022-10-08 Cesar StraussAdd ALU write transaction counter
2022-10-08 Cesar StraussAdd write transaction counter
2022-10-05 Cesar StraussFix duplicate line (copy & paste error)
2022-10-01 Cesar StraussAdd counter for operand reads
2022-10-01 Cesar StraussAvoid toggling go_i when rel_o is low
2022-10-01 Cesar StraussLeave shadow / die proof for last
2022-10-01 Cesar StraussStart of formal proof of MultiCompUnit
2022-08-16 Jacob Lifshaychange goldschmidt_div_sqrt to use nmutil.plain_data...
2022-08-14 Luke Kenneth Casso... grr not a yield function
2022-08-14 Luke Kenneth Casso... add get_fpregs stub function to HDLstate
2022-07-06 Luke Kenneth Casso... update pinmux submodule, rename to "fabric"
2022-07-06 Luke Kenneth Casso... add fabric compatibility mode
2022-07-05 Luke Kenneth Casso... MulOutputData was only 64-bit output not 128-bit
2022-07-04 Luke Kenneth Casso... add signal for resetting trap internal state (kaivb...
2022-07-04 Luke Kenneth Casso... set msr_o.data not msr_o Record in trap main_stage.py
2022-06-26 Luke Kenneth Casso... adapt TRAP function in main state pipeline to put KAIVB
2022-06-26 Luke Kenneth Casso... store KAIVB SPR 850 in TRAP Pipeline
2022-06-26 Luke Kenneth Casso... reduce icache/dcache TLB sizes
2022-06-26 Luke Kenneth Casso... update trap test_pipe_caller.py to use up-to-date test...
2022-06-26 Luke Kenneth Casso... missing module argument to TestRunner execute
2022-06-26 Luke Kenneth Casso... convert trap test_pipe_caller.py to consistent format
2022-05-23 Andrey MiroshnikovChange usage of WB sel for individual control
2022-05-01 Luke Kenneth Casso... split out front of div into separate stage, still too...
2022-04-30 Luke Kenneth Casso... add missing module
2022-04-30 Luke Kenneth Casso... split off CR0/XER production in DIV Function Unit into...
2022-04-30 Luke Kenneth Casso... clear out DEC in core.cur_state.dec due to spurious...
2022-04-30 Cesar StraussImplement transparent read port option on the XOR wrapp...
2022-04-29 Jacob Lifshayfix waay-too-precise error requirements
2022-04-29 Luke Kenneth Casso... add option to set small cache sizes in
2022-04-29 Jacob Lifshayadd comment
2022-04-29 Jacob Lifshayfix so HDL works for 5, 8, 16, 32, and 64-bits.
2022-04-29 Jacob LifshayHDL works for io_width=5
2022-04-28 Cesar StraussTest simultaneous transparent reads and partial writes
2022-04-28 Jacob Lifshayadd docs for clz
2022-04-28 Jacob Lifshayadd WIP HDL version of goldschmidt division -- it's...
2022-04-28 Luke Kenneth Casso... notes added to setup.py - absolute paranoia is needed on
2022-04-28 Jacob Lifshaymove GoldschmidtDivState
2022-04-28 Jacob Lifshayadd FIXME comments
2022-04-28 Jacob Lifshayadd the goldschmidt sqrt/rsqrt algorithm, still need...
2022-04-27 Jacob Lifshayswitch cached-property dependency to using libre-soc...
2022-04-27 Jacob Lifshayimproved goldschmidt division algorithm parameter optim...
2022-04-27 Jacob Lifshaysplit out non-derived params into separate class withou...
2022-04-27 Jacob Lifshaysplit out n_hat as separate property
2022-04-27 Jacob Lifshayadd default_cost_fn
2022-04-27 Jacob Lifshaymove GoldschmidtDivParams.get to bottom of class
2022-04-27 Jacob Lifshayrename _goldschmidt_div_ops to GoldschmidtDivState...
2022-04-26 Jacob Lifshaygoldschmidt division works! still needs better paramete...
2022-04-26 Jacob Lifshayfix goofed __init__.py file name
2022-04-25 Jacob Lifshayworking on goldschmidt_div_sqrt.py
2022-04-25 Jacob Lifshayadd cached_property dependency
2022-04-23 Jacob Lifshayworking on goldschmidt division algorithm
2022-04-22 Luke Kenneth Casso... whitespace
2022-04-22 Jacob Lifshayadd WIP goldschmidt division algorithm
2022-04-17 Cesar StraussImplement a 1W/1R register file, XOR style
2022-04-17 Cesar StraussFormal proof of pseudo 1W/2R SRAM
2022-04-17 Cesar StraussAdd transparent option for the full read port
2022-04-17 Cesar StraussImplement a pseudo 1W/2R memory
2022-04-16 Luke Kenneth Casso... reduce dcache/icache number of ways, to fit into ECP5...
2022-04-16 Tobias Platenpart two of issuer_fix: read pspec.microwatt_old and...
2022-04-16 Cesar StraussCheck non-transparent 1W/1R SRAM wrapper
2022-04-16 Cesar StraussEnable read port for non-transparent memories
2022-04-16 Tobias PlatenMerge ssh://git.libre-riscv.org:922/soc
2022-04-16 Tobias Platenpart one of issuer_fix: add parameter to issuer_verilog.py
2022-04-16 Cesar StraussAdd port declarations to the SRAM wrappers
2022-04-16 Cesar StraussChange write lane signal from one-hot to binary
2022-04-16 Luke Kenneth Casso... whoops, WBASyncBridge ack signal not wired up!
2022-04-16 Luke Kenneth Casso... select width is data_width // data granularity.
2022-04-16 Cesar StraussSynchronize LVT state, completing the induction proof
2022-04-16 Cesar StraussSync proof state with downstream memories
2022-04-16 Luke Kenneth Casso... put the old microwatt compatibility back
2022-04-16 Luke Kenneth Casso... blegh.
next