alu_hier add sub