class decl cleanup
[sv2nmigen.git] / examples / assignment.py
1 from nmigen import Memory, Module, Signal, Cat, Elaboratable
2 # module_1
3 #clsdeclNode(compound_stmt, [Node(classdef, [Leaf(1, 'class'), Leaf(1, 'assignment'), Leaf(11, ':'), Node(suite, [Leaf(4, '\n'), Leaf(5, ' '), Node(stmt, [Node(small_stmt, [Node(pass_stmt, [Leaf(1, 'pass')]), Leaf(4, '\n')]), Leaf(5, ' '), Leaf(3, 'self.i = Signal() # input'), Leaf(5, ' '), Leaf(3, Leaf(4, '\n')), Leaf(5, ' '), Leaf(3, 'self.o = Signal() # output')]), Leaf(6, '')])])])
4 #clsstr:
5 class assignment(self):
6 def __init__(self):
7 self.i = Signal() # input
8 self.o = Signal() # output