comb assignment now working
[sv2nmigen.git] / examples / assignment.py
1 # this file has been generated by sv2nmigen
2
3 from nmigen import Signal, Module, Const, Cat, Elaboratable
4
5
6
7 class assignment(Elaboratable):
8
9 def __init__(self):
10 self.o = Signal() # output
11 self.i = Signal() # input
12 def elaborate(self, platform=None):
13 m = Module()
14 m.d.comb += self.o.eq(self.i)
15 return m
16