module assignment( input i, output o ); assign o = i; endmodule