module assignment( output o, input i ); wire x,y; wire [15:0] z; assign x = i; assign o = x; endmodule