X-Git-Url: https://git.libre-soc.org/?p=sv2nmigen.git;a=blobdiff_plain;f=parse_sv.py;h=9ca18f6f5a6ea834d2372b18d7f4decd2216f5b8;hp=2f70c5945ba6caf90c792ad8e7339776e46526f6;hb=HEAD;hpb=6a8eb41c41826fc26f159e66172d436c09a8f53a diff --git a/parse_sv.py b/parse_sv.py index 2f70c59..9ca18f6 100644 --- a/parse_sv.py +++ b/parse_sv.py @@ -25,8 +25,8 @@ from lib2to3.pytree import Node, Leaf from lib2to3.pgen2 import token from lib2to3.pygram import python_symbols as syms -yacc1_debug = 1 -yacc2_debug = 1 +yacc1_debug = 0 +yacc2_debug = 0 parse_debug = 1 @@ -108,6 +108,14 @@ class DataType: self.signed = signed +class StatementList: + def __init__(self): + self.statements = [] + + def add_statement(self, s): + self.statements += [s] + + # -------------- RULES ---------------- () @@ -133,6 +141,7 @@ def p_source_text_2(p): def p__embed0_source_text(p): '''_embed0_source_text : ''' + # { pform_set_scope_timescale(yyloc); } () @@ -151,6 +160,7 @@ def p_assignment_pattern_1(p): if(parse_debug): print('assignment_pattern_1', list(p)) + # { PEAssignPattern*tmp = new PEAssignPattern(*p[2]); # FILE_NAME(tmp, @1); # delete p[2]; @@ -164,6 +174,7 @@ def p_assignment_pattern_2(p): if(parse_debug): print('assignment_pattern_2', list(p)) + # { PEAssignPattern*tmp = new PEAssignPattern; # FILE_NAME(tmp, @1); # p[0] = tmp; @@ -194,6 +205,7 @@ def p_class_declaration_1(p): if(parse_debug): print('class_declaration_1', list(p)) + # { // Wrap up the class. # if (p[11] && p[4] && p[4]->name != p[11]) { # yyerror(@11, "error: Class end label doesn't match class name."); @@ -206,6 +218,7 @@ def p_class_declaration_1(p): def p__embed0_class_declaration(p): '''_embed0_class_declaration : ''' + # { pform_start_class_declaration(@2, p[4], p[5].type, p[5].exprs, p[3]); } () @@ -213,6 +226,7 @@ def p__embed0_class_declaration(p): def p__embed1_class_declaration(p): '''_embed1_class_declaration : ''' + # { // Process a class. # pform_end_class_declaration(@9); # } @@ -242,6 +256,7 @@ def p_class_identifier_1(p): if(parse_debug): print('class_identifier_1', list(p)) + # { // Create a synthetic typedef for the class name so that the # // lexor detects the name as a type. # perm_string name = lex_strings.make(p[1]); @@ -259,6 +274,7 @@ def p_class_identifier_2(p): if(parse_debug): print('class_identifier_2', list(p)) + # { class_type_t*tmp = dynamic_cast(p[1].type); # if (tmp == 0) { # yyerror(@1, "Type name \"%s\"is not a predeclared class name.", p[1].text); @@ -274,6 +290,7 @@ def p_class_declaration_endlabel_opt_1(p): if(parse_debug): print('class_declaration_endlabel_opt_1', list(p)) + # { class_type_t*tmp = dynamic_cast (p[2].type); # if (tmp == 0) { # yyerror(@2, "error: class declaration endlabel \"%s\" is not a class name\n", p[2].text); @@ -301,6 +318,7 @@ def p_class_declaration_endlabel_opt_3(p): if(parse_debug): print('class_declaration_endlabel_opt_3', list(p)) + # { p[0] = None } () @@ -310,6 +328,7 @@ def p_class_declaration_extends_opt_1(p): if(parse_debug): print('class_declaration_extends_opt_1', list(p)) + # { p[0].type = p[2].type; # p[0].exprs= 0; # delete[]p[2].text; @@ -322,6 +341,7 @@ def p_class_declaration_extends_opt_2(p): if(parse_debug): print('class_declaration_extends_opt_2', list(p)) + # { p[0].type = p[2].type; # p[0].exprs = p[4]; # delete[]p[2].text; @@ -334,6 +354,7 @@ def p_class_declaration_extends_opt_3(p): if(parse_debug): print('class_declaration_extends_opt_3', list(p)) + # { p[0].type = 0; p[0].exprs = 0; } () @@ -379,6 +400,7 @@ def p_class_item_1(p): if(parse_debug): print('class_item_1', list(p)) + # { current_function->set_ports(p[6]); # pform_set_constructor_return(current_function); # pform_set_this_class(@3, current_function); @@ -394,6 +416,7 @@ def p_class_item_2(p): if(parse_debug): print('class_item_2', list(p)) + # { pform_class_property(@2, p[1], p[2], p[3]); } () @@ -403,6 +426,7 @@ def p_class_item_3(p): if(parse_debug): print('class_item_3', list(p)) + # { pform_class_property(@1, p[2] | property_qualifier_t::make_const(), p[3], p[4]); } () @@ -412,6 +436,7 @@ def p_class_item_4(p): if(parse_debug): print('class_item_4', list(p)) + # { /* The task_declaration rule puts this into the class */ } () @@ -421,6 +446,7 @@ def p_class_item_5(p): if(parse_debug): print('class_item_5', list(p)) + # { /* The function_declaration rule puts this into the class */ } () @@ -430,6 +456,7 @@ def p_class_item_6(p): if(parse_debug): print('class_item_6', list(p)) + # { yyerror(@1, "sorry: External constructors are not yet supported."); } () @@ -439,6 +466,7 @@ def p_class_item_7(p): if(parse_debug): print('class_item_7', list(p)) + # { yyerror(@1, "sorry: External constructors are not yet supported."); } () @@ -448,6 +476,7 @@ def p_class_item_8(p): if(parse_debug): print('class_item_8', list(p)) + # { yyerror(@1, "sorry: External methods are not yet supported."); # delete[] p[5]; # } @@ -459,6 +488,7 @@ def p_class_item_9(p): if(parse_debug): print('class_item_9', list(p)) + # { yyerror(@1, "sorry: External methods are not yet supported."); # delete[] p[5]; # } @@ -470,6 +500,7 @@ def p_class_item_10(p): if(parse_debug): print('class_item_10', list(p)) + # { yyerror(@1, "sorry: External methods are not yet supported."); # delete[] p[4]; # } @@ -481,6 +512,7 @@ def p_class_item_11(p): if(parse_debug): print('class_item_11', list(p)) + # { yyerror(@1, "sorry: External methods are not yet supported."); # delete[] p[4]; # } @@ -501,6 +533,7 @@ def p_class_item_13(p): if(parse_debug): print('class_item_13', list(p)) + # { yyerror(@3, "error: Errors in variable names after data type."); # yyerrok; # } @@ -512,6 +545,7 @@ def p_class_item_14(p): if(parse_debug): print('class_item_14', list(p)) + # { yyerror(@3, "error: %s doesn't name a type.", p[2]); # yyerrok; # } @@ -523,6 +557,7 @@ def p_class_item_15(p): if(parse_debug): print('class_item_15', list(p)) + # { yyerror(@1, "error: I give up on this class constructor declaration."); # yyerrok; # } @@ -534,6 +569,7 @@ def p_class_item_16(p): if(parse_debug): print('class_item_16', list(p)) + # { yyerror(@2, "error: invalid class item."); # yyerrok; # } @@ -543,6 +579,7 @@ def p_class_item_16(p): def p__embed0_class_item(p): '''_embed0_class_item : ''' + # { assert(current_function==0); # current_function = pform_push_constructor_scope(@3); # } @@ -554,6 +591,7 @@ def p_class_item_qualifier_1(p): if(parse_debug): print('class_item_qualifier_1', list(p)) + # { p[0] = property_qualifier_t::make_static(); } () @@ -563,6 +601,7 @@ def p_class_item_qualifier_2(p): if(parse_debug): print('class_item_qualifier_2', list(p)) + # { p[0] = property_qualifier_t::make_protected(); } () @@ -572,6 +611,7 @@ def p_class_item_qualifier_3(p): if(parse_debug): print('class_item_qualifier_3', list(p)) + # { p[0] = property_qualifier_t::make_local(); } () @@ -581,6 +621,7 @@ def p_class_item_qualifier_list_1(p): if(parse_debug): print('class_item_qualifier_list_1', list(p)) + # { p[0] = p[1] | p[2]; } () @@ -610,6 +651,7 @@ def p_class_item_qualifier_opt_2(p): if(parse_debug): print('class_item_qualifier_opt_2', list(p)) + # { p[0] = property_qualifier_t::make_none(); } () @@ -619,6 +661,7 @@ def p_class_new_1(p): if(parse_debug): print('class_new_1', list(p)) + # { list*expr_list = p[3]; # strip_tail_items(expr_list); # PENewClass*tmp = new PENewClass(*expr_list); @@ -634,6 +677,7 @@ def p_class_new_2(p): if(parse_debug): print('class_new_2', list(p)) + # { PEIdent*tmpi = new PEIdent(*p[2]); # FILE_NAME(tmpi, @2); # PENewCopy*tmp = new PENewCopy(tmpi); @@ -649,6 +693,7 @@ def p_class_new_3(p): if(parse_debug): print('class_new_3', list(p)) + # { PENewClass*tmp = new PENewClass; # FILE_NAME(tmp, @1); # p[0] = tmp; @@ -661,6 +706,7 @@ def p_concurrent_assertion_item_1(p): if(parse_debug): print('concurrent_assertion_item_1', list(p)) + # { /* */ # if (gn_assertions_flag) { # yyerror(@2, "sorry: concurrent_assertion_item not supported." @@ -675,6 +721,7 @@ def p_concurrent_assertion_item_2(p): if(parse_debug): print('concurrent_assertion_item_2', list(p)) + # { yyerrok; # yyerror(@2, "error: Error in property_spec of concurrent assertion item."); # } @@ -731,6 +778,7 @@ def p_constraint_declaration_1(p): if(parse_debug): print('constraint_declaration_1', list(p)) + # { yyerror(@2, "sorry: Constraint declarations not supported."); } () @@ -740,6 +788,7 @@ def p_constraint_declaration_2(p): if(parse_debug): print('constraint_declaration_2', list(p)) + # { yyerror(@4, "error: Errors in the constraint block item list."); } () @@ -821,6 +870,7 @@ def p_constraint_prototype_1(p): if(parse_debug): print('constraint_prototype_1', list(p)) + # { yyerror(@2, "sorry: Constraint prototypes not supported."); } () @@ -848,6 +898,7 @@ def p_data_declaration_1(p): if(parse_debug): print('data_declaration_1', list(p)) + # { data_type_t*data_type = p[2]; # if (data_type == 0) { # data_type = new vector_type_t(IVL_VT_LOGIC, false, 0); @@ -872,6 +923,7 @@ def p_data_type_1(p): dt.reg_flag = reg_flag p[0] = dt + # { ivl_variable_type_t use_vtype = p[1]; # bool reg_flag = false; # if (use_vtype == IVL_VT_NO_TYPE) { @@ -892,6 +944,7 @@ def p_data_type_2(p): print('data_type_2', list(p)) p[0] = p[1] + # { real_type_t*tmp = new real_type_t(p[1]); # FILE_NAME(tmp, @1); # p[0] = tmp; @@ -905,6 +958,7 @@ def p_data_type_3(p): print('data_type_3', list(p)) p[0] = p[1] + # { if (!p[1]->packed_flag) { # yyerror(@1, "sorry: Unpacked structs not supported."); # } @@ -928,6 +982,7 @@ def p_data_type_5(p): if(parse_debug): print('data_type_5', list(p)) + # { atom2_type_t*tmp = new atom2_type_t(p[1], p[2]); # FILE_NAME(tmp, @1); # p[0] = tmp; @@ -940,6 +995,7 @@ def p_data_type_6(p): if(parse_debug): print('data_type_6', list(p)) + # { list*pd = make_range_from_width(integer_width); # vector_type_t*tmp = new vector_type_t(IVL_VT_LOGIC, p[2], pd); # tmp->reg_flag = true; @@ -954,6 +1010,7 @@ def p_data_type_7(p): if(parse_debug): print('data_type_7', list(p)) + # { list*pd = make_range_from_width(64); # vector_type_t*tmp = new vector_type_t(IVL_VT_LOGIC, false, pd); # tmp->reg_flag = !gn_system_verilog(); @@ -967,6 +1024,7 @@ def p_data_type_8(p): if(parse_debug): print('data_type_8', list(p)) + # { if (p[2]) { # parray_type_t*tmp = new parray_type_t(p[1].type, p[2]); # FILE_NAME(tmp, @1); @@ -982,6 +1040,7 @@ def p_data_type_9(p): if(parse_debug): print('data_type_9', list(p)) + # { lex_in_package_scope(0); # p[0] = p[4].type; # delete[]p[4].text; @@ -994,6 +1053,7 @@ def p_data_type_10(p): if(parse_debug): print('data_type_10', list(p)) + # { string_type_t*tmp = new string_type_t; # FILE_NAME(tmp, @1); # p[0] = tmp; @@ -1004,6 +1064,7 @@ def p_data_type_10(p): def p__embed0_data_type(p): '''_embed0_data_type : ''' + # { lex_in_package_scope(p[1]); } () @@ -1023,6 +1084,7 @@ def p_data_type_or_implicit_2(p): if(parse_debug): print('data_type_or_implicit_2', list(p)) + # { vector_type_t*tmp = new vector_type_t(IVL_VT_LOGIC, p[1], p[2]); # tmp->implicit_flag = true; # FILE_NAME(tmp, @1); @@ -1035,6 +1097,8 @@ def p_data_type_or_implicit_3(p): '''data_type_or_implicit : dimensions ''' if(parse_debug): print('data_type_or_implicit_3', list(p)) + p[0] = list(p) + # { vector_type_t*tmp = new vector_type_t(IVL_VT_LOGIC, false, p[1]); # tmp->implicit_flag = true; @@ -1049,6 +1113,7 @@ def p_data_type_or_implicit_4(p): if(parse_debug > 2): print('data_type_or_implicit_4', list(p)) + # { p[0] = None } () @@ -1068,6 +1133,7 @@ def p_data_type_or_implicit_or_void_2(p): if(parse_debug): print('data_type_or_implicit_or_void_2', list(p)) + # { void_type_t*tmp = new void_type_t; # FILE_NAME(tmp, @1); # p[0] = tmp; @@ -1143,6 +1209,7 @@ def p_description_8(p): if(parse_debug): print('description_8', list(p)) + # { perm_string tmp3 = lex_strings.make(p[3]); # pform_set_type_attrib(tmp3, p[5], p[7]); # delete[] p[3]; @@ -1192,6 +1259,7 @@ def p_dynamic_array_new_1(p): if(parse_debug): print('dynamic_array_new_1', list(p)) + # { p[0] = new PENewArray(p[3], 0); # FILE_NAME(p[0], @1); # } @@ -1203,6 +1271,7 @@ def p_dynamic_array_new_2(p): if(parse_debug): print('dynamic_array_new_2', list(p)) + # { p[0] = new PENewArray(p[3], p[6]); # FILE_NAME(p[0], @1); # } @@ -1214,6 +1283,7 @@ def p_for_step_1(p): if(parse_debug): print('for_step_1', list(p)) + # { PAssign*tmp = new PAssign(p[1],p[3]); # FILE_NAME(tmp, @1); # p[0] = tmp; @@ -1226,6 +1296,7 @@ def p_for_step_2(p): if(parse_debug): print('for_step_2', list(p)) + # { p[0] = pform_compressed_assign_from_inc_dec(@1, p[1]); } () @@ -1245,6 +1316,7 @@ def p_function_declaration_1(p): if(parse_debug): print('function_declaration_1', list(p)) + # { // Last step: check any closing name. # if (p[11]) { # if (strcmp(p[4],p[11]) != 0) { @@ -1267,6 +1339,7 @@ def p_function_declaration_2(p): if(parse_debug): print('function_declaration_2', list(p)) + # { // Last step: check any closing name. # if (p[14]) { # if (strcmp(p[4],p[14]) != 0) { @@ -1289,6 +1362,7 @@ def p_function_declaration_3(p): if(parse_debug): print('function_declaration_3', list(p)) + # { // Last step: check any closing name. # if (p[8]) { # if (strcmp(p[4],p[8]) != 0) { @@ -1308,6 +1382,7 @@ def p_function_declaration_3(p): def p__embed0_function_declaration(p): '''_embed0_function_declaration : ''' + # { assert(current_function == 0); # current_function = pform_push_function_scope(@1, p[4], p[2]); # } @@ -1317,6 +1392,7 @@ def p__embed0_function_declaration(p): def p__embed1_function_declaration(p): '''_embed1_function_declaration : ''' + # { current_function->set_ports(p[7]); # current_function->set_return(p[3]); # current_function_set_statement(p[8]? @8 : @4, p[8]); @@ -1330,6 +1406,7 @@ def p__embed1_function_declaration(p): def p__embed2_function_declaration(p): '''_embed2_function_declaration : ''' + # { assert(current_function == 0); # current_function = pform_push_function_scope(@1, p[4], p[2]); # } @@ -1339,6 +1416,7 @@ def p__embed2_function_declaration(p): def p__embed3_function_declaration(p): '''_embed3_function_declaration : ''' + # { current_function->set_ports(p[7]); # current_function->set_return(p[3]); # current_function_set_statement(p[11]? @11 : @4, p[11]); @@ -1355,6 +1433,7 @@ def p__embed3_function_declaration(p): def p__embed4_function_declaration(p): '''_embed4_function_declaration : ''' + # { /* */ # if (current_function) { # pform_pop_scope(); @@ -1392,6 +1471,7 @@ def p_implicit_class_handle_1(p): if(parse_debug): print('implicit_class_handle_1', list(p)) + # { p[0] = pform_create_this(); } () @@ -1401,6 +1481,7 @@ def p_implicit_class_handle_2(p): if(parse_debug): print('implicit_class_handle_2', list(p)) + # { p[0] = pform_create_super(); } () @@ -1410,6 +1491,7 @@ def p_inc_or_dec_expression_1(p): if(parse_debug): print('inc_or_dec_expression_1', list(p)) + # { PEUnary*tmp = new PEUnary('I', p[2]); # FILE_NAME(tmp, @2); # p[0] = tmp; @@ -1422,6 +1504,7 @@ def p_inc_or_dec_expression_2(p): if(parse_debug): print('inc_or_dec_expression_2', list(p)) + # { PEUnary*tmp = new PEUnary('i', p[1]); # FILE_NAME(tmp, @1); # p[0] = tmp; @@ -1434,6 +1517,7 @@ def p_inc_or_dec_expression_3(p): if(parse_debug): print('inc_or_dec_expression_3', list(p)) + # { PEUnary*tmp = new PEUnary('D', p[2]); # FILE_NAME(tmp, @2); # p[0] = tmp; @@ -1446,6 +1530,7 @@ def p_inc_or_dec_expression_4(p): if(parse_debug): print('inc_or_dec_expression_4', list(p)) + # { PEUnary*tmp = new PEUnary('d', p[1]); # FILE_NAME(tmp, @1); # p[0] = tmp; @@ -1458,6 +1543,7 @@ def p_inside_expression_1(p): if(parse_debug): print('inside_expression_1', list(p)) + # { yyerror(@2, "sorry: \"inside\" expressions not supported yet."); # p[0] = None # } @@ -1499,6 +1585,7 @@ def p_integer_vector_type_4(p): if(parse_debug): print('integer_vector_type_4', list(p)) + # { p[0] = IVL_VT_BOOL; } () @@ -1508,6 +1595,7 @@ def p_join_keyword_1(p): if(parse_debug): print('join_keyword_1', list(p)) + # { p[0] = PBlock::BL_PAR; } () @@ -1517,6 +1605,7 @@ def p_join_keyword_2(p): if(parse_debug): print('join_keyword_2', list(p)) + # { p[0] = PBlock::BL_JOIN_NONE; } () @@ -1526,6 +1615,7 @@ def p_join_keyword_3(p): if(parse_debug): print('join_keyword_3', list(p)) + # { p[0] = PBlock::BL_JOIN_ANY; } () @@ -1535,6 +1625,7 @@ def p_jump_statement_1(p): if(parse_debug): print('jump_statement_1', list(p)) + # { yyerror(@1, "sorry: break statements not supported."); # p[0] = None # } @@ -1546,6 +1637,7 @@ def p_jump_statement_2(p): if(parse_debug): print('jump_statement_2', list(p)) + # { PReturn*tmp = new PReturn(0); # FILE_NAME(tmp, @1); # p[0] = tmp; @@ -1558,6 +1650,7 @@ def p_jump_statement_3(p): if(parse_debug): print('jump_statement_3', list(p)) + # { PReturn*tmp = new PReturn(p[2]); # FILE_NAME(tmp, @1); # p[0] = tmp; @@ -1570,6 +1663,7 @@ def p_lifetime_1(p): if(parse_debug): print('lifetime_1', list(p)) + # { p[0] = LexicalScope::AUTOMATIC; } () @@ -1579,6 +1673,7 @@ def p_lifetime_2(p): if(parse_debug): print('lifetime_2', list(p)) + # { p[0] = LexicalScope::STATIC; } () @@ -1598,6 +1693,7 @@ def p_lifetime_opt_2(p): if(parse_debug > 2): print('lifetime_opt_2', list(p)) + # { p[0] = LexicalScope::INHERITED; } () @@ -1607,6 +1703,7 @@ def p_loop_statement_1(p): if(parse_debug): print('loop_statement_1', list(p)) + # { PForStatement*tmp = new PForStatement(p[3], p[5], p[7], p[9], p[11]); # FILE_NAME(tmp, @1); # p[0] = tmp; @@ -1619,6 +1716,7 @@ def p_loop_statement_2(p): if(parse_debug): print('loop_statement_2', list(p)) + # { pform_name_t tmp_hident; # tmp_hident.push_back(name_component_t(lex_strings.make(p[4]))); # @@ -1645,6 +1743,7 @@ def p_loop_statement_3(p): if(parse_debug): print('loop_statement_3', list(p)) + # { PForever*tmp = new PForever(p[2]); # FILE_NAME(tmp, @1); # p[0] = tmp; @@ -1657,6 +1756,7 @@ def p_loop_statement_4(p): if(parse_debug): print('loop_statement_4', list(p)) + # { PRepeat*tmp = new PRepeat(p[3], p[5]); # FILE_NAME(tmp, @1); # p[0] = tmp; @@ -1669,6 +1769,7 @@ def p_loop_statement_5(p): if(parse_debug): print('loop_statement_5', list(p)) + # { PWhile*tmp = new PWhile(p[3], p[5]); # FILE_NAME(tmp, @1); # p[0] = tmp; @@ -1681,6 +1782,7 @@ def p_loop_statement_6(p): if(parse_debug): print('loop_statement_6', list(p)) + # { PDoWhile*tmp = new PDoWhile(p[5], p[2]); # FILE_NAME(tmp, @1); # p[0] = tmp; @@ -1693,6 +1795,7 @@ def p_loop_statement_7(p): if(parse_debug): print('loop_statement_7', list(p)) + # { PForeach*tmp_for = pform_make_foreach(@1, p[3], p[5], p[9]); # # pform_pop_scope(); @@ -1711,6 +1814,7 @@ def p_loop_statement_8(p): if(parse_debug): print('loop_statement_8', list(p)) + # { p[0] = None # yyerror(@1, "error: Error in for loop step assignment."); # } @@ -1722,6 +1826,7 @@ def p_loop_statement_9(p): if(parse_debug): print('loop_statement_9', list(p)) + # { p[0] = None # yyerror(@1, "error: Error in for loop condition expression."); # } @@ -1733,6 +1838,7 @@ def p_loop_statement_10(p): if(parse_debug): print('loop_statement_10', list(p)) + # { p[0] = None # yyerror(@1, "error: Incomprehensible for loop."); # } @@ -1744,6 +1850,7 @@ def p_loop_statement_11(p): if(parse_debug): print('loop_statement_11', list(p)) + # { p[0] = None # yyerror(@1, "error: Error in while loop condition."); # } @@ -1755,6 +1862,7 @@ def p_loop_statement_12(p): if(parse_debug): print('loop_statement_12', list(p)) + # { p[0] = None # yyerror(@1, "error: Error in do/while loop condition."); # } @@ -1766,6 +1874,7 @@ def p_loop_statement_13(p): if(parse_debug): print('loop_statement_13', list(p)) + # { p[0] = None # yyerror(@4, "error: Errors in foreach loop variables list."); # } @@ -1775,6 +1884,7 @@ def p_loop_statement_13(p): def p__embed0_loop_statement(p): '''_embed0_loop_statement : ''' + # { static unsigned for_counter = 0; # char for_block_name [64]; # snif(parse_debug): printf(for_block_name, sizeof for_block_name, "$ivl_for_loop%u", for_counter); @@ -1795,6 +1905,7 @@ def p__embed0_loop_statement(p): def p__embed1_loop_statement(p): '''_embed1_loop_statement : ''' + # { static unsigned foreach_counter = 0; # char for_block_name[64]; # snif(parse_debug): printf(for_block_name, sizeof for_block_name, "$ivl_foreach%u", foreach_counter); @@ -1814,6 +1925,7 @@ def p_list_of_variable_decl_assignments_1(p): if(parse_debug): print('list_of_variable_decl_assignments_1', list(p)) + # { list*tmp = new list; # tmp->push_back(p[1]); # p[0] = tmp; @@ -1826,6 +1938,7 @@ def p_list_of_variable_decl_assignments_2(p): if(parse_debug): print('list_of_variable_decl_assignments_2', list(p)) + # { list*tmp = p[1]; # tmp->push_back(p[3]); # p[0] = tmp; @@ -1838,6 +1951,7 @@ def p_variable_decl_assignment_1(p): if(parse_debug): print('variable_decl_assignment_1', list(p)) + # { decl_assignment_t*tmp = new decl_assignment_t; # tmp->name = lex_strings.make(p[1]); # if (p[2]) { @@ -1855,6 +1969,7 @@ def p_variable_decl_assignment_2(p): if(parse_debug): print('variable_decl_assignment_2', list(p)) + # { decl_assignment_t*tmp = new decl_assignment_t; # tmp->name = lex_strings.make(p[1]); # tmp->expr .reset(p[3]); @@ -1869,6 +1984,7 @@ def p_variable_decl_assignment_3(p): if(parse_debug): print('variable_decl_assignment_3', list(p)) + # { decl_assignment_t*tmp = new decl_assignment_t; # tmp->name = lex_strings.make(p[1]); # PENewClass*expr = new PENewClass; @@ -1885,6 +2001,7 @@ def p_loop_variables_1(p): if(parse_debug): print('loop_variables_1', list(p)) + # { list*tmp = p[1]; # tmp->push_back(lex_strings.make(p[3])); # delete[]p[3]; @@ -1898,6 +2015,7 @@ def p_loop_variables_2(p): if(parse_debug): print('loop_variables_2', list(p)) + # { list*tmp = new list; # tmp->push_back(lex_strings.make(p[1])); # delete[]p[1]; @@ -1954,6 +2072,7 @@ def p_modport_declaration_1(p): def p__embed0_modport_declaration(p): '''_embed0_modport_declaration : ''' + # { if (!pform_in_interface()) # yyerror(@1, "error: modport declarations are only allowed " # "in interfaces."); @@ -1984,6 +2103,7 @@ def p_modport_item_1(p): if(parse_debug): print('modport_item_1', list(p)) + # { pform_end_modport_item(@1); } () @@ -1991,6 +2111,7 @@ def p_modport_item_1(p): def p__embed0_modport_item(p): '''_embed0_modport_item : ''' + # { pform_start_modport_item(@1, p[1]); } () @@ -2018,6 +2139,7 @@ def p_modport_ports_list_3(p): if(parse_debug): print('modport_ports_list_3', list(p)) + # { if (last_modport_port.type == MP_SIMPLE) { # pform_add_modport_port(@3, last_modport_port.direction, # p[3]->name, p[3]->parm); @@ -2034,6 +2156,7 @@ def p_modport_ports_list_4(p): if(parse_debug): print('modport_ports_list_4', list(p)) + # { if (last_modport_port.type != MP_TF) # yyerror(@3, "error: task/function declaration not allowed here."); # } @@ -2045,6 +2168,7 @@ def p_modport_ports_list_5(p): if(parse_debug): print('modport_ports_list_5', list(p)) + # { if (last_modport_port.type == MP_SIMPLE) { # pform_add_modport_port(@3, last_modport_port.direction, # lex_strings.make(p[3]), 0); @@ -2061,6 +2185,7 @@ def p_modport_ports_list_6(p): if(parse_debug): print('modport_ports_list_6', list(p)) + # { yyerror(@2, "error: NULL port declarations are not allowed"); } () @@ -2070,6 +2195,7 @@ def p_modport_ports_declaration_1(p): if(parse_debug): print('modport_ports_declaration_1', list(p)) + # { last_modport_port.type = MP_SIMPLE; # last_modport_port.direction = p[2]; # pform_add_modport_port(@3, p[2], lex_strings.make(p[3]), 0); @@ -2084,6 +2210,7 @@ def p_modport_ports_declaration_2(p): if(parse_debug): print('modport_ports_declaration_2', list(p)) + # { last_modport_port.type = MP_SIMPLE; # last_modport_port.direction = p[2]; # pform_add_modport_port(@3, p[2], p[3]->name, p[3]->parm); @@ -2098,6 +2225,7 @@ def p_modport_ports_declaration_3(p): if(parse_debug): print('modport_ports_declaration_3', list(p)) + # { last_modport_port.type = MP_TF; # last_modport_port.is_import = p[2]; # yyerror(@3, "sorry: modport task/function ports are not yet supported."); @@ -2112,6 +2240,7 @@ def p_modport_ports_declaration_4(p): if(parse_debug): print('modport_ports_declaration_4', list(p)) + # { last_modport_port.type = MP_TF; # last_modport_port.is_import = p[2]; # yyerror(@3, "sorry: modport task/function ports are not yet supported."); @@ -2125,6 +2254,7 @@ def p_modport_ports_declaration_5(p): if(parse_debug): print('modport_ports_declaration_5', list(p)) + # { last_modport_port.type = MP_CLOCKING; # last_modport_port.direction = NetNet::NOT_A_PORT; # yyerror(@3, "sorry: modport clocking declaration is not yet supported."); @@ -2139,6 +2269,7 @@ def p_modport_simple_port_1(p): if(parse_debug): print('modport_simple_port_1', list(p)) + # { named_pexpr_t*tmp = new named_pexpr_t; # tmp->name = lex_strings.make(p[2]); # tmp->parm = p[4]; @@ -2189,6 +2320,7 @@ def p_non_integer_type_1(p): if(parse_debug): print('non_integer_type_1', list(p)) + # { p[0] = real_type_t::REAL; } () @@ -2198,6 +2330,7 @@ def p_non_integer_type_2(p): if(parse_debug): print('non_integer_type_2', list(p)) + # { p[0] = real_type_t::REAL; } () @@ -2207,6 +2340,7 @@ def p_non_integer_type_3(p): if(parse_debug): print('non_integer_type_3', list(p)) + # { p[0] = real_type_t::SHORTREAL; } () @@ -2216,6 +2350,12 @@ def p_number_1(p): if(parse_debug): print('number_1', list(p)) + p[1] = p[1].replace("'b", "0b") + p[1] = p[1].replace("'x", "0x") + num = Leaf(token.NUMBER, "%s" % (p[1])) + p[0] = num + + # { p[0] = p[1]; based_size = 0;} () @@ -2224,9 +2364,12 @@ def p_number_2(p): '''number : DEC_NUMBER ''' if(parse_debug): print('number_2', list(p)) + p[1] = p[1].replace("'b", "0b") + p[1] = p[1].replace("'x", "0x") num = Leaf(token.NUMBER, "%s" % (p[1])) p[0] = num + # { p[0] = p[1]; based_size = 0;} () @@ -2235,9 +2378,14 @@ def p_number_3(p): '''number : DEC_NUMBER BASED_NUMBER ''' if(parse_debug): print('number_3', list(p)) - num = Leaf(token.NUMBER, "%s:%s" % (p[1], p[2])) + + p[2] = p[2].replace("'b", "0b") + p[2] = p[2].replace("'x", "0x") + + num = Leaf(token.NUMBER, "%s" % (p[2])) p[0] = num + # { p[0] = pform_verinum_with_size(p[1],p[2], @2.text, @2.first_line); # based_size = 0; } () @@ -2248,6 +2396,7 @@ def p_number_4(p): if(parse_debug): print('number_4', list(p)) + # { p[0] = p[1]; based_size = 0;} () @@ -2257,6 +2406,7 @@ def p_number_5(p): if(parse_debug): print('number_5', list(p)) + # { yyerror(@1, "error: Unbased SystemVerilog literal cannot have " # "a size."); # p[0] = p[1]; based_size = 0;} @@ -2286,6 +2436,7 @@ def p_package_declaration_1(p): if(parse_debug): print('package_declaration_1', list(p)) + # { pform_end_package_declaration(@1); # // If an end label is present make sure it match the package name. # if (p[10]) { @@ -2302,6 +2453,7 @@ def p_package_declaration_1(p): def p__embed0_package_declaration(p): '''_embed0_package_declaration : ''' + # { pform_start_package_declaration(@1, p[3], p[2]); } () @@ -2309,6 +2461,7 @@ def p__embed0_package_declaration(p): def p__embed1_package_declaration(p): '''_embed1_package_declaration : ''' + # { pform_set_scope_timescale(@1); } () @@ -2354,6 +2507,7 @@ def p_package_import_declaration_1(p): if(parse_debug): print('package_import_declaration_1', list(p)) + # { } () @@ -2363,6 +2517,7 @@ def p_package_import_item_1(p): if(parse_debug): print('package_import_item_1', list(p)) + # { pform_package_import(@2, p[1], p[3]); # delete[]p[3]; # } @@ -2374,6 +2529,7 @@ def p_package_import_item_2(p): if(parse_debug): print('package_import_item_2', list(p)) + # { pform_package_import(@2, p[1], 0); # } () @@ -2510,6 +2666,7 @@ def p_port_direction_1(p): if(parse_debug): print('port_direction_1', list(p)) + # { p[0] = NetNet::PINPUT; } () @@ -2519,6 +2676,7 @@ def p_port_direction_2(p): if(parse_debug): print('port_direction_2', list(p)) + # { p[0] = NetNet::POUTPUT; } () @@ -2528,6 +2686,7 @@ def p_port_direction_3(p): if(parse_debug): print('port_direction_3', list(p)) + # { p[0] = NetNet::PINOUT; } () @@ -2537,6 +2696,7 @@ def p_port_direction_4(p): if(parse_debug): print('port_direction_4', list(p)) + # { p[0] = NetNet::PREF; # if (!gn_system_verilog()) { # yyerror(@1, "error: Reference ports (ref) require SystemVerilog."); @@ -2561,6 +2721,7 @@ def p_port_direction_opt_2(p): if(parse_debug): print('port_direction_opt_2', list(p)) + # { p[0] = NetNet::PIMPLICIT; } () @@ -2579,6 +2740,7 @@ def p_procedural_assertion_statement_1(p): if(parse_debug): print('procedural_assertion_statement_1', list(p)) + # { yyerror(@1, "sorry: Simple immediate assertion statements not implemented."); # p[0] = None # } @@ -2590,6 +2752,7 @@ def p_procedural_assertion_statement_2(p): if(parse_debug): print('procedural_assertion_statement_2', list(p)) + # { yyerror(@1, "sorry: Simple immediate assertion statements not implemented."); # p[0] = None # } @@ -2601,6 +2764,7 @@ def p_procedural_assertion_statement_3(p): if(parse_debug): print('procedural_assertion_statement_3', list(p)) + # { yyerror(@1, "sorry: Simple immediate assertion statements not implemented."); # p[0] = None # } @@ -2640,6 +2804,7 @@ def p_property_qualifier_opt_2(p): if(parse_debug): print('property_qualifier_opt_2', list(p)) + # { p[0] = property_qualifier_t::make_none(); } () @@ -2649,6 +2814,7 @@ def p_property_qualifier_list_1(p): if(parse_debug): print('property_qualifier_list_1', list(p)) + # { p[0] = p[1] | p[2]; } () @@ -2695,6 +2861,7 @@ def p_random_qualifier_1(p): if(parse_debug): print('random_qualifier_1', list(p)) + # { p[0] = property_qualifier_t::make_rand(); } () @@ -2704,6 +2871,7 @@ def p_random_qualifier_2(p): if(parse_debug): print('random_qualifier_2', list(p)) + # { p[0] = property_qualifier_t::make_randc(); } () @@ -2751,6 +2919,7 @@ def p_simple_type_or_string_1(p): if(parse_debug): print('simple_type_or_string_1', list(p)) + # { ivl_variable_type_t use_vtype = p[1]; # bool reg_flag = false; # if (use_vtype == IVL_VT_NO_TYPE) { @@ -2770,6 +2939,7 @@ def p_simple_type_or_string_2(p): if(parse_debug): print('simple_type_or_string_2', list(p)) + # { real_type_t*tmp = new real_type_t(p[1]); # FILE_NAME(tmp, @1); # p[0] = tmp; @@ -2782,6 +2952,7 @@ def p_simple_type_or_string_3(p): if(parse_debug): print('simple_type_or_string_3', list(p)) + # { atom2_type_t*tmp = new atom2_type_t(p[1], true); # FILE_NAME(tmp, @1); # p[0] = tmp; @@ -2794,6 +2965,7 @@ def p_simple_type_or_string_4(p): if(parse_debug): print('simple_type_or_string_4', list(p)) + # { list*pd = make_range_from_width(integer_width); # vector_type_t*tmp = new vector_type_t(IVL_VT_LOGIC, true, pd); # tmp->reg_flag = true; @@ -2808,6 +2980,7 @@ def p_simple_type_or_string_5(p): if(parse_debug): print('simple_type_or_string_5', list(p)) + # { list*pd = make_range_from_width(64); # vector_type_t*tmp = new vector_type_t(IVL_VT_LOGIC, false, pd); # tmp->reg_flag = !gn_system_verilog(); @@ -2821,6 +2994,7 @@ def p_simple_type_or_string_6(p): if(parse_debug): print('simple_type_or_string_6', list(p)) + # { p[0] = p[1].type; # delete[]p[1].text; # } @@ -2832,6 +3006,7 @@ def p_simple_type_or_string_7(p): if(parse_debug): print('simple_type_or_string_7', list(p)) + # { lex_in_package_scope(0); # p[0] = p[4].type; # delete[]p[4].text; @@ -2844,6 +3019,7 @@ def p_simple_type_or_string_8(p): if(parse_debug): print('simple_type_or_string_8', list(p)) + # { string_type_t*tmp = new string_type_t; # FILE_NAME(tmp, @1); # p[0] = tmp; @@ -2854,6 +3030,7 @@ def p_simple_type_or_string_8(p): def p__embed0_simple_type_or_string(p): '''_embed0_simple_type_or_string : ''' + # { lex_in_package_scope(p[1]); } () @@ -2864,7 +3041,8 @@ def p_statement_1(p): print('statement_1', list(p)) # { pform_bind_attributes(p[2]->attributes, p[1]); - # p[0] = p[2]; + p[0] = p[2] + # } () @@ -2884,6 +3062,9 @@ def p_statement_or_null_2(p): if(parse_debug): print('statement_or_null_2', list(p)) + raise(Exception("p_statement_or_null_2")) + + # { p[0] = None } () @@ -2938,6 +3119,7 @@ def p_streaming_concatenation_1(p): if(parse_debug): print('streaming_concatenation_1', list(p)) + # { /* streaming concatenation is a SystemVerilog thing. */ # if (gn_system_verilog()) { # yyerror(@2, "sorry: Streaming concatenation not supported."); @@ -2955,6 +3137,7 @@ def p_task_declaration_1(p): if(parse_debug): print('task_declaration_1', list(p)) + # { // Last step: check any closing name. This is done late so # // that the parser can look ahead to detect the present # // endlabel_opt but still have the pform_endmodule() called @@ -2980,6 +3163,7 @@ def p_task_declaration_2(p): if(parse_debug): print('task_declaration_2', list(p)) + # { // Last step: check any closing name. This is done late so # // that the parser can look ahead to detect the present # // endlabel_opt but still have the pform_endmodule() called @@ -3005,6 +3189,7 @@ def p_task_declaration_3(p): if(parse_debug): print('task_declaration_3', list(p)) + # { // Last step: check any closing name. This is done late so # // that the parser can look ahead to detect the present # // endlabel_opt but still have the pform_endmodule() called @@ -3030,6 +3215,7 @@ def p_task_declaration_4(p): if(parse_debug): print('task_declaration_4', list(p)) + # { // Last step: check any closing name. This is done late so # // that the parser can look ahead to detect the present # // endlabel_opt but still have the pform_endmodule() called @@ -3053,6 +3239,7 @@ def p_task_declaration_4(p): def p__embed0_task_declaration(p): '''_embed0_task_declaration : ''' + # { assert(current_task == 0); # current_task = pform_push_task_scope(@1, p[3], p[2]); # } @@ -3062,6 +3249,7 @@ def p__embed0_task_declaration(p): def p__embed1_task_declaration(p): '''_embed1_task_declaration : ''' + # { current_task->set_ports(p[6]); # current_task_set_statement(@3, p[7]); # pform_set_this_class(@3, current_task); @@ -3078,6 +3266,7 @@ def p__embed1_task_declaration(p): def p__embed2_task_declaration(p): '''_embed2_task_declaration : ''' + # { assert(current_task == 0); # current_task = pform_push_task_scope(@1, p[3], p[2]); # } @@ -3087,6 +3276,7 @@ def p__embed2_task_declaration(p): def p__embed3_task_declaration(p): '''_embed3_task_declaration : ''' + # { current_task->set_ports(p[6]); # current_task_set_statement(@3, p[10]); # pform_set_this_class(@3, current_task); @@ -3100,6 +3290,7 @@ def p__embed3_task_declaration(p): def p__embed4_task_declaration(p): '''_embed4_task_declaration : ''' + # { assert(current_task == 0); # current_task = pform_push_task_scope(@1, p[3], p[2]); # } @@ -3109,6 +3300,7 @@ def p__embed4_task_declaration(p): def p__embed5_task_declaration(p): '''_embed5_task_declaration : ''' + # { current_task->set_ports(0); # current_task_set_statement(@3, p[9]); # pform_set_this_class(@3, current_task); @@ -3129,6 +3321,7 @@ def p__embed5_task_declaration(p): def p__embed6_task_declaration(p): '''_embed6_task_declaration : ''' + # { # if (current_task) { # pform_pop_scope(); @@ -3143,6 +3336,7 @@ def p_tf_port_declaration_1(p): if(parse_debug): print('tf_port_declaration_1', list(p)) + # { vector*tmp = pform_make_task_ports(@1, p[1], # p[2] ? IVL_VT_LOGIC : # IVL_VT_NO_TYPE, @@ -3157,6 +3351,7 @@ def p_tf_port_declaration_2(p): if(parse_debug): print('tf_port_declaration_2', list(p)) + # { list*range_stub = make_range_from_width(integer_width); # vector*tmp = pform_make_task_ports(@1, p[1], IVL_VT_LOGIC, true, # range_stub, p[3], true); @@ -3170,6 +3365,7 @@ def p_tf_port_declaration_3(p): if(parse_debug): print('tf_port_declaration_3', list(p)) + # { list*range_stub = make_range_from_width(64); # vector*tmp = pform_make_task_ports(@1, p[1], IVL_VT_LOGIC, false, # range_stub, p[3]); @@ -3183,6 +3379,7 @@ def p_tf_port_declaration_4(p): if(parse_debug): print('tf_port_declaration_4', list(p)) + # { vector*tmp = pform_make_task_ports(@1, p[1], IVL_VT_REAL, true, # 0, p[3]); # p[0] = tmp; @@ -3195,6 +3392,7 @@ def p_tf_port_declaration_5(p): if(parse_debug): print('tf_port_declaration_5', list(p)) + # { vector*tmp = pform_make_task_ports(@1, p[1], IVL_VT_STRING, true, # 0, p[3]); # p[0] = tmp; @@ -3207,6 +3405,7 @@ def p_tf_port_item_1(p): if(parse_debug): print('tf_port_item_1', list(p)) + # { vector*tmp; # NetNet::PortType use_port_type = p[1]; # if ((use_port_type == NetNet::PIMPLICIT) && (gn_system_verilog() || (p[2] == 0))) @@ -3259,6 +3458,7 @@ def p_tf_port_item_2(p): if(parse_debug): print('tf_port_item_2', list(p)) + # { yyerror(@3, "error: Error in task/function port item after port name %s.", p[3]); # yyerrok; # p[0] = None @@ -3271,6 +3471,7 @@ def p_tf_port_item_expr_opt_1(p): if(parse_debug): print('tf_port_item_expr_opt_1', list(p)) + # { if (! gn_system_verilog()) { # yyerror(@1, "error: Task/function default arguments require " # "SystemVerilog."); @@ -3285,6 +3486,7 @@ def p_tf_port_item_expr_opt_2(p): if(parse_debug): print('tf_port_item_expr_opt_2', list(p)) + # { p[0] = None } () @@ -3302,6 +3504,7 @@ def p_tf_port_list_1(p): def p__embed0_tf_port_list(p): '''_embed0_tf_port_list : ''' + # { port_declaration_context.port_type = gn_system_verilog() ? NetNet::PINPUT : NetNet::PIMPLICIT; # port_declaration_context.data_type = 0; # } @@ -3313,6 +3516,7 @@ def p_tf_port_item_list_1(p): if(parse_debug): print('tf_port_item_list_1', list(p)) + # { vector*tmp; # if (p[1] && p[3]) { # size_t s1 = p[1]->size(); @@ -3346,6 +3550,7 @@ def p_tf_port_item_list_3(p): if(parse_debug): print('tf_port_item_list_3', list(p)) + # { yyerror(@2, "error: Syntax error in task/function port declaration."); # p[0] = p[3]; # } @@ -3357,6 +3562,7 @@ def p_tf_port_item_list_4(p): if(parse_debug): print('tf_port_item_list_4', list(p)) + # { yyerror(@2, "error: NULL port declarations are not allowed."); # p[0] = p[1]; # } @@ -3368,6 +3574,7 @@ def p_tf_port_item_list_5(p): if(parse_debug): print('tf_port_item_list_5', list(p)) + # { yyerror(@2, "error: ';' is an invalid port declaration separator."); # p[0] = p[1]; # } @@ -3379,6 +3586,7 @@ def p_timeunits_declaration_1(p): if(parse_debug): print('timeunits_declaration_1', list(p)) + # { pform_set_timeunit(p[2], allow_timeunit_decl); } () @@ -3388,6 +3596,7 @@ def p_timeunits_declaration_2(p): if(parse_debug): print('timeunits_declaration_2', list(p)) + # { bool initial_decl = allow_timeunit_decl && allow_timeprec_decl; # pform_set_timeunit(p[2], initial_decl); # pform_set_timeprec(p[4], initial_decl); @@ -3400,6 +3609,7 @@ def p_timeunits_declaration_3(p): if(parse_debug): print('timeunits_declaration_3', list(p)) + # { pform_set_timeprec(p[2], allow_timeprec_decl); } () @@ -3436,6 +3646,7 @@ def p_value_range_1(p): if(parse_debug): print('value_range_1', list(p)) + # { } () @@ -3445,6 +3656,7 @@ def p_value_range_2(p): if(parse_debug): print('value_range_2', list(p)) + # { } () @@ -3478,6 +3690,7 @@ def p_variable_dimension_2(p): if(parse_debug): print('variable_dimension_2', list(p)) + # { // SystemVerilog canonical range # if (!gn_system_verilog()) { # warn_count += 1; @@ -3499,6 +3712,7 @@ def p_variable_dimension_3(p): if(parse_debug): print('variable_dimension_3', list(p)) + # { list *tmp = new list; # pform_range_t index (0,0); # tmp->push_back(index); @@ -3512,6 +3726,7 @@ def p_variable_dimension_4(p): if(parse_debug): print('variable_dimension_4', list(p)) + # { // SystemVerilog queue # list *tmp = new list; # pform_range_t index (new PENull,0); @@ -3529,6 +3744,7 @@ def p_variable_lifetime_1(p): if(parse_debug): print('variable_lifetime_1', list(p)) + # { if (!gn_system_verilog()) { # yyerror(@1, "error: overriding the default variable lifetime " # "requires SystemVerilog."); @@ -3556,6 +3772,7 @@ def p_attribute_list_opt_2(p): if(parse_debug > 2): print('attribute_list_opt_2', list(p)) + # { p[0] = None } () @@ -3565,6 +3782,7 @@ def p_attribute_instance_list_1(p): if(parse_debug): print('attribute_instance_list_1', list(p)) + # { p[0] = None } () @@ -3594,6 +3812,7 @@ def p_attribute_instance_list_4(p): if(parse_debug): print('attribute_instance_list_4', list(p)) + # { list*tmp = p[1]; # if (tmp) { # tmp->splice(tmp->end(), *p[3]); @@ -3609,6 +3828,7 @@ def p_attribute_list_1(p): if(parse_debug): print('attribute_list_1', list(p)) + # { list*tmp = p[1]; # tmp->push_back(*p[3]); # delete p[3]; @@ -3622,6 +3842,7 @@ def p_attribute_list_2(p): if(parse_debug): print('attribute_list_2', list(p)) + # { list*tmp = new list; # tmp->push_back(*p[1]); # delete p[1]; @@ -3635,6 +3856,7 @@ def p_attribute_1(p): if(parse_debug): print('attribute_1', list(p)) + # { named_pexpr_t*tmp = new named_pexpr_t; # tmp->name = lex_strings.make(p[1]); # tmp->parm = 0; @@ -3649,6 +3871,7 @@ def p_attribute_2(p): if(parse_debug): print('attribute_2', list(p)) + # { PExpr*tmp = p[3]; # named_pexpr_t*tmp2 = new named_pexpr_t; # tmp2->name = lex_strings.make(p[1]); @@ -3664,6 +3887,7 @@ def p_block_item_decl_1(p): if(parse_debug): print('block_item_decl_1', list(p)) + # { if (p[1]) pform_set_data_type(@1, p[1], p[2], NetNet::REG, attributes_in_context); # } () @@ -3674,6 +3898,7 @@ def p_block_item_decl_2(p): if(parse_debug): print('block_item_decl_2', list(p)) + # { if (p[2]) pform_set_data_type(@2, p[2], p[3], NetNet::REG, attributes_in_context); # var_lifetime = LexicalScope::INHERITED; # } @@ -3685,6 +3910,7 @@ def p_block_item_decl_3(p): if(parse_debug): print('block_item_decl_3', list(p)) + # { if (p[2]) pform_set_data_type(@2, p[2], p[3], NetNet::REG, attributes_in_context); # } () @@ -3695,6 +3921,7 @@ def p_block_item_decl_4(p): if(parse_debug): print('block_item_decl_4', list(p)) + # { if (p[3]) pform_set_data_type(@3, p[3], p[4], NetNet::REG, attributes_in_context); # var_lifetime = LexicalScope::INHERITED; # } @@ -3706,6 +3933,7 @@ def p_block_item_decl_5(p): if(parse_debug): print('block_item_decl_5', list(p)) + # { if (p[2]) pform_make_events(p[2], @1.text, @1.first_line); # } () @@ -3743,6 +3971,7 @@ def p_block_item_decl_9(p): if(parse_debug): print('block_item_decl_9', list(p)) + # { yyerror(@1, "error: syntax error in integer variable list."); # yyerrok; # } @@ -3754,6 +3983,7 @@ def p_block_item_decl_10(p): if(parse_debug): print('block_item_decl_10', list(p)) + # { yyerror(@1, "error: syntax error in time variable list."); # yyerrok; # } @@ -3765,6 +3995,7 @@ def p_block_item_decl_11(p): if(parse_debug): print('block_item_decl_11', list(p)) + # { yyerror(@1, "error: syntax error in parameter list."); # yyerrok; # } @@ -3776,6 +4007,7 @@ def p_block_item_decl_12(p): if(parse_debug): print('block_item_decl_12', list(p)) + # { yyerror(@1, "error: syntax error localparam list."); # yyerrok; # } @@ -3825,6 +4057,7 @@ def p_type_declaration_1(p): if(parse_debug): print('type_declaration_1', list(p)) + # { perm_string name = lex_strings.make(p[3]); # pform_set_typedef(name, p[2], p[4]); # delete[]p[3]; @@ -3837,6 +4070,7 @@ def p_type_declaration_2(p): if(parse_debug): print('type_declaration_2', list(p)) + # { perm_string name = lex_strings.make(p[3].text); # if (pform_test_type_identifier_local(name)) { # yyerror(@3, "error: Typedef identifier \"%s\" is already a type name.", p[3].text); @@ -3854,6 +4088,7 @@ def p_type_declaration_3(p): if(parse_debug): print('type_declaration_3', list(p)) + # { // Create a synthetic typedef for the class name so that the # // lexor detects the name as a type. # perm_string name = lex_strings.make(p[3]); @@ -3870,6 +4105,7 @@ def p_type_declaration_4(p): if(parse_debug): print('type_declaration_4', list(p)) + # { yyerror(@1, "sorry: Enum forward declarations not supported yet."); } () @@ -3879,6 +4115,7 @@ def p_type_declaration_5(p): if(parse_debug): print('type_declaration_5', list(p)) + # { yyerror(@1, "sorry: Struct forward declarations not supported yet."); } () @@ -3888,6 +4125,7 @@ def p_type_declaration_6(p): if(parse_debug): print('type_declaration_6', list(p)) + # { yyerror(@1, "sorry: Union forward declarations not supported yet."); } () @@ -3897,6 +4135,7 @@ def p_type_declaration_7(p): if(parse_debug): print('type_declaration_7', list(p)) + # { // Create a synthetic typedef for the class name so that the # // lexor detects the name as a type. # perm_string name = lex_strings.make(p[2]); @@ -3913,6 +4152,7 @@ def p_type_declaration_8(p): if(parse_debug): print('type_declaration_8', list(p)) + # { yyerror(@2, "error: Syntax error in typedef clause."); # yyerrok; # } @@ -3924,6 +4164,7 @@ def p_enum_data_type_1(p): if(parse_debug): print('enum_data_type_1', list(p)) + # { enum_type_t*enum_type = new enum_type_t; # FILE_NAME(enum_type, @1); # enum_type->names .reset(p[3]); @@ -3941,6 +4182,7 @@ def p_enum_data_type_2(p): if(parse_debug): print('enum_data_type_2', list(p)) + # { enum_type_t*enum_type = new enum_type_t; # FILE_NAME(enum_type, @1); # enum_type->names .reset(p[5]); @@ -3958,6 +4200,7 @@ def p_enum_data_type_3(p): if(parse_debug): print('enum_data_type_3', list(p)) + # { enum_type_t*enum_type = new enum_type_t; # FILE_NAME(enum_type, @1); # enum_type->names .reset(p[5]); @@ -3975,6 +4218,7 @@ def p_enum_data_type_4(p): if(parse_debug): print('enum_data_type_4', list(p)) + # { enum_type_t*enum_type = new enum_type_t; # FILE_NAME(enum_type, @1); # enum_type->names .reset(p[6]); @@ -3992,6 +4236,7 @@ def p_enum_data_type_5(p): if(parse_debug): print('enum_data_type_5', list(p)) + # { enum_type_t*enum_type = new enum_type_t; # FILE_NAME(enum_type, @1); # enum_type->names .reset(p[6]); @@ -4009,6 +4254,7 @@ def p_enum_data_type_6(p): if(parse_debug): print('enum_data_type_6', list(p)) + # { enum_type_t*enum_type = new enum_type_t; # FILE_NAME(enum_type, @1); # enum_type->names .reset(p[6]); @@ -4026,6 +4272,7 @@ def p_enum_name_list_1(p): if(parse_debug): print('enum_name_list_1', list(p)) + # { p[0] = p[1]; # } () @@ -4036,6 +4283,7 @@ def p_enum_name_list_2(p): if(parse_debug): print('enum_name_list_2', list(p)) + # { list*lst = p[1]; # lst->splice(lst->end(), *p[3]); # delete p[3]; @@ -4049,6 +4297,7 @@ def p_pos_neg_number_1(p): if(parse_debug): print('pos_neg_number_1', list(p)) + # { p[0] = p[1]; # } () @@ -4059,6 +4308,7 @@ def p_pos_neg_number_2(p): if(parse_debug): print('pos_neg_number_2', list(p)) + # { verinum tmp = -(*(p[2])); # *(p[2]) = tmp; # p[0] = p[2]; @@ -4071,6 +4321,7 @@ def p_enum_name_1(p): if(parse_debug): print('enum_name_1', list(p)) + # { perm_string name = lex_strings.make(p[1]); # delete[]p[1]; # p[0] = make_named_number(name); @@ -4083,6 +4334,7 @@ def p_enum_name_2(p): if(parse_debug): print('enum_name_2', list(p)) + # { perm_string name = lex_strings.make(p[1]); # long count = check_enum_seq_value(@1, p[3], false); # delete[]p[1]; @@ -4097,6 +4349,7 @@ def p_enum_name_3(p): if(parse_debug): print('enum_name_3', list(p)) + # { perm_string name = lex_strings.make(p[1]); # p[0] = make_named_numbers(name, check_enum_seq_value(@1, p[3], true), # check_enum_seq_value(@1, p[5], true)); @@ -4112,6 +4365,7 @@ def p_enum_name_4(p): if(parse_debug): print('enum_name_4', list(p)) + # { perm_string name = lex_strings.make(p[1]); # delete[]p[1]; # p[0] = make_named_number(name, p[3]); @@ -4124,6 +4378,7 @@ def p_enum_name_5(p): if(parse_debug): print('enum_name_5', list(p)) + # { perm_string name = lex_strings.make(p[1]); # long count = check_enum_seq_value(@1, p[3], false); # p[0] = make_named_numbers(name, 0, count-1, p[6]); @@ -4138,6 +4393,7 @@ def p_enum_name_6(p): if(parse_debug): print('enum_name_6', list(p)) + # { perm_string name = lex_strings.make(p[1]); # p[0] = make_named_numbers(name, check_enum_seq_value(@1, p[3], true), # check_enum_seq_value(@1, p[5], true), p[8]); @@ -4153,6 +4409,7 @@ def p_struct_data_type_1(p): if(parse_debug): print('struct_data_type_1', list(p)) + # { struct_type_t*tmp = new struct_type_t; # FILE_NAME(tmp, @1); # tmp->packed_flag = p[2]; @@ -4168,6 +4425,7 @@ def p_struct_data_type_2(p): if(parse_debug): print('struct_data_type_2', list(p)) + # { struct_type_t*tmp = new struct_type_t; # FILE_NAME(tmp, @1); # tmp->packed_flag = p[2]; @@ -4183,6 +4441,7 @@ def p_struct_data_type_3(p): if(parse_debug): print('struct_data_type_3', list(p)) + # { yyerror(@3, "error: Errors in struct member list."); # yyerrok; # struct_type_t*tmp = new struct_type_t; @@ -4199,6 +4458,7 @@ def p_struct_data_type_4(p): if(parse_debug): print('struct_data_type_4', list(p)) + # { yyerror(@3, "error: Errors in union member list."); # yyerrok; # struct_type_t*tmp = new struct_type_t; @@ -4215,6 +4475,7 @@ def p_struct_union_member_list_1(p): if(parse_debug): print('struct_union_member_list_1', list(p)) + # { list*tmp = p[1]; # tmp->push_back(p[2]); # p[0] = tmp; @@ -4227,6 +4488,7 @@ def p_struct_union_member_list_2(p): if(parse_debug): print('struct_union_member_list_2', list(p)) + # { list*tmp = new list; # tmp->push_back(p[1]); # p[0] = tmp; @@ -4239,6 +4501,7 @@ def p_struct_union_member_1(p): if(parse_debug): print('struct_union_member_1', list(p)) + # { struct_member_t*tmp = new struct_member_t; # FILE_NAME(tmp, @2); # tmp->type .reset(p[2]); @@ -4253,6 +4516,7 @@ def p_struct_union_member_2(p): if(parse_debug): print('struct_union_member_2', list(p)) + # { yyerror(@2, "Error in struct/union member."); # yyerrok; # p[0] = None @@ -4265,6 +4529,7 @@ def p_case_item_1(p): if(parse_debug): print('case_item_1', list(p)) + # { PCase::Item*tmp = new PCase::Item; # tmp->expr = *p[1]; # tmp->stat = p[3]; @@ -4279,6 +4544,7 @@ def p_case_item_2(p): if(parse_debug): print('case_item_2', list(p)) + # { PCase::Item*tmp = new PCase::Item; # tmp->stat = p[3]; # p[0] = tmp; @@ -4291,6 +4557,7 @@ def p_case_item_3(p): if(parse_debug): print('case_item_3', list(p)) + # { PCase::Item*tmp = new PCase::Item; # tmp->stat = p[2]; # p[0] = tmp; @@ -4303,6 +4570,7 @@ def p_case_item_4(p): if(parse_debug): print('case_item_4', list(p)) + # { yyerror(@2, "error: Incomprehensible case expression."); # yyerrok; # } @@ -4314,6 +4582,7 @@ def p_case_items_1(p): if(parse_debug): print('case_items_1', list(p)) + # { svector*tmp; # tmp = new svector(*p[1], p[2]); # delete p[1]; @@ -4327,6 +4596,7 @@ def p_case_items_2(p): if(parse_debug): print('case_items_2', list(p)) + # { svector*tmp = new svector(1); # (*tmp)[0] = p[1]; # p[0] = tmp; @@ -4384,6 +4654,7 @@ def p_defparam_assign_1(p): if(parse_debug): print('defparam_assign_1', list(p)) + # { pform_set_defparam(*p[1], p[3]); # delete p[1]; # } @@ -4404,6 +4675,7 @@ def p_defparam_assign_list_2(p): if(parse_debug): print('defparam_assign_list_2', list(p)) + # { yyerror(@1, "error: defparam may not include a range."); # delete p[1]; # } @@ -4424,6 +4696,7 @@ def p_delay1_1(p): if(parse_debug): print('delay1_1', list(p)) + # { list*tmp = new list; # tmp->push_back(p[2]); # p[0] = tmp; @@ -4436,6 +4709,7 @@ def p_delay1_2(p): if(parse_debug): print('delay1_2', list(p)) + # { list*tmp = new list; # tmp->push_back(p[3]); # p[0] = tmp; @@ -4448,6 +4722,7 @@ def p_delay3_1(p): if(parse_debug): print('delay3_1', list(p)) + # { list*tmp = new list; # tmp->push_back(p[2]); # p[0] = tmp; @@ -4460,6 +4735,7 @@ def p_delay3_2(p): if(parse_debug): print('delay3_2', list(p)) + # { list*tmp = new list; # tmp->push_back(p[3]); # p[0] = tmp; @@ -4472,6 +4748,7 @@ def p_delay3_3(p): if(parse_debug): print('delay3_3', list(p)) + # { list*tmp = new list; # tmp->push_back(p[3]); # tmp->push_back(p[5]); @@ -4485,6 +4762,7 @@ def p_delay3_4(p): if(parse_debug): print('delay3_4', list(p)) + # { list*tmp = new list; # tmp->push_back(p[3]); # tmp->push_back(p[5]); @@ -4509,6 +4787,7 @@ def p_delay3_opt_2(p): if(parse_debug > 2): print('delay3_opt_2', list(p)) + # { p[0] = None } () @@ -4518,6 +4797,7 @@ def p_delay_value_list_1(p): if(parse_debug): print('delay_value_list_1', list(p)) + # { list*tmp = new list; # tmp->push_back(p[1]); # p[0] = tmp; @@ -4530,6 +4810,7 @@ def p_delay_value_list_2(p): if(parse_debug): print('delay_value_list_2', list(p)) + # { list*tmp = p[1]; # tmp->push_back(p[3]); # p[0] = tmp; @@ -4542,6 +4823,7 @@ def p_delay_value_1(p): if(parse_debug): print('delay_value_1', list(p)) + # { PExpr*tmp = p[1]; # p[0] = tmp; # } @@ -4553,6 +4835,7 @@ def p_delay_value_2(p): if(parse_debug): print('delay_value_2', list(p)) + # { p[0] = pform_select_mtm_expr(p[1], p[3], p[5]); } () @@ -4562,6 +4845,7 @@ def p_delay_value_simple_1(p): if(parse_debug): print('delay_value_simple_1', list(p)) + # { verinum*tmp = p[1]; # if (tmp == 0) { # yyerror(@1, "internal error: delay."); @@ -4580,6 +4864,7 @@ def p_delay_value_simple_2(p): if(parse_debug): print('delay_value_simple_2', list(p)) + # { verireal*tmp = p[1]; # if (tmp == 0) { # yyerror(@1, "internal error: delay."); @@ -4597,6 +4882,7 @@ def p_delay_value_simple_3(p): if(parse_debug): print('delay_value_simple_3', list(p)) + # { PEIdent*tmp = new PEIdent(lex_strings.make(p[1])); # FILE_NAME(tmp, @1); # p[0] = tmp; @@ -4610,6 +4896,7 @@ def p_delay_value_simple_4(p): if(parse_debug): print('delay_value_simple_4', list(p)) + # { int unit; # # based_size = 0; @@ -4652,6 +4939,7 @@ def p_discipline_declaration_1(p): if(parse_debug): print('discipline_declaration_1', list(p)) + # { pform_end_discipline(@1); delete[] p[2]; } () @@ -4659,6 +4947,7 @@ def p_discipline_declaration_1(p): def p__embed0_discipline_declaration(p): '''_embed0_discipline_declaration : ''' + # { pform_start_discipline(p[2]); } () @@ -4686,6 +4975,7 @@ def p_discipline_item_1(p): if(parse_debug): print('discipline_item_1', list(p)) + # { pform_discipline_domain(@1, IVL_DIS_DISCRETE); } () @@ -4695,6 +4985,7 @@ def p_discipline_item_2(p): if(parse_debug): print('discipline_item_2', list(p)) + # { pform_discipline_domain(@1, IVL_DIS_CONTINUOUS); } () @@ -4704,6 +4995,7 @@ def p_discipline_item_3(p): if(parse_debug): print('discipline_item_3', list(p)) + # { pform_discipline_potential(@1, p[2]); delete[] p[2]; } () @@ -4713,6 +5005,7 @@ def p_discipline_item_4(p): if(parse_debug): print('discipline_item_4', list(p)) + # { pform_discipline_flow(@1, p[2]); delete[] p[2]; } () @@ -4722,6 +5015,7 @@ def p_nature_declaration_1(p): if(parse_debug): print('nature_declaration_1', list(p)) + # { pform_end_nature(@1); delete[] p[2]; } () @@ -4729,6 +5023,7 @@ def p_nature_declaration_1(p): def p__embed0_nature_declaration(p): '''_embed0_nature_declaration : ''' + # { pform_start_nature(p[2]); } () @@ -4756,6 +5051,7 @@ def p_nature_item_1(p): if(parse_debug): print('nature_item_1', list(p)) + # { delete[] p[3]; } () @@ -4774,6 +5070,7 @@ def p_nature_item_3(p): if(parse_debug): print('nature_item_3', list(p)) + # { pform_nature_access(@1, p[3]); delete[] p[3]; } () @@ -4783,6 +5080,7 @@ def p_nature_item_4(p): if(parse_debug): print('nature_item_4', list(p)) + # { delete[] p[3]; } () @@ -4792,6 +5090,7 @@ def p_nature_item_5(p): if(parse_debug): print('nature_item_5', list(p)) + # { delete[] p[3]; } () @@ -4801,6 +5100,7 @@ def p_config_declaration_1(p): if(parse_debug): print('config_declaration_1', list(p)) + # { cerr << @1 << ": sorry: config declarations are not supported and " # "will be skipped." << endl; # delete[] p[2]; @@ -4858,6 +5158,7 @@ def p_config_rule_statement_2(p): if(parse_debug): print('config_rule_statement_2', list(p)) + # { delete p[2]; } () @@ -4867,6 +5168,7 @@ def p_config_rule_statement_3(p): if(parse_debug): print('config_rule_statement_3', list(p)) + # { delete p[2]; } () @@ -4912,6 +5214,7 @@ def p_lib_cell_id_1(p): if(parse_debug): print('lib_cell_id_1', list(p)) + # { delete[] p[1]; } () @@ -4921,6 +5224,7 @@ def p_lib_cell_id_2(p): if(parse_debug): print('lib_cell_id_2', list(p)) + # { delete[] p[1]; delete[] p[3]; } () @@ -4939,6 +5243,7 @@ def p_list_of_libraries_2(p): if(parse_debug): print('list_of_libraries_2', list(p)) + # { delete[] p[2]; } () @@ -4948,6 +5253,7 @@ def p_drive_strength_1(p): if(parse_debug): print('drive_strength_1', list(p)) + # { p[0].str0 = p[2].str0; # p[0].str1 = p[4].str1; # } @@ -4959,6 +5265,7 @@ def p_drive_strength_2(p): if(parse_debug): print('drive_strength_2', list(p)) + # { p[0].str0 = p[4].str0; # p[0].str1 = p[2].str1; # } @@ -4970,6 +5277,7 @@ def p_drive_strength_3(p): if(parse_debug): print('drive_strength_3', list(p)) + # { p[0].str0 = p[2].str0; # p[0].str1 = IVL_DR_HiZ; # } @@ -4981,6 +5289,7 @@ def p_drive_strength_4(p): if(parse_debug): print('drive_strength_4', list(p)) + # { p[0].str0 = IVL_DR_HiZ; # p[0].str1 = p[2].str1; # } @@ -4992,6 +5301,7 @@ def p_drive_strength_5(p): if(parse_debug): print('drive_strength_5', list(p)) + # { p[0].str0 = p[4].str0; # p[0].str1 = IVL_DR_HiZ; # } @@ -5003,6 +5313,7 @@ def p_drive_strength_6(p): if(parse_debug): print('drive_strength_6', list(p)) + # { p[0].str0 = IVL_DR_HiZ; # p[0].str1 = p[4].str1; # } @@ -5024,6 +5335,7 @@ def p_drive_strength_opt_2(p): if(parse_debug > 2): print('drive_strength_opt_2', list(p)) + # { p[0].str0 = IVL_DR_STRONG; p[0].str1 = IVL_DR_STRONG; } () @@ -5033,6 +5345,7 @@ def p_dr_strength0_1(p): if(parse_debug): print('dr_strength0_1', list(p)) + # { p[0].str0 = IVL_DR_SUPPLY; } () @@ -5042,6 +5355,7 @@ def p_dr_strength0_2(p): if(parse_debug): print('dr_strength0_2', list(p)) + # { p[0].str0 = IVL_DR_STRONG; } () @@ -5051,6 +5365,7 @@ def p_dr_strength0_3(p): if(parse_debug): print('dr_strength0_3', list(p)) + # { p[0].str0 = IVL_DR_PULL; } () @@ -5060,6 +5375,7 @@ def p_dr_strength0_4(p): if(parse_debug): print('dr_strength0_4', list(p)) + # { p[0].str0 = IVL_DR_WEAK; } () @@ -5069,6 +5385,7 @@ def p_dr_strength1_1(p): if(parse_debug): print('dr_strength1_1', list(p)) + # { p[0].str1 = IVL_DR_SUPPLY; } () @@ -5078,6 +5395,7 @@ def p_dr_strength1_2(p): if(parse_debug): print('dr_strength1_2', list(p)) + # { p[0].str1 = IVL_DR_STRONG; } () @@ -5087,6 +5405,7 @@ def p_dr_strength1_3(p): if(parse_debug): print('dr_strength1_3', list(p)) + # { p[0].str1 = IVL_DR_PULL; } () @@ -5096,6 +5415,7 @@ def p_dr_strength1_4(p): if(parse_debug): print('dr_strength1_4', list(p)) + # { p[0].str1 = IVL_DR_WEAK; } () @@ -5123,6 +5443,7 @@ def p_event_control_1(p): if(parse_debug): print('event_control_1', list(p)) + # { PEIdent*tmpi = new PEIdent(*p[2]); # PEEvent*tmpe = new PEEvent(PEEvent::ANYEDGE, tmpi); # PEventStatement*tmps = new PEventStatement(tmpe); @@ -5138,6 +5459,7 @@ def p_event_control_2(p): if(parse_debug): print('event_control_2', list(p)) + # { PEventStatement*tmp = new PEventStatement(*p[3]); # FILE_NAME(tmp, @1); # delete p[3]; @@ -5151,6 +5473,7 @@ def p_event_control_3(p): if(parse_debug): print('event_control_3', list(p)) + # { yyerror(@1, "error: Malformed event control expression."); # p[0] = None # } @@ -5172,6 +5495,7 @@ def p_event_expression_list_2(p): if(parse_debug): print('event_expression_list_2', list(p)) + # { svector*tmp = new svector(*p[1], *p[3]); # delete p[1]; # delete p[3]; @@ -5185,6 +5509,7 @@ def p_event_expression_list_3(p): if(parse_debug): print('event_expression_list_3', list(p)) + # { svector*tmp = new svector(*p[1], *p[3]); # delete p[1]; # delete p[3]; @@ -5198,6 +5523,7 @@ def p_event_expression_1(p): if(parse_debug): print('event_expression_1', list(p)) + # { PEEvent*tmp = new PEEvent(PEEvent::POSEDGE, p[2]); # FILE_NAME(tmp, @1); # svector*tl = new svector(1); @@ -5212,6 +5538,7 @@ def p_event_expression_2(p): if(parse_debug): print('event_expression_2', list(p)) + # { PEEvent*tmp = new PEEvent(PEEvent::NEGEDGE, p[2]); # FILE_NAME(tmp, @1); # svector*tl = new svector(1); @@ -5226,6 +5553,7 @@ def p_event_expression_3(p): if(parse_debug): print('event_expression_3', list(p)) + # { PEEvent*tmp = new PEEvent(PEEvent::ANYEDGE, p[1]); # FILE_NAME(tmp, @1); # svector*tl = new svector(1); @@ -5240,6 +5568,7 @@ def p_branch_probe_expression_1(p): if(parse_debug): print('branch_probe_expression_1', list(p)) + # { p[0] = pform_make_branch_probe_expression(@1, p[1], p[3], p[5]); } () @@ -5249,6 +5578,7 @@ def p_branch_probe_expression_2(p): if(parse_debug): print('branch_probe_expression_2', list(p)) + # { p[0] = pform_make_branch_probe_expression(@1, p[1], p[3]); } () @@ -5293,11 +5623,31 @@ def p_expression_4(p): () +def PEUnary(op, o1): + #Leaf(token.STRING, ' ') + try: + return Node(syms.atom, [op, o1]) + except: + return "error in PEUnary: "+str(op)+","+str(o1) + + +def PEBinary(op, o1, o2): + try: + return Node(syms.atom, [o1, Leaf(token.STRING, ' '), op, Leaf(token.STRING, ' '), o2]) + except: + return "error in PEBinary: "+str(op)+","+str(o1)+","+str(o2) + +# unary minus + + def p_expression_5(p): '''expression : '-' attribute_list_opt expr_primary %prec UNARY_PREC ''' if(parse_debug): print('expression_5', list(p)) + p[0] = PEUnary(Leaf(token.MINUS, '-'), p[3]) + + # { PEUnary*tmp = new PEUnary('-', p[3]); # FILE_NAME(tmp, @3); # p[0] = tmp; @@ -5310,6 +5660,9 @@ def p_expression_6(p): if(parse_debug): print('expression_6', list(p)) + p[0] = PEUnary(Leaf(token.TILDE, '~'), p[3]) + + # { PEUnary*tmp = new PEUnary('~', p[3]); # FILE_NAME(tmp, @3); # p[0] = tmp; @@ -5322,6 +5675,9 @@ def p_expression_7(p): if(parse_debug): print('expression_7', list(p)) + p[0] = PEUnary(Leaf(token.AMPER, '&'), p[3]) + + # { PEUnary*tmp = new PEUnary('&', p[3]); # FILE_NAME(tmp, @3); # p[0] = tmp; @@ -5334,6 +5690,9 @@ def p_expression_8(p): if(parse_debug): print('expression_8', list(p)) + p[0] = PEUnary(Leaf(token.STRING, '!'), p[3]) + + # { PEUnary*tmp = new PEUnary('!', p[3]); # FILE_NAME(tmp, @3); # p[0] = tmp; @@ -5346,6 +5705,9 @@ def p_expression_9(p): if(parse_debug): print('expression_9', list(p)) + p[0] = PEUnary(Leaf(token.STRING, '|'), p[3]) + + # { PEUnary*tmp = new PEUnary('|', p[3]); # FILE_NAME(tmp, @3); # p[0] = tmp; @@ -5358,6 +5720,9 @@ def p_expression_10(p): if(parse_debug): print('expression_10', list(p)) + p[0] = PEUnary(Leaf(token.STRING, '^'), p[3]) + + # { PEUnary*tmp = new PEUnary('^', p[3]); # FILE_NAME(tmp, @3); # p[0] = tmp; @@ -5370,6 +5735,7 @@ def p_expression_11(p): if(parse_debug): print('expression_11', list(p)) + # { yyerror(@1, "error: '~' '&' is not a valid expression. " # "Please use operator '~&' instead."); # p[0] = None @@ -5382,6 +5748,7 @@ def p_expression_12(p): if(parse_debug): print('expression_12', list(p)) + # { yyerror(@1, "error: '~' '|' is not a valid expression. " # "Please use operator '~|' instead."); # p[0] = None @@ -5394,6 +5761,7 @@ def p_expression_13(p): if(parse_debug): print('expression_13', list(p)) + # { yyerror(@1, "error: '~' '^' is not a valid expression. " # "Please use operator '~^' instead."); # p[0] = None @@ -5406,6 +5774,9 @@ def p_expression_14(p): if(parse_debug): print('expression_14', list(p)) + p[0] = PEUnary(Leaf(token.STRING, 'K_NAND'), p[3]) + + # { PEUnary*tmp = new PEUnary('A', p[3]); # FILE_NAME(tmp, @3); # p[0] = tmp; @@ -5418,6 +5789,9 @@ def p_expression_15(p): if(parse_debug): print('expression_15', list(p)) + p[0] = PEUnary(Leaf(token.STRING, 'K_NOR'), p[3]) + + # { PEUnary*tmp = new PEUnary('N', p[3]); # FILE_NAME(tmp, @3); # p[0] = tmp; @@ -5430,6 +5804,9 @@ def p_expression_16(p): if(parse_debug): print('expression_16', list(p)) + p[0] = PEUnary(Leaf(token.STRING, 'K_NXOR'), p[3]) + + # { PEUnary*tmp = new PEUnary('X', p[3]); # FILE_NAME(tmp, @3); # p[0] = tmp; @@ -5442,6 +5819,7 @@ def p_expression_17(p): if(parse_debug): print('expression_17', list(p)) + # { yyerror(@1, "error: Operand of unary ! " # "is not a primary expression."); # p[0] = None @@ -5454,6 +5832,7 @@ def p_expression_18(p): if(parse_debug): print('expression_18', list(p)) + # { yyerror(@1, "error: Operand of reduction ^ " # "is not a primary expression."); # p[0] = None @@ -5466,6 +5845,9 @@ def p_expression_19(p): if(parse_debug): print('expression_19', list(p)) + p[0] = PEBinary(Leaf(token.STRING, '^'), p[1], p[4]) + + # { PEBinary*tmp = new PEBinary('^', p[1], p[4]); # FILE_NAME(tmp, @2); # p[0] = tmp; @@ -5478,6 +5860,9 @@ def p_expression_20(p): if(parse_debug): print('expression_20', list(p)) + p[0] = PEBinary(Leaf(token.STRING, '**'), p[1], p[4]) + + # { PEBinary*tmp = new PEBPower('p', p[1], p[4]); # FILE_NAME(tmp, @2); # p[0] = tmp; @@ -5490,6 +5875,9 @@ def p_expression_21(p): if(parse_debug): print('expression_21', list(p)) + p[0] = PEBinary(Leaf(token.STRING, '*'), p[1], p[4]) + + # { PEBinary*tmp = new PEBinary('*', p[1], p[4]); # FILE_NAME(tmp, @2); # p[0] = tmp; @@ -5502,6 +5890,9 @@ def p_expression_22(p): if(parse_debug): print('expression_22', list(p)) + p[0] = PEBinary(Leaf(token.STRING, '/'), p[1], p[4]) + + # { PEBinary*tmp = new PEBinary('/', p[1], p[4]); # FILE_NAME(tmp, @2); # p[0] = tmp; @@ -5514,6 +5905,9 @@ def p_expression_23(p): if(parse_debug): print('expression_23', list(p)) + p[0] = PEBinary(Leaf(token.STRING, '%'), p[1], p[4]) + + # { PEBinary*tmp = new PEBinary('%', p[1], p[4]); # FILE_NAME(tmp, @2); # p[0] = tmp; @@ -5530,18 +5924,21 @@ def p_expression_24(p): # FILE_NAME(tmp, @2); # p[0] = tmp; # } + p[0] = PEBinary(Leaf(token.PLUS, '+'), p[1], p[4]) + + () def p_expression_25(p): '''expression : expression '-' attribute_list_opt expression ''' - if(parse_debug): + if(parse_debug > 2): print('expression_25', list(p)) # { PEBinary*tmp = new PEBinary('-', p[1], p[4]); # FILE_NAME(tmp, @2); # p[0] = tmp; # } - p[0] = Node(syms.atom, [p[1], Leaf(token.MINUS, '-'), p[4]]) + p[0] = PEBinary(Leaf(token.MINUS, '-'), p[1], p[4]) () @@ -5549,9 +5946,12 @@ def p_expression_25(p): def p_expression_26(p): '''expression : expression '&' attribute_list_opt expression ''' - if(parse_debug): + if(parse_debug > 2): print('expression_26', list(p)) + p[0] = PEBinary(Leaf(token.AMPER, '&'), p[1], p[4]) + + # { PEBinary*tmp = new PEBinary('&', p[1], p[4]); # FILE_NAME(tmp, @2); # p[0] = tmp; @@ -5561,9 +5961,11 @@ def p_expression_26(p): def p_expression_27(p): '''expression : expression '|' attribute_list_opt expression ''' - if(parse_debug): + if(parse_debug > 2): print('expression_27', list(p)) + p[0] = PEBinary(Leaf(token.VBAR, '|'), p[1], p[4]) + # { PEBinary*tmp = new PEBinary('|', p[1], p[4]); # FILE_NAME(tmp, @2); # p[0] = tmp; @@ -5576,6 +5978,9 @@ def p_expression_28(p): if(parse_debug): print('expression_28', list(p)) + p[0] = PEBinary(Leaf(token.STRING, '~&'), p[1], p[4]) + + # { PEBinary*tmp = new PEBinary('A', p[1], p[4]); # FILE_NAME(tmp, @2); # p[0] = tmp; @@ -5588,6 +5993,9 @@ def p_expression_29(p): if(parse_debug): print('expression_29', list(p)) + p[0] = PEBinary(Leaf(token.STRING, '~|'), p[1], p[4]) + + # { PEBinary*tmp = new PEBinary('O', p[1], p[4]); # FILE_NAME(tmp, @2); # p[0] = tmp; @@ -5600,6 +6008,9 @@ def p_expression_30(p): if(parse_debug): print('expression_30', list(p)) + p[0] = PEBinary(Leaf(token.STRING, 'K_XNOR'), p[1], p[4]) + + # { PEBinary*tmp = new PEBinary('X', p[1], p[4]); # FILE_NAME(tmp, @2); # p[0] = tmp; @@ -5612,6 +6023,9 @@ def p_expression_31(p): if(parse_debug): print('expression_31', list(p)) + p[0] = PEBinary(Leaf(token.STRING, '<'), p[1], p[4]) + + # { PEBinary*tmp = new PEBComp('<', p[1], p[4]); # FILE_NAME(tmp, @2); # p[0] = tmp; @@ -5624,6 +6038,9 @@ def p_expression_32(p): if(parse_debug): print('expression_32', list(p)) + p[0] = PEBinary(Leaf(token.STRING, '>'), p[1], p[4]) + + # { PEBinary*tmp = new PEBComp('>', p[1], p[4]); # FILE_NAME(tmp, @2); # p[0] = tmp; @@ -5636,6 +6053,9 @@ def p_expression_33(p): if(parse_debug): print('expression_33', list(p)) + p[0] = PEBinary(Leaf(token.STRING, 'K_LS'), p[1], p[4]) + + # { PEBinary*tmp = new PEBShift('l', p[1], p[4]); # FILE_NAME(tmp, @2); # p[0] = tmp; @@ -5648,6 +6068,9 @@ def p_expression_34(p): if(parse_debug): print('expression_34', list(p)) + p[0] = PEBinary(Leaf(token.STRING, 'K_RS'), p[1], p[4]) + + # { PEBinary*tmp = new PEBShift('r', p[1], p[4]); # FILE_NAME(tmp, @2); # p[0] = tmp; @@ -5660,6 +6083,9 @@ def p_expression_35(p): if(parse_debug): print('expression_35', list(p)) + p[0] = PEBinary(Leaf(token.STRING, 'K_RSS'), p[1], p[4]) + + # { PEBinary*tmp = new PEBShift('R', p[1], p[4]); # FILE_NAME(tmp, @2); # p[0] = tmp; @@ -5672,6 +6098,9 @@ def p_expression_36(p): if(parse_debug): print('expression_36', list(p)) + p[0] = PEBinary(Leaf(token.STRING, '=='), p[1], p[4]) + + # { PEBinary*tmp = new PEBComp('e', p[1], p[4]); # FILE_NAME(tmp, @2); # p[0] = tmp; @@ -5684,6 +6113,9 @@ def p_expression_37(p): if(parse_debug): print('expression_37', list(p)) + p[0] = PEBinary(Leaf(token.STRING, 'K_CEQ'), p[1], p[4]) + + # { PEBinary*tmp = new PEBComp('E', p[1], p[4]); # FILE_NAME(tmp, @2); # p[0] = tmp; @@ -5696,6 +6128,9 @@ def p_expression_38(p): if(parse_debug): print('expression_38', list(p)) + p[0] = PEBinary(Leaf(token.STRING, 'K_WEQ'), p[1], p[4]) + + # { PEBinary*tmp = new PEBComp('w', p[1], p[4]); # FILE_NAME(tmp, @2); # p[0] = tmp; @@ -5708,6 +6143,9 @@ def p_expression_39(p): if(parse_debug): print('expression_39', list(p)) + p[0] = PEBinary(Leaf(token.STRING, '<='), p[1], p[4]) + + # { PEBinary*tmp = new PEBComp('L', p[1], p[4]); # FILE_NAME(tmp, @2); # p[0] = tmp; @@ -5720,6 +6158,9 @@ def p_expression_40(p): if(parse_debug): print('expression_40', list(p)) + p[0] = PEBinary(Leaf(token.STRING, '>='), p[1], p[4]) + + # { PEBinary*tmp = new PEBComp('G', p[1], p[4]); # FILE_NAME(tmp, @2); # p[0] = tmp; @@ -5732,6 +6173,9 @@ def p_expression_41(p): if(parse_debug): print('expression_41', list(p)) + p[0] = PEBinary(Leaf(token.STRING, '!='), p[1], p[4]) + + # { PEBinary*tmp = new PEBComp('n', p[1], p[4]); # FILE_NAME(tmp, @2); # p[0] = tmp; @@ -5744,6 +6188,9 @@ def p_expression_42(p): if(parse_debug): print('expression_42', list(p)) + p[0] = PEBinary(Leaf(token.STRING, 'K_CNE'), p[1], p[4]) + + # { PEBinary*tmp = new PEBComp('N', p[1], p[4]); # FILE_NAME(tmp, @2); # p[0] = tmp; @@ -5756,6 +6203,9 @@ def p_expression_43(p): if(parse_debug): print('expression_43', list(p)) + p[0] = PEBinary(Leaf(token.STRING, 'K_WNE'), p[1], p[4]) + + # { PEBinary*tmp = new PEBComp('W', p[1], p[4]); # FILE_NAME(tmp, @2); # p[0] = tmp; @@ -5768,6 +6218,9 @@ def p_expression_44(p): if(parse_debug): print('expression_44', list(p)) + p[0] = PEBinary(Leaf(token.STRING, '||'), p[1], p[4]) + + # { PEBinary*tmp = new PEBLogic('o', p[1], p[4]); # FILE_NAME(tmp, @2); # p[0] = tmp; @@ -5780,6 +6233,9 @@ def p_expression_45(p): if(parse_debug): print('expression_45', list(p)) + p[0] = PEBinary(Leaf(token.STRING, '&&'), p[1], p[4]) + + # { PEBinary*tmp = new PEBLogic('a', p[1], p[4]); # FILE_NAME(tmp, @2); # p[0] = tmp; @@ -5792,6 +6248,13 @@ def p_expression_46(p): if(parse_debug): print('expression_46', list(p)) + try: + p[0] = Node(syms.atom, [p[1], Leaf(token.STRING, ' ? '), + p[4], Leaf(token.STRING, ' : '), p[6]]) + except: + p[0] = "error in PETernary" + + # { PETernary*tmp = new PETernary(p[1], p[4], p[6]); # FILE_NAME(tmp, @2); # p[0] = tmp; @@ -5814,6 +6277,7 @@ def p_expr_mintypmax_2(p): if(parse_debug): print('expr_mintypmax_2', list(p)) + # { switch (min_typ_max_flag) { # case MIN: # p[0] = p[1]; @@ -5856,6 +6320,7 @@ def p_expression_list_with_nuls_1(p): if(parse_debug): print('expression_list_with_nuls_1', list(p)) + # { list*tmp = p[1]; # tmp->push_back(p[3]); # p[0] = tmp; @@ -5868,6 +6333,7 @@ def p_expression_list_with_nuls_2(p): if(parse_debug): print('expression_list_with_nuls_2', list(p)) + # { list*tmp = new list; # tmp->push_back(p[1]); # p[0] = tmp; @@ -5880,6 +6346,7 @@ def p_expression_list_with_nuls_3(p): if(parse_debug): print('expression_list_with_nuls_3', list(p)) + # { list*tmp = new list; # tmp->push_back(0); # p[0] = tmp; @@ -5892,6 +6359,7 @@ def p_expression_list_with_nuls_4(p): if(parse_debug): print('expression_list_with_nuls_4', list(p)) + # { list*tmp = p[1]; # tmp->push_back(0); # p[0] = tmp; @@ -5904,6 +6372,7 @@ def p_expression_list_proper_1(p): if(parse_debug): print('expression_list_proper_1', list(p)) + # { list*tmp = p[1]; # tmp->push_back(p[3]); # p[0] = tmp; @@ -5916,6 +6385,7 @@ def p_expression_list_proper_2(p): if(parse_debug): print('expression_list_proper_2', list(p)) + # { list*tmp = new list; # tmp->push_back(p[1]); # p[0] = tmp; @@ -5939,6 +6409,7 @@ def p_expr_primary_or_typename_2(p): print('expr_primary_or_typename_2', list(p)) p[0] = p[1] + # { PETypename*tmp = new PETypename(p[1].type); # FILE_NAME(tmp,@1); # p[0] = tmp; @@ -5953,6 +6424,7 @@ def p_expr_primary_1(p): print('expr_primary_1', list(p)) p[0] = p[1] + # { assert(p[1]); # PENumber*tmp = new PENumber(p[1]); # FILE_NAME(tmp, @1); @@ -5966,6 +6438,7 @@ def p_expr_primary_2(p): if(parse_debug): print('expr_primary_2', list(p)) + # { PEFNumber*tmp = new PEFNumber(p[1]); # FILE_NAME(tmp, @1); # p[0] = tmp; @@ -5978,6 +6451,7 @@ def p_expr_primary_3(p): if(parse_debug): print('expr_primary_3', list(p)) + # { PEString*tmp = new PEString(p[1]); # FILE_NAME(tmp, @1); # p[0] = tmp; @@ -5990,6 +6464,7 @@ def p_expr_primary_4(p): if(parse_debug): print('expr_primary_4', list(p)) + # { int unit; # # based_size = 0; @@ -6013,6 +6488,7 @@ def p_expr_primary_5(p): if(parse_debug): print('expr_primary_5', list(p)) + # { perm_string tn = lex_strings.make(p[1]); # PECallFunction*tmp = new PECallFunction(tn); # FILE_NAME(tmp, @1); @@ -6028,6 +6504,7 @@ def p_expr_primary_6(p): print('expr_primary_6', list(p)) p[0] = p[1] + # { PEIdent*tmp = pform_new_ident(*p[1]); # FILE_NAME(tmp, @1); # p[0] = tmp; @@ -6041,6 +6518,7 @@ def p_expr_primary_7(p): if(parse_debug): print('expr_primary_7', list(p)) + # { p[0] = pform_package_ident(@2, p[1], p[3]); # delete p[3]; # } @@ -6052,6 +6530,7 @@ def p_expr_primary_8(p): if(parse_debug): print('expr_primary_8', list(p)) + # { list*expr_list = p[3]; # strip_tail_items(expr_list); # PECallFunction*tmp = pform_make_call_function(@1, *p[1], *expr_list); @@ -6066,6 +6545,7 @@ def p_expr_primary_9(p): if(parse_debug): print('expr_primary_9', list(p)) + # { pform_name_t*t_name = p[1]; # while (! p[3]->empty()) { # t_name->push_back(p[3]->front()); @@ -6086,6 +6566,7 @@ def p_expr_primary_10(p): if(parse_debug): print('expr_primary_10', list(p)) + # { perm_string tn = lex_strings.make(p[1]); # PECallFunction*tmp = new PECallFunction(tn, *p[3]); # FILE_NAME(tmp, @1); @@ -6100,6 +6581,7 @@ def p_expr_primary_11(p): if(parse_debug): print('expr_primary_11', list(p)) + # { perm_string use_name = lex_strings.make(p[3]); # PECallFunction*tmp = new PECallFunction(p[1], use_name, *p[5]); # FILE_NAME(tmp, @3); @@ -6114,6 +6596,7 @@ def p_expr_primary_12(p): if(parse_debug): print('expr_primary_12', list(p)) + # { perm_string tn = lex_strings.make(p[1]); # const vectorempty; # PECallFunction*tmp = new PECallFunction(tn, empty); @@ -6132,6 +6615,7 @@ def p_expr_primary_13(p): if(parse_debug): print('expr_primary_13', list(p)) + # { PEIdent*tmp = new PEIdent(*p[1]); # FILE_NAME(tmp,@1); # delete p[1]; @@ -6145,6 +6629,7 @@ def p_expr_primary_14(p): if(parse_debug): print('expr_primary_14', list(p)) + # { pform_name_t*t_name = p[1]; # while (! p[3]->empty()) { # t_name->push_back(p[3]->front()); @@ -6164,6 +6649,7 @@ def p_expr_primary_15(p): if(parse_debug): print('expr_primary_15', list(p)) + # { perm_string tn = perm_string::literal("$acos"); # PECallFunction*tmp = make_call_function(tn, p[3]); # FILE_NAME(tmp,@1); @@ -6177,6 +6663,7 @@ def p_expr_primary_16(p): if(parse_debug): print('expr_primary_16', list(p)) + # { perm_string tn = perm_string::literal("$acosh"); # PECallFunction*tmp = make_call_function(tn, p[3]); # FILE_NAME(tmp,@1); @@ -6190,6 +6677,7 @@ def p_expr_primary_17(p): if(parse_debug): print('expr_primary_17', list(p)) + # { perm_string tn = perm_string::literal("$asin"); # PECallFunction*tmp = make_call_function(tn, p[3]); # FILE_NAME(tmp,@1); @@ -6203,6 +6691,7 @@ def p_expr_primary_18(p): if(parse_debug): print('expr_primary_18', list(p)) + # { perm_string tn = perm_string::literal("$asinh"); # PECallFunction*tmp = make_call_function(tn, p[3]); # FILE_NAME(tmp,@1); @@ -6216,6 +6705,7 @@ def p_expr_primary_19(p): if(parse_debug): print('expr_primary_19', list(p)) + # { perm_string tn = perm_string::literal("$atan"); # PECallFunction*tmp = make_call_function(tn, p[3]); # FILE_NAME(tmp,@1); @@ -6229,6 +6719,7 @@ def p_expr_primary_20(p): if(parse_debug): print('expr_primary_20', list(p)) + # { perm_string tn = perm_string::literal("$atanh"); # PECallFunction*tmp = make_call_function(tn, p[3]); # FILE_NAME(tmp,@1); @@ -6242,6 +6733,7 @@ def p_expr_primary_21(p): if(parse_debug): print('expr_primary_21', list(p)) + # { perm_string tn = perm_string::literal("$atan2"); # PECallFunction*tmp = make_call_function(tn, p[3], p[5]); # FILE_NAME(tmp,@1); @@ -6255,6 +6747,7 @@ def p_expr_primary_22(p): if(parse_debug): print('expr_primary_22', list(p)) + # { perm_string tn = perm_string::literal("$ceil"); # PECallFunction*tmp = make_call_function(tn, p[3]); # FILE_NAME(tmp,@1); @@ -6268,6 +6761,7 @@ def p_expr_primary_23(p): if(parse_debug): print('expr_primary_23', list(p)) + # { perm_string tn = perm_string::literal("$cos"); # PECallFunction*tmp = make_call_function(tn, p[3]); # FILE_NAME(tmp,@1); @@ -6281,6 +6775,7 @@ def p_expr_primary_24(p): if(parse_debug): print('expr_primary_24', list(p)) + # { perm_string tn = perm_string::literal("$cosh"); # PECallFunction*tmp = make_call_function(tn, p[3]); # FILE_NAME(tmp,@1); @@ -6294,6 +6789,7 @@ def p_expr_primary_25(p): if(parse_debug): print('expr_primary_25', list(p)) + # { perm_string tn = perm_string::literal("$exp"); # PECallFunction*tmp = make_call_function(tn, p[3]); # FILE_NAME(tmp,@1); @@ -6307,6 +6803,7 @@ def p_expr_primary_26(p): if(parse_debug): print('expr_primary_26', list(p)) + # { perm_string tn = perm_string::literal("$floor"); # PECallFunction*tmp = make_call_function(tn, p[3]); # FILE_NAME(tmp,@1); @@ -6320,6 +6817,7 @@ def p_expr_primary_27(p): if(parse_debug): print('expr_primary_27', list(p)) + # { perm_string tn = perm_string::literal("$hypot"); # PECallFunction*tmp = make_call_function(tn, p[3], p[5]); # FILE_NAME(tmp,@1); @@ -6333,6 +6831,7 @@ def p_expr_primary_28(p): if(parse_debug): print('expr_primary_28', list(p)) + # { perm_string tn = perm_string::literal("$ln"); # PECallFunction*tmp = make_call_function(tn, p[3]); # FILE_NAME(tmp,@1); @@ -6346,6 +6845,7 @@ def p_expr_primary_29(p): if(parse_debug): print('expr_primary_29', list(p)) + # { perm_string tn = perm_string::literal("$log10"); # PECallFunction*tmp = make_call_function(tn, p[3]); # FILE_NAME(tmp,@1); @@ -6359,6 +6859,7 @@ def p_expr_primary_30(p): if(parse_debug): print('expr_primary_30', list(p)) + # { perm_string tn = perm_string::literal("$pow"); # PECallFunction*tmp = make_call_function(tn, p[3], p[5]); # FILE_NAME(tmp,@1); @@ -6372,6 +6873,7 @@ def p_expr_primary_31(p): if(parse_debug): print('expr_primary_31', list(p)) + # { perm_string tn = perm_string::literal("$sin"); # PECallFunction*tmp = make_call_function(tn, p[3]); # FILE_NAME(tmp,@1); @@ -6385,6 +6887,7 @@ def p_expr_primary_32(p): if(parse_debug): print('expr_primary_32', list(p)) + # { perm_string tn = perm_string::literal("$sinh"); # PECallFunction*tmp = make_call_function(tn, p[3]); # FILE_NAME(tmp,@1); @@ -6398,6 +6901,7 @@ def p_expr_primary_33(p): if(parse_debug): print('expr_primary_33', list(p)) + # { perm_string tn = perm_string::literal("$sqrt"); # PECallFunction*tmp = make_call_function(tn, p[3]); # FILE_NAME(tmp,@1); @@ -6411,6 +6915,7 @@ def p_expr_primary_34(p): if(parse_debug): print('expr_primary_34', list(p)) + # { perm_string tn = perm_string::literal("$tan"); # PECallFunction*tmp = make_call_function(tn, p[3]); # FILE_NAME(tmp,@1); @@ -6424,6 +6929,7 @@ def p_expr_primary_35(p): if(parse_debug): print('expr_primary_35', list(p)) + # { perm_string tn = perm_string::literal("$tanh"); # PECallFunction*tmp = make_call_function(tn, p[3]); # FILE_NAME(tmp,@1); @@ -6437,6 +6943,7 @@ def p_expr_primary_36(p): if(parse_debug): print('expr_primary_36', list(p)) + # { PEUnary*tmp = new PEUnary('m', p[3]); # FILE_NAME(tmp,@1); # p[0] = tmp; @@ -6449,6 +6956,7 @@ def p_expr_primary_37(p): if(parse_debug): print('expr_primary_37', list(p)) + # { PEBinary*tmp = new PEBinary('M', p[3], p[5]); # FILE_NAME(tmp,@1); # p[0] = tmp; @@ -6461,6 +6969,7 @@ def p_expr_primary_38(p): if(parse_debug): print('expr_primary_38', list(p)) + # { PEBinary*tmp = new PEBinary('m', p[3], p[5]); # FILE_NAME(tmp,@1); # p[0] = tmp; @@ -6483,6 +6992,7 @@ def p_expr_primary_40(p): if(parse_debug): print('expr_primary_40', list(p)) + # { PEConcat*tmp = new PEConcat(*p[2]); # FILE_NAME(tmp, @1); # delete p[2]; @@ -6496,6 +7006,7 @@ def p_expr_primary_41(p): if(parse_debug): print('expr_primary_41', list(p)) + # { PExpr*rep = p[2]; # PEConcat*tmp = new PEConcat(*p[4], rep); # FILE_NAME(tmp, @1); @@ -6510,6 +7021,7 @@ def p_expr_primary_42(p): if(parse_debug): print('expr_primary_42', list(p)) + # { PExpr*rep = p[2]; # PEConcat*tmp = new PEConcat(*p[4], rep); # FILE_NAME(tmp, @1); @@ -6527,6 +7039,7 @@ def p_expr_primary_43(p): if(parse_debug): print('expr_primary_43', list(p)) + # { // This is the empty queue syntax. # if (gn_system_verilog()) { # list empty_list; @@ -6546,6 +7059,7 @@ def p_expr_primary_44(p): if(parse_debug): print('expr_primary_44', list(p)) + # { PExpr*base = p[4]; # if (gn_system_verilog()) { # PECastSize*tmp = new PECastSize(p[1], base); @@ -6564,6 +7078,7 @@ def p_expr_primary_45(p): if(parse_debug): print('expr_primary_45', list(p)) + # { PExpr*base = p[4]; # if (gn_system_verilog()) { # PECastType*tmp = new PECastType(p[1], base); @@ -6602,6 +7117,7 @@ def p_expr_primary_48(p): if(parse_debug): print('expr_primary_48', list(p)) + # { PENull*tmp = new PENull; # FILE_NAME(tmp, @1); # p[0] = tmp; @@ -6624,6 +7140,7 @@ def p_function_item_list_opt_2(p): if(parse_debug): print('function_item_list_opt_2', list(p)) + # { p[0] = None } () @@ -6643,6 +7160,7 @@ def p_function_item_list_2(p): if(parse_debug): print('function_item_list_2', list(p)) + # { /* */ # if (p[1] && p[2]) { # vector*tmp = p[1]; @@ -6676,6 +7194,7 @@ def p_function_item_2(p): if(parse_debug): print('function_item_2', list(p)) + # { p[0] = None } () @@ -6685,6 +7204,7 @@ def p_gate_instance_1(p): if(parse_debug): print('gate_instance_1', list(p)) + # { lgate*tmp = new lgate; # tmp->name = p[1]; # tmp->parms = p[3]; @@ -6701,6 +7221,7 @@ def p_gate_instance_2(p): if(parse_debug): print('gate_instance_2', list(p)) + # { lgate*tmp = new lgate; # list*rng = p[2]; # tmp->name = p[1]; @@ -6722,6 +7243,7 @@ def p_gate_instance_3(p): if(parse_debug): print('gate_instance_3', list(p)) + # { lgate*tmp = new lgate; # tmp->name = ""; # tmp->parms = p[2]; @@ -6737,6 +7259,7 @@ def p_gate_instance_4(p): if(parse_debug): print('gate_instance_4', list(p)) + # { lgate*tmp = new lgate; # list*rng = p[2]; # tmp->name = p[1]; @@ -6759,6 +7282,7 @@ def p_gate_instance_5(p): if(parse_debug): print('gate_instance_5', list(p)) + # { lgate*tmp = new lgate; # tmp->name = p[1]; # tmp->parms = 0; @@ -6776,6 +7300,7 @@ def p_gate_instance_6(p): if(parse_debug): print('gate_instance_6', list(p)) + # { lgate*tmp = new lgate; # list*rng = p[2]; # tmp->name = p[1]; @@ -6798,6 +7323,7 @@ def p_gate_instance_7(p): if(parse_debug): print('gate_instance_7', list(p)) + # { lgate*tmp = new lgate; # tmp->name = p[1]; # tmp->parms = 0; @@ -6817,6 +7343,7 @@ def p_gate_instance_8(p): if(parse_debug): print('gate_instance_8', list(p)) + # { lgate*tmp = new lgate; # tmp->name = p[1]; # tmp->parms = 0; @@ -6836,6 +7363,7 @@ def p_gate_instance_list_1(p): if(parse_debug): print('gate_instance_list_1', list(p)) + # { svector*tmp1 = p[1]; # lgate*tmp2 = p[3]; # svector*out = new svector (*tmp1, *tmp2); @@ -6851,6 +7379,7 @@ def p_gate_instance_list_2(p): if(parse_debug): print('gate_instance_list_2', list(p)) + # { svector*tmp = new svector(1); # (*tmp)[0] = *p[1]; # delete p[1]; @@ -6864,6 +7393,7 @@ def p_gatetype_1(p): if(parse_debug): print('gatetype_1', list(p)) + # { p[0] = PGBuiltin::AND; } () @@ -6873,6 +7403,7 @@ def p_gatetype_2(p): if(parse_debug): print('gatetype_2', list(p)) + # { p[0] = PGBuiltin::NAND; } () @@ -6882,6 +7413,7 @@ def p_gatetype_3(p): if(parse_debug): print('gatetype_3', list(p)) + # { p[0] = PGBuiltin::OR; } () @@ -6891,6 +7423,7 @@ def p_gatetype_4(p): if(parse_debug): print('gatetype_4', list(p)) + # { p[0] = PGBuiltin::NOR; } () @@ -6900,6 +7433,7 @@ def p_gatetype_5(p): if(parse_debug): print('gatetype_5', list(p)) + # { p[0] = PGBuiltin::XOR; } () @@ -6909,6 +7443,7 @@ def p_gatetype_6(p): if(parse_debug): print('gatetype_6', list(p)) + # { p[0] = PGBuiltin::XNOR; } () @@ -6918,6 +7453,7 @@ def p_gatetype_7(p): if(parse_debug): print('gatetype_7', list(p)) + # { p[0] = PGBuiltin::BUF; } () @@ -6927,6 +7463,7 @@ def p_gatetype_8(p): if(parse_debug): print('gatetype_8', list(p)) + # { p[0] = PGBuiltin::BUFIF0; } () @@ -6936,6 +7473,7 @@ def p_gatetype_9(p): if(parse_debug): print('gatetype_9', list(p)) + # { p[0] = PGBuiltin::BUFIF1; } () @@ -6945,6 +7483,7 @@ def p_gatetype_10(p): if(parse_debug): print('gatetype_10', list(p)) + # { p[0] = PGBuiltin::NOT; } () @@ -6954,6 +7493,7 @@ def p_gatetype_11(p): if(parse_debug): print('gatetype_11', list(p)) + # { p[0] = PGBuiltin::NOTIF0; } () @@ -6963,6 +7503,7 @@ def p_gatetype_12(p): if(parse_debug): print('gatetype_12', list(p)) + # { p[0] = PGBuiltin::NOTIF1; } () @@ -6972,6 +7513,7 @@ def p_switchtype_1(p): if(parse_debug): print('switchtype_1', list(p)) + # { p[0] = PGBuiltin::NMOS; } () @@ -6981,6 +7523,7 @@ def p_switchtype_2(p): if(parse_debug): print('switchtype_2', list(p)) + # { p[0] = PGBuiltin::RNMOS; } () @@ -6990,6 +7533,7 @@ def p_switchtype_3(p): if(parse_debug): print('switchtype_3', list(p)) + # { p[0] = PGBuiltin::PMOS; } () @@ -6999,6 +7543,7 @@ def p_switchtype_4(p): if(parse_debug): print('switchtype_4', list(p)) + # { p[0] = PGBuiltin::RPMOS; } () @@ -7008,6 +7553,7 @@ def p_switchtype_5(p): if(parse_debug): print('switchtype_5', list(p)) + # { p[0] = PGBuiltin::CMOS; } () @@ -7017,6 +7563,7 @@ def p_switchtype_6(p): if(parse_debug): print('switchtype_6', list(p)) + # { p[0] = PGBuiltin::RCMOS; } () @@ -7026,6 +7573,7 @@ def p_switchtype_7(p): if(parse_debug): print('switchtype_7', list(p)) + # { p[0] = PGBuiltin::TRAN; } () @@ -7035,6 +7583,7 @@ def p_switchtype_8(p): if(parse_debug): print('switchtype_8', list(p)) + # { p[0] = PGBuiltin::RTRAN; } () @@ -7044,6 +7593,7 @@ def p_switchtype_9(p): if(parse_debug): print('switchtype_9', list(p)) + # { p[0] = PGBuiltin::TRANIF0; } () @@ -7053,6 +7603,7 @@ def p_switchtype_10(p): if(parse_debug): print('switchtype_10', list(p)) + # { p[0] = PGBuiltin::TRANIF1; } () @@ -7062,6 +7613,7 @@ def p_switchtype_11(p): if(parse_debug): print('switchtype_11', list(p)) + # { p[0] = PGBuiltin::RTRANIF0; } () @@ -7071,6 +7623,7 @@ def p_switchtype_12(p): if(parse_debug): print('switchtype_12', list(p)) + # { p[0] = PGBuiltin::RTRANIF1; } () @@ -7082,6 +7635,7 @@ def p_hierarchy_identifier_1(p): lpvalue = Leaf(token.NAME, p[1]) p[0] = lpvalue + # { p[0] = new pform_name_t; # p[0]->push_back(name_component_t(lex_strings.make(p[1]))); # delete[]p[1]; @@ -7094,6 +7648,7 @@ def p_hierarchy_identifier_2(p): if(parse_debug): print('hierarchy_identifier_2', list(p)) + # { pform_name_t * tmp = p[1]; # tmp->push_back(name_component_t(lex_strings.make(p[3]))); # delete[]p[3]; @@ -7107,6 +7662,10 @@ def p_hierarchy_identifier_3(p): if(parse_debug): print('hierarchy_identifier_3', list(p)) + p[0] = Node(syms.atom, [p[1], Leaf( + token.STRING, '['), p[3], Leaf(token.STRING, ']')]) + + # { pform_name_t * tmp = p[1]; # name_component_t&tail = tmp->back(); # index_component_t itmp; @@ -7123,6 +7682,7 @@ def p_hierarchy_identifier_4(p): if(parse_debug): print('hierarchy_identifier_4', list(p)) + # { pform_name_t * tmp = p[1]; # name_component_t&tail = tmp->back(); # if (! gn_system_verilog()) { @@ -7144,6 +7704,7 @@ def p_hierarchy_identifier_5(p): if(parse_debug): print('hierarchy_identifier_5', list(p)) + # { pform_name_t * tmp = p[1]; # name_component_t&tail = tmp->back(); # index_component_t itmp; @@ -7161,6 +7722,7 @@ def p_hierarchy_identifier_6(p): if(parse_debug): print('hierarchy_identifier_6', list(p)) + # { pform_name_t * tmp = p[1]; # name_component_t&tail = tmp->back(); # index_component_t itmp; @@ -7178,6 +7740,7 @@ def p_hierarchy_identifier_7(p): if(parse_debug): print('hierarchy_identifier_7', list(p)) + # { pform_name_t * tmp = p[1]; # name_component_t&tail = tmp->back(); # index_component_t itmp; @@ -7195,6 +7758,7 @@ def p_list_of_identifiers_1(p): if(parse_debug): print('list_of_identifiers_1', list(p)) + # { p[0] = list_from_identifier(p[1]); } () @@ -7204,6 +7768,7 @@ def p_list_of_identifiers_2(p): if(parse_debug): print('list_of_identifiers_2', list(p)) + # { p[0] = list_from_identifier(p[1], p[3]); } () @@ -7213,6 +7778,7 @@ def p_list_of_port_identifiers_1(p): if(parse_debug): print('list_of_port_identifiers_1', list(p)) + # { p[0] = make_port_list(p[1], p[2], 0); } () @@ -7222,6 +7788,7 @@ def p_list_of_port_identifiers_2(p): if(parse_debug): print('list_of_port_identifiers_2', list(p)) + # { p[0] = make_port_list(p[1], p[3], p[4], 0); } () @@ -7231,6 +7798,7 @@ def p_list_of_variable_port_identifiers_1(p): if(parse_debug): print('list_of_variable_port_identifiers_1', list(p)) + # { p[0] = make_port_list(p[1], p[2], 0); } () @@ -7240,6 +7808,7 @@ def p_list_of_variable_port_identifiers_2(p): if(parse_debug): print('list_of_variable_port_identifiers_2', list(p)) + # { p[0] = make_port_list(p[1], p[2], p[4]); } () @@ -7249,6 +7818,7 @@ def p_list_of_variable_port_identifiers_3(p): if(parse_debug): print('list_of_variable_port_identifiers_3', list(p)) + # { p[0] = make_port_list(p[1], p[3], p[4], 0); } () @@ -7258,6 +7828,7 @@ def p_list_of_variable_port_identifiers_4(p): if(parse_debug): print('list_of_variable_port_identifiers_4', list(p)) + # { p[0] = make_port_list(p[1], p[3], p[4], p[6]); } () @@ -7267,6 +7838,7 @@ def p_list_of_ports_1(p): if(parse_debug): print('list_of_ports_1', list(p)) + # { vector*tmp # = new vector(1); # (*tmp)[0] = p[1]; @@ -7280,6 +7852,7 @@ def p_list_of_ports_2(p): if(parse_debug): print('list_of_ports_2', list(p)) + # { vector*tmp = p[1]; # tmp->push_back(p[3]); # p[0] = tmp; @@ -7293,6 +7866,7 @@ def p_list_of_port_declarations_1(p): print('list_of_port_declarations_1', list(p)) p[0] = [p[1]] + # { vector*tmp # = new vector(1); # (*tmp)[0] = p[1]; @@ -7310,6 +7884,7 @@ def p_list_of_port_declarations_2(p): p[1].append(p[3]) p[0] = p[1] + # { vector*tmp = p[1]; # tmp->push_back(p[3]); # p[0] = tmp; @@ -7322,6 +7897,7 @@ def p_list_of_port_declarations_3(p): if(parse_debug): print('list_of_port_declarations_3', list(p)) + # { Module::port_t*ptmp; # perm_string name = lex_strings.make(p[3]); # ptmp = pform_module_port_reference(name, @3.text, @@ -7347,6 +7923,7 @@ def p_list_of_port_declarations_4(p): if(parse_debug): print('list_of_port_declarations_4', list(p)) + # { # yyerror(@2, "error: NULL port declarations are not " # "allowed."); @@ -7359,6 +7936,7 @@ def p_list_of_port_declarations_5(p): if(parse_debug): print('list_of_port_declarations_5', list(p)) + # { # yyerror(@2, "error: ';' is an invalid port declaration " # "separator."); @@ -7373,6 +7951,7 @@ def p_port_declaration_1(p): comment, dt, name = p[2], p[4], p[5] p[0] = absyn.port_decl(comment, dt, name) + # { Module::port_t*ptmp; # perm_string name = lex_strings.make(p[5]); # data_type_t*use_type = p[4]; @@ -7393,6 +7972,7 @@ def p_port_declaration_2(p): if(parse_debug): print('port_declaration_2', list(p)) + # { Module::port_t*ptmp; # perm_string name = lex_strings.make(p[4]); # ptmp = pform_module_port_reference(name, @2.text, @@ -7415,6 +7995,7 @@ def p_port_declaration_3(p): if(parse_debug): print('port_declaration_3', list(p)) + # { Module::port_t*ptmp; # perm_string name = lex_strings.make(p[5]); # ptmp = pform_module_port_reference(name, @2.text, @2.first_line); @@ -7437,6 +8018,7 @@ def p_port_declaration_4(p): if(parse_debug): print('port_declaration_4', list(p)) + # { Module::port_t*ptmp; # perm_string name = lex_strings.make(p[4]); # ptmp = pform_module_port_reference(name, @2.text, @@ -7461,6 +8043,7 @@ def p_port_declaration_5(p): comment, dt, name = p[2], p[4], p[5] p[0] = absyn.port_decl(comment, dt, name) + # { Module::port_t*ptmp; # perm_string name = lex_strings.make(p[5]); # data_type_t*use_dtype = p[4]; @@ -7504,6 +8087,7 @@ def p_port_declaration_6(p): if(parse_debug): print('port_declaration_6', list(p)) + # { Module::port_t*ptmp; # perm_string name = lex_strings.make(p[4]); # ptmp = pform_module_port_reference(name, @2.text, @@ -7526,6 +8110,7 @@ def p_port_declaration_7(p): if(parse_debug): print('port_declaration_7', list(p)) + # { Module::port_t*ptmp; # perm_string name = lex_strings.make(p[5]); # NetNet::Type use_type = p[3]; @@ -7638,6 +8223,7 @@ def p_atom2_type_1(p): if(parse_debug): print('atom2_type_1', list(p)) + # { p[0] = 8; } () @@ -7647,6 +8233,7 @@ def p_atom2_type_2(p): if(parse_debug): print('atom2_type_2', list(p)) + # { p[0] = 16; } () @@ -7656,6 +8243,7 @@ def p_atom2_type_3(p): if(parse_debug): print('atom2_type_3', list(p)) + # { p[0] = 32; } () @@ -7665,6 +8253,7 @@ def p_atom2_type_4(p): if(parse_debug): print('atom2_type_4', list(p)) + # { p[0] = 64; } () @@ -7675,6 +8264,7 @@ def p_lpvalue_1(p): print('lpvalue_1', list(p)) p[0] = p[1] + # { PEIdent*tmp = pform_new_ident(*p[1]); # FILE_NAME(tmp, @1); # p[0] = tmp; @@ -7688,6 +8278,7 @@ def p_lpvalue_2(p): if(parse_debug): print('lpvalue_2', list(p)) + # { pform_name_t*t_name = p[1]; # while (!p[3]->empty()) { # t_name->push_back(p[3]->front()); @@ -7707,6 +8298,7 @@ def p_lpvalue_3(p): if(parse_debug): print('lpvalue_3', list(p)) + # { PEConcat*tmp = new PEConcat(*p[2]); # FILE_NAME(tmp, @1); # delete p[2]; @@ -7720,6 +8312,7 @@ def p_lpvalue_4(p): if(parse_debug): print('lpvalue_4', list(p)) + # { yyerror(@1, "sorry: streaming concatenation not supported in l-values."); # p[0] = None # } @@ -7732,6 +8325,7 @@ def p_cont_assign_1(p): print('cont_assign_1', list(p)) absyn.cont_assign_1(p) + # { list*tmp = new list; # tmp->push_back(p[1]); # tmp->push_back(p[3]); @@ -7745,6 +8339,7 @@ def p_cont_assign_list_1(p): if(parse_debug): print('cont_assign_list_1', list(p)) + # { list*tmp = p[1]; # tmp->splice(tmp->end(), *p[3]); # delete p[3]; @@ -7777,6 +8372,7 @@ def p_module_1(p): def p__embed0_module(p): '''_embed0_module : ''' + # { pform_startmodule(@2, p[4], p[2]==K_program, p[2]==K_interface, p[3], p[1]); } () @@ -7784,6 +8380,7 @@ def p__embed0_module(p): def p__embed1_module(p): '''_embed1_module : ''' + # { pform_module_set_ports(p[8]); } () @@ -7791,6 +8388,7 @@ def p__embed1_module(p): def p__embed2_module(p): '''_embed2_module : ''' + # { pform_set_scope_timescale(@2); } () @@ -7798,6 +8396,7 @@ def p__embed2_module(p): def p__embed3_module(p): '''_embed3_module : ''' + # { Module::UCDriveType ucd; # // The lexor detected `unconnected_drive directives and # // marked what it found in the uc_drive variable. Use that @@ -7841,6 +8440,7 @@ def p_module_start_1(p): if(parse_debug > 1): print('module_start_1', list(p)) + # { p[0] = K_module; } () @@ -7850,6 +8450,7 @@ def p_module_start_2(p): if(parse_debug): print('module_start_2', list(p)) + # { p[0] = K_module; } () @@ -7859,6 +8460,7 @@ def p_module_start_3(p): if(parse_debug): print('module_start_3', list(p)) + # { p[0] = K_program; } () @@ -7868,6 +8470,7 @@ def p_module_start_4(p): if(parse_debug): print('module_start_4', list(p)) + # { p[0] = K_interface; } () @@ -7877,6 +8480,7 @@ def p_module_end_1(p): if(parse_debug > 2): print('module_end_1', list(p)) + # { p[0] = K_module; } () @@ -7886,6 +8490,7 @@ def p_module_end_2(p): if(parse_debug): print('module_end_2', list(p)) + # { p[0] = K_program; } () @@ -7895,6 +8500,7 @@ def p_module_end_3(p): if(parse_debug): print('module_end_3', list(p)) + # { p[0] = K_interface; } () @@ -7914,6 +8520,7 @@ def p_endlabel_opt_2(p): if(parse_debug > 2): print('endlabel_opt_2', list(p)) + # { p[0] = None } () @@ -7923,6 +8530,7 @@ def p_module_attribute_foreign_1(p): if(parse_debug): print('module_attribute_foreign_1', list(p)) + # { p[0] = None } () @@ -7932,6 +8540,7 @@ def p_module_attribute_foreign_2(p): if(parse_debug > 2): print('module_attribute_foreign_2', list(p)) + # { p[0] = None } () @@ -7961,6 +8570,7 @@ def p_module_port_list_opt_3(p): if(parse_debug): print('module_port_list_opt_3', list(p)) + # { p[0] = None } () @@ -7970,6 +8580,7 @@ def p_module_port_list_opt_4(p): if(parse_debug): print('module_port_list_opt_4', list(p)) + # { yyerror(@2, "Errors in port declarations."); # yyerrok; # p[0] = None @@ -8044,6 +8655,10 @@ def p_module_item_2(p): if(parse_debug): print('module_item_2', list(p)) + p[0] = absyn.module_item_2(p[2], p[3], p[5]) + #p[0] = ["module_item_2"]+list(p) + + # { data_type_t*data_type = p[3]; # if (data_type == 0) { # data_type = new vector_type_t(IVL_VT_LOGIC, false, 0); @@ -8064,6 +8679,7 @@ def p_module_item_3(p): if(parse_debug): print('module_item_3', list(p)) + # { real_type_t*tmpt = new real_type_t(real_type_t::REAL); # pform_set_data_type(@2, tmpt, p[4], NetNet::WIRE, p[1]); # if (p[3] != 0) { @@ -8080,6 +8696,7 @@ def p_module_item_4(p): if(parse_debug): print('module_item_4', list(p)) + # { real_type_t*tmpt = new real_type_t(real_type_t::REAL); # pform_set_data_type(@2, tmpt, p[3], NetNet::WIRE, p[1]); # delete p[1]; @@ -8092,6 +8709,7 @@ def p_module_item_5(p): if(parse_debug): print('module_item_5', list(p)) + # { data_type_t*data_type = p[3]; # if (data_type == 0) { # data_type = new vector_type_t(IVL_VT_LOGIC, false, 0); @@ -8112,6 +8730,7 @@ def p_module_item_6(p): if(parse_debug): print('module_item_6', list(p)) + # { data_type_t*data_type = p[3]; # if (data_type == 0) { # data_type = new vector_type_t(IVL_VT_LOGIC, false, 0); @@ -8132,6 +8751,7 @@ def p_module_item_7(p): if(parse_debug): print('module_item_7', list(p)) + # { real_type_t*data_type = new real_type_t(real_type_t::REAL); # pform_makewire(@2, 0, str_strength, p[3], NetNet::WIRE, data_type); # if (p[1]) { @@ -8148,6 +8768,7 @@ def p_module_item_8(p): if(parse_debug): print('module_item_8', list(p)) + # { yyerror(@1, "sorry: trireg nets not supported."); # delete p[3]; # delete p[4]; @@ -8160,6 +8781,7 @@ def p_module_item_9(p): if(parse_debug): print('module_item_9', list(p)) + # { pform_module_define_port(@2, p[5], p[2], p[3], p[4], p[1]); } () @@ -8169,6 +8791,7 @@ def p_module_item_10(p): if(parse_debug): print('module_item_10', list(p)) + # { real_type_t*real_type = new real_type_t(real_type_t::REAL); # pform_module_define_port(@2, p[4], p[2], NetNet::WIRE, real_type, p[1]); # } @@ -8180,6 +8803,7 @@ def p_module_item_11(p): if(parse_debug): print('module_item_11', list(p)) + # { NetNet::Type use_type = p[3] ? NetNet::IMPLICIT : NetNet::NONE; # if (vector_type_t*dtype = dynamic_cast (p[3])) { # if (dtype->implicit_flag) @@ -8198,6 +8822,7 @@ def p_module_item_12(p): if(parse_debug): print('module_item_12', list(p)) + # { NetNet::Type use_type = p[3] ? NetNet::IMPLICIT : NetNet::NONE; # if (vector_type_t*dtype = dynamic_cast (p[3])) { # if (dtype->implicit_flag) @@ -8216,6 +8841,7 @@ def p_module_item_13(p): if(parse_debug): print('module_item_13', list(p)) + # { NetNet::Type use_type = p[3] ? NetNet::IMPLICIT : NetNet::NONE; # if (vector_type_t*dtype = dynamic_cast (p[3])) { # if (dtype->implicit_flag) @@ -8250,6 +8876,7 @@ def p_module_item_14(p): if(parse_debug): print('module_item_14', list(p)) + # { yyerror(@2, "error: Invalid variable list in port declaration."); # if (p[1]) delete p[1]; # if (p[4]) delete p[4]; @@ -8263,6 +8890,7 @@ def p_module_item_15(p): if(parse_debug): print('module_item_15', list(p)) + # { yyerror(@2, "error: Invalid variable list in port declaration."); # if (p[1]) delete p[1]; # if (p[3]) delete p[3]; @@ -8276,6 +8904,7 @@ def p_module_item_16(p): if(parse_debug): print('module_item_16', list(p)) + # { yyerror(@2, "error: Invalid variable list in port declaration."); # if (p[1]) delete p[1]; # if (p[3]) delete p[3]; @@ -8289,6 +8918,7 @@ def p_module_item_17(p): if(parse_debug): print('module_item_17', list(p)) + # { yyerror(@2, "error: Invalid variable list in port declaration."); # if (p[1]) delete p[1]; # if (p[3]) delete p[3]; @@ -8302,6 +8932,7 @@ def p_module_item_18(p): if(parse_debug): print('module_item_18', list(p)) + # { pform_attach_discipline(@1, p[1], p[2]); } () @@ -8311,6 +8942,7 @@ def p_module_item_19(p): if(parse_debug): print('module_item_19', list(p)) + # { delete attributes_in_context; # attributes_in_context = 0; # } @@ -8331,6 +8963,7 @@ def p_module_item_21(p): if(parse_debug): print('module_item_21', list(p)) + # { pform_makegates(@2, p[2], str_strength, 0, p[3], p[1]); } () @@ -8340,6 +8973,7 @@ def p_module_item_22(p): if(parse_debug): print('module_item_22', list(p)) + # { pform_makegates(@2, p[2], str_strength, p[3], p[4], p[1]); } () @@ -8349,6 +8983,7 @@ def p_module_item_23(p): if(parse_debug): print('module_item_23', list(p)) + # { pform_makegates(@2, p[2], p[3], 0, p[4], p[1]); } () @@ -8358,6 +8993,7 @@ def p_module_item_24(p): if(parse_debug): print('module_item_24', list(p)) + # { pform_makegates(@2, p[2], p[3], p[4], p[5], p[1]); } () @@ -8367,6 +9003,7 @@ def p_module_item_25(p): if(parse_debug): print('module_item_25', list(p)) + # { pform_makegates(@2, p[2], str_strength, 0, p[3], p[1]); } () @@ -8376,6 +9013,7 @@ def p_module_item_26(p): if(parse_debug): print('module_item_26', list(p)) + # { pform_makegates(@2, p[2], str_strength, p[3], p[4], p[1]); } () @@ -8385,6 +9023,7 @@ def p_module_item_27(p): if(parse_debug): print('module_item_27', list(p)) + # { pform_makegates(@1, PGBuiltin::PULLUP, pull_strength, 0, p[2], 0); } () @@ -8394,6 +9033,7 @@ def p_module_item_28(p): if(parse_debug): print('module_item_28', list(p)) + # { pform_makegates(@1, PGBuiltin::PULLDOWN, pull_strength, 0, p[2], 0); } () @@ -8403,6 +9043,7 @@ def p_module_item_29(p): if(parse_debug): print('module_item_29', list(p)) + # { pform_makegates(@1, PGBuiltin::PULLUP, p[3], 0, p[5], 0); } () @@ -8412,6 +9053,7 @@ def p_module_item_30(p): if(parse_debug): print('module_item_30', list(p)) + # { pform_makegates(@1, PGBuiltin::PULLUP, p[3], 0, p[7], 0); } () @@ -8421,6 +9063,7 @@ def p_module_item_31(p): if(parse_debug): print('module_item_31', list(p)) + # { pform_makegates(@1, PGBuiltin::PULLUP, p[5], 0, p[7], 0); } () @@ -8430,6 +9073,7 @@ def p_module_item_32(p): if(parse_debug): print('module_item_32', list(p)) + # { pform_makegates(@1, PGBuiltin::PULLDOWN, p[3], 0, p[5], 0); } () @@ -8439,6 +9083,7 @@ def p_module_item_33(p): if(parse_debug): print('module_item_33', list(p)) + # { pform_makegates(@1, PGBuiltin::PULLDOWN, p[5], 0, p[7], 0); } () @@ -8448,6 +9093,7 @@ def p_module_item_34(p): if(parse_debug): print('module_item_34', list(p)) + # { pform_makegates(@1, PGBuiltin::PULLDOWN, p[3], 0, p[7], 0); } () @@ -8457,6 +9103,7 @@ def p_module_item_35(p): if(parse_debug): print('module_item_35', list(p)) + # { perm_string tmp1 = lex_strings.make(p[2]); # pform_make_modgates(@2, tmp1, p[3], p[4], p[1]); # delete[]p[2]; @@ -8469,6 +9116,7 @@ def p_module_item_36(p): if(parse_debug): print('module_item_36', list(p)) + # { yyerror(@2, "error: Invalid module instantiation"); # delete[]p[2]; # if (p[1]) delete p[1]; @@ -8481,6 +9129,7 @@ def p_module_item_37(p): if(parse_debug > 2): print('module_item_37', list(p)) + # { pform_make_pgassign_list(p[4], p[3], p[2], @1.text, @1.first_line); } () @@ -8490,6 +9139,7 @@ def p_module_item_38(p): if(parse_debug): print('module_item_38', list(p)) + # { PProcess*tmp = pform_make_behavior(IVL_PR_ALWAYS, p[3], p[1]); # FILE_NAME(tmp, @2); # } @@ -8501,6 +9151,9 @@ def p_module_item_39(p): if(parse_debug): print('module_item_39', list(p)) + absyn.always_comb(p[3], p[1]) + + # { PProcess*tmp = pform_make_behavior(IVL_PR_ALWAYS_COMB, p[3], p[1]); # FILE_NAME(tmp, @2); # } @@ -8512,6 +9165,9 @@ def p_module_item_40(p): if(parse_debug): print('module_item_40', list(p)) + absyn.always_ff(p[3], p[1]) + + # { PProcess*tmp = pform_make_behavior(IVL_PR_ALWAYS_FF, p[3], p[1]); # FILE_NAME(tmp, @2); # } @@ -8523,6 +9179,7 @@ def p_module_item_41(p): if(parse_debug): print('module_item_41', list(p)) + # { PProcess*tmp = pform_make_behavior(IVL_PR_ALWAYS_LATCH, p[3], p[1]); # FILE_NAME(tmp, @2); # } @@ -8534,6 +9191,7 @@ def p_module_item_42(p): if(parse_debug): print('module_item_42', list(p)) + # { PProcess*tmp = pform_make_behavior(IVL_PR_INITIAL, p[3], p[1]); # FILE_NAME(tmp, @2); # } @@ -8545,6 +9203,7 @@ def p_module_item_43(p): if(parse_debug): print('module_item_43', list(p)) + # { PProcess*tmp = pform_make_behavior(IVL_PR_FINAL, p[3], p[1]); # FILE_NAME(tmp, @2); # } @@ -8556,6 +9215,7 @@ def p_module_item_44(p): if(parse_debug): print('module_item_44', list(p)) + # { pform_make_analog_behavior(@2, IVL_PR_ALWAYS, p[3]); } () @@ -8610,6 +9270,7 @@ def p_module_item_50(p): if(parse_debug): print('module_item_50', list(p)) + # { // Test for bad nesting. I understand it, but it is illegal. # if (pform_parent_generate()) { # cerr << @1 << ": error: Generate/endgenerate regions cannot nest." << endl; @@ -8626,6 +9287,7 @@ def p_module_item_51(p): if(parse_debug): print('module_item_51', list(p)) + # { pform_genvars(@1, p[2]); } () @@ -8635,6 +9297,7 @@ def p_module_item_52(p): if(parse_debug): print('module_item_52', list(p)) + # { pform_endgenerate(); } () @@ -8644,6 +9307,7 @@ def p_module_item_53(p): if(parse_debug): print('module_item_53', list(p)) + # { pform_endgenerate(); } () @@ -8653,6 +9317,7 @@ def p_module_item_54(p): if(parse_debug): print('module_item_54', list(p)) + # { pform_endgenerate(); } () @@ -8662,6 +9327,7 @@ def p_module_item_55(p): if(parse_debug): print('module_item_55', list(p)) + # { pform_endgenerate(); } () @@ -8707,6 +9373,7 @@ def p_module_item_60(p): if(parse_debug): print('module_item_60', list(p)) + # { yyerror(@1, "error: syntax error in specify block"); # yyerrok; # } @@ -8718,6 +9385,7 @@ def p_module_item_61(p): if(parse_debug): print('module_item_61', list(p)) + # { yyerror(@2, "error: invalid module item."); # yyerrok; # } @@ -8729,6 +9397,7 @@ def p_module_item_62(p): if(parse_debug): print('module_item_62', list(p)) + # { yyerror(@1, "error: syntax error in left side " # "of continuous assignment."); # yyerrok; @@ -8741,6 +9410,7 @@ def p_module_item_63(p): if(parse_debug): print('module_item_63', list(p)) + # { yyerror(@1, "error: syntax error in " # "continuous assignment"); # yyerrok; @@ -8753,6 +9423,7 @@ def p_module_item_64(p): if(parse_debug): print('module_item_64', list(p)) + # { yyerror(@1, "error: I give up on this " # "function definition."); # if (p[4]) { @@ -8772,6 +9443,7 @@ def p_module_item_65(p): if(parse_debug): print('module_item_65', list(p)) + # { perm_string tmp3 = lex_strings.make(p[3]); # perm_string tmp5 = lex_strings.make(p[5]); # pform_set_attrib(tmp3, tmp5, p[7]); @@ -8786,6 +9458,7 @@ def p_module_item_66(p): if(parse_debug): print('module_item_66', list(p)) + # { yyerror(@1, "error: Malformed $attribute parameter list."); } () @@ -8793,6 +9466,7 @@ def p_module_item_66(p): def p__embed0_module_item(p): '''_embed0_module_item : ''' + # { attributes_in_context = p[1]; } () @@ -8800,6 +9474,7 @@ def p__embed0_module_item(p): def p__embed1_module_item(p): '''_embed1_module_item : ''' + # { if (pform_in_interface()) # yyerror(@1, "error: Parameter overrides are not allowed " # "in interfaces."); @@ -8810,6 +9485,7 @@ def p__embed1_module_item(p): def p__embed2_module_item(p): '''_embed2_module_item : ''' + # { pform_start_generate_for(@1, p[3], p[5], p[7], p[9], p[11]); } () @@ -8817,6 +9493,7 @@ def p__embed2_module_item(p): def p__embed3_module_item(p): '''_embed3_module_item : ''' + # { pform_start_generate_else(@1); } () @@ -8824,6 +9501,7 @@ def p__embed3_module_item(p): def p__embed4_module_item(p): '''_embed4_module_item : ''' + # { pform_start_generate_case(@1, p[3]); } () @@ -8831,6 +9509,7 @@ def p__embed4_module_item(p): def p__embed5_module_item(p): '''_embed5_module_item : ''' + # { if (pform_in_interface()) # yyerror(@1, "error: specparam declarations are not allowed " # "in interfaces."); @@ -8841,6 +9520,7 @@ def p__embed5_module_item(p): def p__embed6_module_item(p): '''_embed6_module_item : ''' + # { if (pform_in_interface()) # yyerror(@1, "error: specify blocks are not allowed " # "in interfaces."); @@ -8889,6 +9569,7 @@ def p_generate_if_1(p): if(parse_debug): print('generate_if_1', list(p)) + # { pform_start_generate_if(@1, p[3]); } () @@ -8916,6 +9597,7 @@ def p_generate_case_item_1(p): if(parse_debug): print('generate_case_item_1', list(p)) + # { pform_endgenerate(); } () @@ -8925,6 +9607,7 @@ def p_generate_case_item_2(p): if(parse_debug): print('generate_case_item_2', list(p)) + # { pform_endgenerate(); } () @@ -8932,6 +9615,7 @@ def p_generate_case_item_2(p): def p__embed0_generate_case_item(p): '''_embed0_generate_case_item : ''' + # { pform_generate_case_item(@1, p[1]); } () @@ -8939,6 +9623,7 @@ def p__embed0_generate_case_item(p): def p__embed1_generate_case_item(p): '''_embed1_generate_case_item : ''' + # { pform_generate_case_item(@1, 0); } () @@ -8957,6 +9642,7 @@ def p_generate_item_2(p): if(parse_debug): print('generate_item_2', list(p)) + # { /* Detect and warn about anachronistic begin/end use */ # if (generation_flag > GN_VER2001 && warn_anachronisms) { # warn_count += 1; @@ -8971,6 +9657,7 @@ def p_generate_item_3(p): if(parse_debug): print('generate_item_3', list(p)) + # { /* Detect and warn about anachronistic named begin/end use */ # if (generation_flag > GN_VER2001 && warn_anachronisms) { # warn_count += 1; @@ -8984,6 +9671,7 @@ def p_generate_item_3(p): def p__embed0_generate_item(p): '''_embed0_generate_item : ''' + # { # pform_start_generate_nblock(@1, p[3]); # } @@ -9049,6 +9737,7 @@ def p_generate_block_3(p): if(parse_debug): print('generate_block_3', list(p)) + # { pform_generate_block_name(p[3]); # if (p[6]) { # if (strcmp(p[3],p[6]) != 0) { @@ -9089,6 +9778,7 @@ def p_net_decl_assign_1(p): if(parse_debug): print('net_decl_assign_1', list(p)) + # { net_decl_assign_t*tmp = new net_decl_assign_t; # tmp->next = tmp; # tmp->name = lex_strings.make(p[1]); @@ -9104,6 +9794,7 @@ def p_net_decl_assigns_1(p): if(parse_debug): print('net_decl_assigns_1', list(p)) + # { net_decl_assign_t*tmp = p[1]; # p[3]->next = tmp->next; # tmp->next = p[3]; @@ -9117,6 +9808,7 @@ def p_net_decl_assigns_2(p): if(parse_debug): print('net_decl_assigns_2', list(p)) + # { p[0] = p[1]; # } () @@ -9127,6 +9819,7 @@ def p_bit_logic_1(p): if(parse_debug): print('bit_logic_1', list(p)) + # { p[0] = IVL_VT_LOGIC; } () @@ -9136,6 +9829,7 @@ def p_bit_logic_2(p): if(parse_debug): print('bit_logic_2', list(p)) + # { p[0] = IVL_VT_BOOL; /* Icarus misc */} () @@ -9145,6 +9839,7 @@ def p_bit_logic_3(p): if(parse_debug): print('bit_logic_3', list(p)) + # { p[0] = IVL_VT_BOOL; /* IEEE1800 / IEEE1364-2009 */} () @@ -9163,16 +9858,19 @@ def p_bit_logic_opt_2(p): if(parse_debug): print('bit_logic_opt_2', list(p)) + # { p[0] = IVL_VT_NO_TYPE; } () def p_net_type_1(p): '''net_type : K_wire ''' - if(parse_debug): + if(parse_debug > 2): print('net_type_1', list(p)) - # { p[0] = NetNet::WIRE; } + p[0] = "wire" + + () @@ -9181,6 +9879,7 @@ def p_net_type_2(p): if(parse_debug): print('net_type_2', list(p)) + # { p[0] = NetNet::TRI; } () @@ -9190,6 +9889,7 @@ def p_net_type_3(p): if(parse_debug): print('net_type_3', list(p)) + # { p[0] = NetNet::TRI1; } () @@ -9199,6 +9899,7 @@ def p_net_type_4(p): if(parse_debug): print('net_type_4', list(p)) + # { p[0] = NetNet::SUPPLY0; } () @@ -9208,6 +9909,7 @@ def p_net_type_5(p): if(parse_debug): print('net_type_5', list(p)) + # { p[0] = NetNet::WAND; } () @@ -9217,6 +9919,7 @@ def p_net_type_6(p): if(parse_debug): print('net_type_6', list(p)) + # { p[0] = NetNet::TRIAND; } () @@ -9226,6 +9929,7 @@ def p_net_type_7(p): if(parse_debug): print('net_type_7', list(p)) + # { p[0] = NetNet::TRI0; } () @@ -9235,6 +9939,7 @@ def p_net_type_8(p): if(parse_debug): print('net_type_8', list(p)) + # { p[0] = NetNet::SUPPLY1; } () @@ -9244,6 +9949,7 @@ def p_net_type_9(p): if(parse_debug): print('net_type_9', list(p)) + # { p[0] = NetNet::WOR; } () @@ -9253,6 +9959,7 @@ def p_net_type_10(p): if(parse_debug): print('net_type_10', list(p)) + # { p[0] = NetNet::TRIOR; } () @@ -9262,6 +9969,7 @@ def p_net_type_11(p): if(parse_debug): print('net_type_11', list(p)) + # { p[0] = NetNet::UNRESOLVED_WIRE; # cerr << @1.text << ":" << @1.first_line << ": warning: " # "'wone' is deprecated, please use 'uwire' " @@ -9275,6 +9983,7 @@ def p_net_type_12(p): if(parse_debug): print('net_type_12', list(p)) + # { p[0] = NetNet::UNRESOLVED_WIRE; } () @@ -9284,6 +9993,7 @@ def p_param_type_1(p): if(parse_debug): print('param_type_1', list(p)) + # { param_active_range = p[3]; # param_active_signed = p[2]; # if ((p[1] == IVL_VT_NO_TYPE) && (p[3] != 0)) @@ -9299,6 +10009,7 @@ def p_param_type_2(p): if(parse_debug): print('param_type_2', list(p)) + # { param_active_range = make_range_from_width(integer_width); # param_active_signed = true; # param_active_type = IVL_VT_LOGIC; @@ -9311,6 +10022,7 @@ def p_param_type_3(p): if(parse_debug): print('param_type_3', list(p)) + # { param_active_range = make_range_from_width(64); # param_active_signed = false; # param_active_type = IVL_VT_LOGIC; @@ -9323,6 +10035,7 @@ def p_param_type_4(p): if(parse_debug): print('param_type_4', list(p)) + # { param_active_range = 0; # param_active_signed = true; # param_active_type = IVL_VT_REAL; @@ -9335,6 +10048,7 @@ def p_param_type_5(p): if(parse_debug): print('param_type_5', list(p)) + # { param_active_range = make_range_from_width(p[1]); # param_active_signed = true; # param_active_type = IVL_VT_BOOL; @@ -9347,6 +10061,7 @@ def p_param_type_6(p): if(parse_debug): print('param_type_6', list(p)) + # { pform_set_param_from_type(@1, p[1].type, p[1].text, param_active_range, # param_active_signed, param_active_type); # delete[]p[1].text; @@ -9398,6 +10113,7 @@ def p_parameter_assign_1(p): expr = Node(syms.tfpdef, [tpname, Leaf(token.EQUAL, p[2]), p[3]]) p[0] = expr + # { PExpr*tmp = p[3]; # pform_set_parameter(@1, lex_strings.make(p[1]), param_active_type, # param_active_signed, param_active_range, tmp, p[4]); @@ -9411,6 +10127,7 @@ def p_localparam_assign_1(p): if(parse_debug): print('localparam_assign_1', list(p)) + # { PExpr*tmp = p[3]; # pform_set_localparam(@1, lex_strings.make(p[1]), param_active_type, # param_active_signed, param_active_range, tmp); @@ -9434,6 +10151,7 @@ def p_parameter_value_ranges_opt_2(p): if(parse_debug): print('parameter_value_ranges_opt_2', list(p)) + # { p[0] = None } () @@ -9443,6 +10161,7 @@ def p_parameter_value_ranges_1(p): if(parse_debug): print('parameter_value_ranges_1', list(p)) + # { p[0] = p[2]; p[0]->next = p[1]; } () @@ -9452,6 +10171,7 @@ def p_parameter_value_ranges_2(p): if(parse_debug): print('parameter_value_ranges_2', list(p)) + # { p[0] = p[1]; p[0]->next = 0; } () @@ -9461,6 +10181,7 @@ def p_parameter_value_range_1(p): if(parse_debug): print('parameter_value_range_1', list(p)) + # { p[0] = pform_parameter_value_range(p[1], false, p[3], false, p[5]); } () @@ -9470,6 +10191,7 @@ def p_parameter_value_range_2(p): if(parse_debug): print('parameter_value_range_2', list(p)) + # { p[0] = pform_parameter_value_range(p[1], false, p[3], true, p[5]); } () @@ -9479,6 +10201,7 @@ def p_parameter_value_range_3(p): if(parse_debug): print('parameter_value_range_3', list(p)) + # { p[0] = pform_parameter_value_range(p[1], true, p[3], false, p[5]); } () @@ -9488,6 +10211,7 @@ def p_parameter_value_range_4(p): if(parse_debug): print('parameter_value_range_4', list(p)) + # { p[0] = pform_parameter_value_range(p[1], true, p[3], true, p[5]); } () @@ -9497,6 +10221,7 @@ def p_parameter_value_range_5(p): if(parse_debug): print('parameter_value_range_5', list(p)) + # { p[0] = pform_parameter_value_range(true, false, p[2], false, p[2]); } () @@ -9516,6 +10241,7 @@ def p_value_range_expression_2(p): if(parse_debug): print('value_range_expression_2', list(p)) + # { p[0] = None } () @@ -9525,6 +10251,7 @@ def p_value_range_expression_3(p): if(parse_debug): print('value_range_expression_3', list(p)) + # { p[0] = None } () @@ -9534,6 +10261,7 @@ def p_value_range_expression_4(p): if(parse_debug): print('value_range_expression_4', list(p)) + # { p[0] = None } () @@ -9563,6 +10291,7 @@ def p_parameter_value_opt_1(p): if(parse_debug): print('parameter_value_opt_1', list(p)) + # { struct parmvalue_t*tmp = new struct parmvalue_t; # tmp->by_order = p[3]; # tmp->by_name = 0; @@ -9576,6 +10305,7 @@ def p_parameter_value_opt_2(p): if(parse_debug): print('parameter_value_opt_2', list(p)) + # { struct parmvalue_t*tmp = new struct parmvalue_t; # tmp->by_order = 0; # tmp->by_name = p[3]; @@ -9589,6 +10319,7 @@ def p_parameter_value_opt_3(p): if(parse_debug): print('parameter_value_opt_3', list(p)) + # { assert(p[2]); # PENumber*tmp = new PENumber(p[2]); # FILE_NAME(tmp, @1); @@ -9608,6 +10339,7 @@ def p_parameter_value_opt_4(p): if(parse_debug): print('parameter_value_opt_4', list(p)) + # { assert(p[2]); # PEFNumber*tmp = new PEFNumber(p[2]); # FILE_NAME(tmp, @1); @@ -9626,6 +10358,7 @@ def p_parameter_value_opt_5(p): if(parse_debug): print('parameter_value_opt_5', list(p)) + # { yyerror(@1, "error: syntax error in parameter value " # "assignment list."); # p[0] = None @@ -9638,6 +10371,7 @@ def p_parameter_value_opt_6(p): if(parse_debug): print('parameter_value_opt_6', list(p)) + # { p[0] = None } () @@ -9647,6 +10381,7 @@ def p_parameter_value_byname_1(p): if(parse_debug): print('parameter_value_byname_1', list(p)) + # { named_pexpr_t*tmp = new named_pexpr_t; # tmp->name = lex_strings.make(p[2]); # tmp->parm = p[4]; @@ -9661,6 +10396,7 @@ def p_parameter_value_byname_2(p): if(parse_debug): print('parameter_value_byname_2', list(p)) + # { named_pexpr_t*tmp = new named_pexpr_t; # tmp->name = lex_strings.make(p[2]); # tmp->parm = 0; @@ -9675,6 +10411,7 @@ def p_parameter_value_byname_list_1(p): if(parse_debug): print('parameter_value_byname_list_1', list(p)) + # { list*tmp = new list; # tmp->push_back(*p[1]); # delete p[1]; @@ -9688,6 +10425,7 @@ def p_parameter_value_byname_list_2(p): if(parse_debug): print('parameter_value_byname_list_2', list(p)) + # { list*tmp = p[1]; # tmp->push_back(*p[3]); # delete p[3]; @@ -9711,6 +10449,7 @@ def p_port_2(p): if(parse_debug): print('port_2', list(p)) + # { Module::port_t*tmp = p[4]; # tmp->name = lex_strings.make(p[2]); # delete[]p[2]; @@ -9724,6 +10463,7 @@ def p_port_3(p): if(parse_debug): print('port_3', list(p)) + # { Module::port_t*tmp = p[2]; # tmp->name = perm_string(); # p[0] = tmp; @@ -9736,6 +10476,7 @@ def p_port_4(p): if(parse_debug): print('port_4', list(p)) + # { Module::port_t*tmp = p[5]; # tmp->name = lex_strings.make(p[2]); # delete[]p[2]; @@ -9759,6 +10500,7 @@ def p_port_opt_2(p): if(parse_debug): print('port_opt_2', list(p)) + # { p[0] = None } () @@ -9768,6 +10510,7 @@ def p_port_name_1(p): if(parse_debug): print('port_name_1', list(p)) + # { named_pexpr_t*tmp = new named_pexpr_t; # tmp->name = lex_strings.make(p[2]); # tmp->parm = p[4]; @@ -9782,6 +10525,7 @@ def p_port_name_2(p): if(parse_debug): print('port_name_2', list(p)) + # { yyerror(@3, "error: invalid port connection expression."); # named_pexpr_t*tmp = new named_pexpr_t; # tmp->name = lex_strings.make(p[2]); @@ -9797,6 +10541,7 @@ def p_port_name_3(p): if(parse_debug): print('port_name_3', list(p)) + # { named_pexpr_t*tmp = new named_pexpr_t; # tmp->name = lex_strings.make(p[2]); # tmp->parm = 0; @@ -9811,6 +10556,7 @@ def p_port_name_4(p): if(parse_debug): print('port_name_4', list(p)) + # { named_pexpr_t*tmp = new named_pexpr_t; # tmp->name = lex_strings.make(p[2]); # tmp->parm = new PEIdent(lex_strings.make(p[2]), true); @@ -9826,6 +10572,7 @@ def p_port_name_5(p): if(parse_debug): print('port_name_5', list(p)) + # { named_pexpr_t*tmp = new named_pexpr_t; # tmp->name = lex_strings.make("*"); # tmp->parm = 0; @@ -9839,6 +10586,7 @@ def p_port_name_list_1(p): if(parse_debug): print('port_name_list_1', list(p)) + # { list*tmp = p[1]; # tmp->push_back(*p[3]); # delete p[3]; @@ -9852,6 +10600,7 @@ def p_port_name_list_2(p): if(parse_debug): print('port_name_list_2', list(p)) + # { list*tmp = new list; # tmp->push_back(*p[1]); # delete p[1]; @@ -9865,6 +10614,7 @@ def p_port_reference_1(p): if(parse_debug): print('port_reference_1', list(p)) + # { Module::port_t*ptmp; # perm_string name = lex_strings.make(p[1]); # ptmp = pform_module_port_reference(name, @1.text, @1.first_line); @@ -9879,6 +10629,7 @@ def p_port_reference_2(p): if(parse_debug): print('port_reference_2', list(p)) + # { index_component_t itmp; # itmp.sel = index_component_t::SEL_PART; # itmp.msb = p[3]; @@ -9908,6 +10659,7 @@ def p_port_reference_3(p): if(parse_debug): print('port_reference_3', list(p)) + # { index_component_t itmp; # itmp.sel = index_component_t::SEL_BIT; # itmp.msb = p[3]; @@ -9936,6 +10688,7 @@ def p_port_reference_4(p): if(parse_debug): print('port_reference_4', list(p)) + # { yyerror(@1, "error: invalid port bit select"); # Module::port_t*ptmp = new Module::port_t; # PEIdent*wtmp = new PEIdent(lex_strings.make(p[1])); @@ -9963,6 +10716,7 @@ def p_port_reference_list_2(p): if(parse_debug): print('port_reference_list_2', list(p)) + # { Module::port_t*tmp = p[1]; # append(tmp->expr, p[3]->expr); # delete p[3]; @@ -9976,6 +10730,7 @@ def p_dimensions_opt_1(p): if(parse_debug > 2): print('dimensions_opt_1', list(p)) + # { p[0] = None } () @@ -10005,6 +10760,7 @@ def p_dimensions_2(p): if(parse_debug): print('dimensions_2', list(p)) + # { list *tmp = p[1]; # if (p[2]) { # tmp->splice(tmp->end(), *p[2]); @@ -10020,6 +10776,7 @@ def p_register_variable_1(p): if(parse_debug): print('register_variable_1', list(p)) + # { perm_string name = lex_strings.make(p[1]); # pform_makewire(@1, name, NetNet::REG, # NetNet::NOT_A_PORT, IVL_VT_NO_TYPE, 0); @@ -10034,6 +10791,7 @@ def p_register_variable_2(p): if(parse_debug): print('register_variable_2', list(p)) + # { if (pform_peek_scope()->var_init_needs_explicit_lifetime() # && (var_lifetime == LexicalScope::INHERITED)) { # cerr << @3 << ": warning: Static variable initialization requires " @@ -10055,6 +10813,7 @@ def p_register_variable_list_1(p): if(parse_debug): print('register_variable_list_1', list(p)) + # { list*tmp = new list; # tmp->push_back(lex_strings.make(p[1])); # p[0] = tmp; @@ -10068,6 +10827,7 @@ def p_register_variable_list_2(p): if(parse_debug): print('register_variable_list_2', list(p)) + # { list*tmp = p[1]; # tmp->push_back(lex_strings.make(p[3])); # p[0] = tmp; @@ -10078,22 +10838,27 @@ def p_register_variable_list_2(p): def p_net_variable_1(p): '''net_variable : IDENTIFIER dimensions_opt ''' - if(parse_debug): + if(parse_debug > 2): print('net_variable_1', list(p)) + #p[0]= ('net_variable_1', list(p)) + # { perm_string name = lex_strings.make(p[1]); # pform_makewire(@1, name, NetNet::IMPLICIT, # NetNet::NOT_A_PORT, IVL_VT_NO_TYPE, 0); # pform_set_reg_idx(name, p[2]); - # p[0] = p[1]; + p[0] = [p[1], p[2]] + # } () def p_net_variable_list_1(p): '''net_variable_list : net_variable ''' - if(parse_debug): + if(parse_debug > 2): print('net_variable_list_1', list(p)) + p[0] = [p[1]] + # { list*tmp = new list; # tmp->push_back(lex_strings.make(p[1])); @@ -10105,8 +10870,10 @@ def p_net_variable_list_1(p): def p_net_variable_list_2(p): '''net_variable_list : net_variable_list ',' net_variable ''' - if(parse_debug): + if(parse_debug > 2): print('net_variable_list_2', list(p)) + p[0] = p[1]+[p[3]] + # { list*tmp = p[1]; # tmp->push_back(lex_strings.make(p[3])); @@ -10121,6 +10888,7 @@ def p_event_variable_1(p): if(parse_debug): print('event_variable_1', list(p)) + # { if (p[2]) { # yyerror(@2, "sorry: event arrays are not supported."); # delete p[2]; @@ -10135,6 +10903,7 @@ def p_event_variable_list_1(p): if(parse_debug): print('event_variable_list_1', list(p)) + # { p[0] = list_from_identifier(p[1]); } () @@ -10144,6 +10913,7 @@ def p_event_variable_list_2(p): if(parse_debug): print('event_variable_list_2', list(p)) + # { p[0] = list_from_identifier(p[1], p[3]); } () @@ -10162,6 +10932,7 @@ def p_specify_item_2(p): if(parse_debug): print('specify_item_2', list(p)) + # { pform_module_specify_path(p[1]); # } () @@ -10172,6 +10943,7 @@ def p_specify_item_3(p): if(parse_debug): print('specify_item_3', list(p)) + # { pform_module_specify_path(p[1]); # } () @@ -10182,6 +10954,7 @@ def p_specify_item_4(p): if(parse_debug): print('specify_item_4', list(p)) + # { PSpecPath*tmp = p[5]; # if (tmp) { # tmp->conditional = true; @@ -10197,6 +10970,7 @@ def p_specify_item_5(p): if(parse_debug): print('specify_item_5', list(p)) + # { PSpecPath*tmp = p[5]; # if (tmp) { # tmp->conditional = true; @@ -10212,6 +10986,7 @@ def p_specify_item_6(p): if(parse_debug): print('specify_item_6', list(p)) + # { PSpecPath*tmp = p[2]; # if (tmp) { # tmp->conditional = true; @@ -10227,6 +11002,7 @@ def p_specify_item_7(p): if(parse_debug): print('specify_item_7', list(p)) + # { yyerror(@1, "Sorry: ifnone with an edge-sensitive path is " # "not supported."); # yyerrok; @@ -10239,6 +11015,7 @@ def p_specify_item_8(p): if(parse_debug): print('specify_item_8', list(p)) + # { delete p[7]; # delete p[9]; # } @@ -10250,6 +11027,7 @@ def p_specify_item_9(p): if(parse_debug): print('specify_item_9', list(p)) + # { delete p[7]; # } () @@ -10260,6 +11038,7 @@ def p_specify_item_10(p): if(parse_debug): print('specify_item_10', list(p)) + # { delete p[7]; # delete p[9]; # } @@ -10271,6 +11050,7 @@ def p_specify_item_11(p): if(parse_debug): print('specify_item_11', list(p)) + # { delete p[5]; # } () @@ -10281,6 +11061,7 @@ def p_specify_item_12(p): if(parse_debug): print('specify_item_12', list(p)) + # { delete p[7]; # } () @@ -10291,6 +11072,7 @@ def p_specify_item_13(p): if(parse_debug): print('specify_item_13', list(p)) + # { delete p[7]; # delete p[9]; # } @@ -10302,6 +11084,7 @@ def p_specify_item_14(p): if(parse_debug): print('specify_item_14', list(p)) + # { delete p[7]; # } () @@ -10312,6 +11095,7 @@ def p_specify_item_15(p): if(parse_debug): print('specify_item_15', list(p)) + # { delete p[7]; # } () @@ -10322,6 +11106,7 @@ def p_specify_item_16(p): if(parse_debug): print('specify_item_16', list(p)) + # { delete p[7]; # delete p[9]; # } @@ -10333,6 +11118,7 @@ def p_specify_item_17(p): if(parse_debug): print('specify_item_17', list(p)) + # { delete p[7]; # } () @@ -10343,6 +11129,7 @@ def p_specify_item_18(p): if(parse_debug): print('specify_item_18', list(p)) + # { delete p[7]; # } () @@ -10353,6 +11140,7 @@ def p_specify_item_19(p): if(parse_debug): print('specify_item_19', list(p)) + # { delete p[5]; # delete p[7]; # } @@ -10364,6 +11152,7 @@ def p_specify_item_20(p): if(parse_debug): print('specify_item_20', list(p)) + # { delete p[5]; # } () @@ -10374,6 +11163,7 @@ def p_specify_item_21(p): if(parse_debug): print('specify_item_21', list(p)) + # { delete p[2]; # } () @@ -10384,6 +11174,7 @@ def p_specify_item_22(p): if(parse_debug): print('specify_item_22', list(p)) + # { delete p[2]; # } () @@ -10394,6 +11185,7 @@ def p_specify_item_23(p): if(parse_debug): print('specify_item_23', list(p)) + # { delete p[2]; # } () @@ -10404,6 +11196,7 @@ def p_specify_item_24(p): if(parse_debug): print('specify_item_24', list(p)) + # { delete p[2]; # } () @@ -10432,6 +11225,7 @@ def p_specify_item_list_opt_1(p): if(parse_debug): print('specify_item_list_opt_1', list(p)) + # { } () @@ -10441,6 +11235,7 @@ def p_specify_item_list_opt_2(p): if(parse_debug): print('specify_item_list_opt_2', list(p)) + # { } () @@ -10450,6 +11245,7 @@ def p_specify_edge_path_decl_1(p): if(parse_debug): print('specify_edge_path_decl_1', list(p)) + # { p[0] = pform_assign_path_delay(p[1], p[4]); } () @@ -10459,6 +11255,7 @@ def p_specify_edge_path_decl_2(p): if(parse_debug): print('specify_edge_path_decl_2', list(p)) + # { list*tmp = new list; # tmp->push_back(p[3]); # p[0] = pform_assign_path_delay(p[1], tmp); @@ -10491,6 +11288,7 @@ def p_specify_edge_path_1(p): if(parse_debug): print('specify_edge_path_1', list(p)) + # { int edge_flag = 0; # p[0] = pform_make_specify_edge_path(@1, edge_flag, p[2], p[3], false, p[6], p[8]); } () @@ -10501,6 +11299,7 @@ def p_specify_edge_path_2(p): if(parse_debug): print('specify_edge_path_2', list(p)) + # { int edge_flag = p[2]? 1 : -1; # p[0] = pform_make_specify_edge_path(@1, edge_flag, p[3], p[4], false, p[7], p[9]);} () @@ -10511,6 +11310,7 @@ def p_specify_edge_path_3(p): if(parse_debug): print('specify_edge_path_3', list(p)) + # { int edge_flag = 0; # p[0] = pform_make_specify_edge_path(@1, edge_flag, p[2], p[3], true, p[6], p[8]); } () @@ -10521,6 +11321,7 @@ def p_specify_edge_path_4(p): if(parse_debug): print('specify_edge_path_4', list(p)) + # { int edge_flag = p[2]? 1 : -1; # p[0] = pform_make_specify_edge_path(@1, edge_flag, p[3], p[4], true, p[7], p[9]); } () @@ -10558,6 +11359,7 @@ def p_specify_simple_path_decl_1(p): if(parse_debug): print('specify_simple_path_decl_1', list(p)) + # { p[0] = pform_assign_path_delay(p[1], p[4]); } () @@ -10567,6 +11369,7 @@ def p_specify_simple_path_decl_2(p): if(parse_debug): print('specify_simple_path_decl_2', list(p)) + # { list*tmp = new list; # tmp->push_back(p[3]); # p[0] = pform_assign_path_delay(p[1], tmp); @@ -10579,6 +11382,7 @@ def p_specify_simple_path_decl_3(p): if(parse_debug): print('specify_simple_path_decl_3', list(p)) + # { yyerror(@3, "Syntax error in delay value list."); # yyerrok; # p[0] = None @@ -10591,6 +11395,7 @@ def p_specify_simple_path_1(p): if(parse_debug): print('specify_simple_path_1', list(p)) + # { p[0] = pform_make_specify_path(@1, p[2], p[3], false, p[5]); } () @@ -10600,6 +11405,7 @@ def p_specify_simple_path_2(p): if(parse_debug): print('specify_simple_path_2', list(p)) + # { p[0] = pform_make_specify_path(@1, p[2], p[3], true, p[5]); } () @@ -10609,6 +11415,7 @@ def p_specify_simple_path_3(p): if(parse_debug): print('specify_simple_path_3', list(p)) + # { yyerror(@1, "Invalid simple path"); # yyerrok; # } @@ -10620,6 +11427,7 @@ def p_specify_path_identifiers_1(p): if(parse_debug): print('specify_path_identifiers_1', list(p)) + # { list*tmp = new list; # tmp->push_back(lex_strings.make(p[1])); # p[0] = tmp; @@ -10633,6 +11441,7 @@ def p_specify_path_identifiers_2(p): if(parse_debug): print('specify_path_identifiers_2', list(p)) + # { if (gn_specify_blocks_flag) { # yywarn(@4, "Bit selects are not currently supported " # "in path declarations. The declaration " @@ -10651,6 +11460,7 @@ def p_specify_path_identifiers_3(p): if(parse_debug): print('specify_path_identifiers_3', list(p)) + # { if (gn_specify_blocks_flag) { # yywarn(@4, "Part selects are not currently supported " # "in path declarations. The declaration " @@ -10669,6 +11479,7 @@ def p_specify_path_identifiers_4(p): if(parse_debug): print('specify_path_identifiers_4', list(p)) + # { list*tmp = p[1]; # tmp->push_back(lex_strings.make(p[3])); # p[0] = tmp; @@ -10682,6 +11493,7 @@ def p_specify_path_identifiers_5(p): if(parse_debug): print('specify_path_identifiers_5', list(p)) + # { if (gn_specify_blocks_flag) { # yywarn(@4, "Bit selects are not currently supported " # "in path declarations. The declaration " @@ -10700,6 +11512,7 @@ def p_specify_path_identifiers_6(p): if(parse_debug): print('specify_path_identifiers_6', list(p)) + # { if (gn_specify_blocks_flag) { # yywarn(@4, "Part selects are not currently supported " # "in path declarations. The declaration " @@ -10718,6 +11531,7 @@ def p_specparam_1(p): if(parse_debug): print('specparam_1', list(p)) + # { PExpr*tmp = p[3]; # pform_set_specparam(@1, lex_strings.make(p[1]), # param_active_range, tmp); @@ -10731,6 +11545,7 @@ def p_specparam_2(p): if(parse_debug): print('specparam_2', list(p)) + # { PExpr*tmp = 0; # switch (min_typ_max_flag) { # case MIN: @@ -10777,6 +11592,7 @@ def p_specparam_3(p): if(parse_debug): print('specparam_3', list(p)) + # { delete[]p[1]; # delete p[3]; # } @@ -10788,6 +11604,7 @@ def p_specparam_4(p): if(parse_debug): print('specparam_4', list(p)) + # { delete[]p[1]; # delete p[4]; # delete p[6]; @@ -10827,6 +11644,7 @@ def p_specparam_decl_2(p): if(parse_debug): print('specparam_decl_2', list(p)) + # { param_active_range = 0; } () @@ -10834,6 +11652,7 @@ def p_specparam_decl_2(p): def p__embed0_specparam_decl(p): '''_embed0_specparam_decl : ''' + # { param_active_range = p[1]; } () @@ -10843,6 +11662,7 @@ def p_spec_polarity_1(p): if(parse_debug): print('spec_polarity_1', list(p)) + # { p[0] = '+'; } () @@ -10852,6 +11672,7 @@ def p_spec_polarity_2(p): if(parse_debug): print('spec_polarity_2', list(p)) + # { p[0] = '-'; } () @@ -10861,6 +11682,7 @@ def p_spec_polarity_3(p): if(parse_debug): print('spec_polarity_3', list(p)) + # { p[0] = None } () @@ -10870,6 +11692,7 @@ def p_spec_reference_event_1(p): if(parse_debug): print('spec_reference_event_1', list(p)) + # { delete p[2]; } () @@ -10879,6 +11702,7 @@ def p_spec_reference_event_2(p): if(parse_debug): print('spec_reference_event_2', list(p)) + # { delete p[2]; } () @@ -10888,6 +11712,7 @@ def p_spec_reference_event_3(p): if(parse_debug): print('spec_reference_event_3', list(p)) + # { delete p[2]; # delete p[4]; # } @@ -10899,6 +11724,7 @@ def p_spec_reference_event_4(p): if(parse_debug): print('spec_reference_event_4', list(p)) + # { delete p[2]; # delete p[4]; # } @@ -10910,6 +11736,7 @@ def p_spec_reference_event_5(p): if(parse_debug): print('spec_reference_event_5', list(p)) + # { delete p[5]; } () @@ -10919,6 +11746,7 @@ def p_spec_reference_event_6(p): if(parse_debug): print('spec_reference_event_6', list(p)) + # { delete p[5]; # delete p[7]; # } @@ -10930,6 +11758,7 @@ def p_spec_reference_event_7(p): if(parse_debug): print('spec_reference_event_7', list(p)) + # { delete p[1]; # delete p[3]; # } @@ -10941,6 +11770,7 @@ def p_spec_reference_event_8(p): if(parse_debug): print('spec_reference_event_8', list(p)) + # { delete p[1]; } () @@ -10968,6 +11798,7 @@ def p_spec_notifier_opt_1(p): if(parse_debug): print('spec_notifier_opt_1', list(p)) + # { } () @@ -10977,6 +11808,7 @@ def p_spec_notifier_opt_2(p): if(parse_debug): print('spec_notifier_opt_2', list(p)) + # { } () @@ -10986,6 +11818,7 @@ def p_spec_notifier_1(p): if(parse_debug): print('spec_notifier_1', list(p)) + # { args_after_notifier = 0; } () @@ -10995,6 +11828,7 @@ def p_spec_notifier_2(p): if(parse_debug): print('spec_notifier_2', list(p)) + # { args_after_notifier = 0; delete p[2]; } () @@ -11004,6 +11838,7 @@ def p_spec_notifier_3(p): if(parse_debug): print('spec_notifier_3', list(p)) + # { args_after_notifier += 1; } () @@ -11013,6 +11848,7 @@ def p_spec_notifier_4(p): if(parse_debug): print('spec_notifier_4', list(p)) + # { args_after_notifier += 1; # if (args_after_notifier >= 3) { # cerr << @3 << ": warning: timing checks are not supported " @@ -11028,6 +11864,7 @@ def p_spec_notifier_5(p): if(parse_debug): print('spec_notifier_5', list(p)) + # { args_after_notifier = 0; delete[]p[1]; } () @@ -11037,18 +11874,22 @@ def p_statement_item_1(p): if(parse_debug): print('statement_item_1', list(p)) + # { PCAssign*tmp = new PCAssign(p[2], p[4]); # FILE_NAME(tmp, @1); # p[0] = tmp; # } () +# TODO: read all statement items + def p_statement_item_2(p): '''statement_item : K_deassign lpvalue ';' ''' if(parse_debug): print('statement_item_2', list(p)) + # { PDeassign*tmp = new PDeassign(p[2]); # FILE_NAME(tmp, @1); # p[0] = tmp; @@ -11061,6 +11902,7 @@ def p_statement_item_3(p): if(parse_debug): print('statement_item_3', list(p)) + # { PForce*tmp = new PForce(p[2], p[4]); # FILE_NAME(tmp, @1); # p[0] = tmp; @@ -11073,6 +11915,7 @@ def p_statement_item_4(p): if(parse_debug): print('statement_item_4', list(p)) + # { PRelease*tmp = new PRelease(p[2]); # FILE_NAME(tmp, @1); # p[0] = tmp; @@ -11085,6 +11928,7 @@ def p_statement_item_5(p): if(parse_debug): print('statement_item_5', list(p)) + # { PBlock*tmp = new PBlock(PBlock::BL_SEQ); # FILE_NAME(tmp, @1); # p[0] = tmp; @@ -11097,6 +11941,15 @@ def p_statement_item_6(p): if(parse_debug): print('statement_item_6', list(p)) + tmp = None + if(p[3]): + throw(Exception("assert(! current_block_stack.empty());")) + else: + tmp = p[5] + + p[0] = tmp + + # { PBlock*tmp; # if (p[3]) { # pform_pop_scope(); @@ -11119,6 +11972,9 @@ def p_statement_item_7(p): if(parse_debug): print('statement_item_7', list(p)) + p[0] = list(p) + + # { pform_pop_scope(); # assert(! current_block_stack.empty()); # PBlock*tmp = current_block_stack.top(); @@ -11146,6 +12002,7 @@ def p_statement_item_8(p): if(parse_debug): print('statement_item_8', list(p)) + # { PBlock*tmp = new PBlock(p[2]); # FILE_NAME(tmp, @1); # p[0] = tmp; @@ -11158,6 +12015,7 @@ def p_statement_item_9(p): if(parse_debug): print('statement_item_9', list(p)) + # { PBlock*tmp; # if (p[3]) { # pform_pop_scope(); @@ -11181,6 +12039,7 @@ def p_statement_item_10(p): if(parse_debug): print('statement_item_10', list(p)) + # { pform_pop_scope(); # assert(! current_block_stack.empty()); # PBlock*tmp = current_block_stack.top(); @@ -11209,6 +12068,7 @@ def p_statement_item_11(p): if(parse_debug): print('statement_item_11', list(p)) + # { PDisable*tmp = new PDisable(*p[2]); # FILE_NAME(tmp, @1); # delete p[2]; @@ -11222,6 +12082,7 @@ def p_statement_item_12(p): if(parse_debug): print('statement_item_12', list(p)) + # { pform_name_t tmp_name; # PDisable*tmp = new PDisable(tmp_name); # FILE_NAME(tmp, @1); @@ -11235,6 +12096,7 @@ def p_statement_item_13(p): if(parse_debug): print('statement_item_13', list(p)) + # { PTrigger*tmp = new PTrigger(*p[2]); # FILE_NAME(tmp, @1); # delete p[2]; @@ -11278,6 +12140,7 @@ def p_statement_item_17(p): if(parse_debug): print('statement_item_17', list(p)) + # { PCase*tmp = new PCase(NetCase::EQ, p[3], p[5]); # FILE_NAME(tmp, @1); # p[0] = tmp; @@ -11290,6 +12153,7 @@ def p_statement_item_18(p): if(parse_debug): print('statement_item_18', list(p)) + # { PCase*tmp = new PCase(NetCase::EQX, p[3], p[5]); # FILE_NAME(tmp, @1); # p[0] = tmp; @@ -11302,6 +12166,7 @@ def p_statement_item_19(p): if(parse_debug): print('statement_item_19', list(p)) + # { PCase*tmp = new PCase(NetCase::EQZ, p[3], p[5]); # FILE_NAME(tmp, @1); # p[0] = tmp; @@ -11314,6 +12179,7 @@ def p_statement_item_20(p): if(parse_debug): print('statement_item_20', list(p)) + # { yyerrok; } () @@ -11323,6 +12189,7 @@ def p_statement_item_21(p): if(parse_debug): print('statement_item_21', list(p)) + # { yyerrok; } () @@ -11332,6 +12199,7 @@ def p_statement_item_22(p): if(parse_debug): print('statement_item_22', list(p)) + # { yyerrok; } () @@ -11341,6 +12209,9 @@ def p_statement_item_23(p): if(parse_debug): print('statement_item_23', list(p)) + p[0] = absyn.cond_statement3(p[3], p[5], None) + + # { PCondit*tmp = new PCondit(p[3], p[5], 0); # FILE_NAME(tmp, @1); # p[0] = tmp; @@ -11353,6 +12224,8 @@ def p_statement_item_24(p): if(parse_debug): print('statement_item_24', list(p)) + p[0] = absyn.cond_statement3(p[3], p[5], p[7]) + # { PCondit*tmp = new PCondit(p[3], p[5], p[7]); # FILE_NAME(tmp, @1); # p[0] = tmp; @@ -11365,6 +12238,7 @@ def p_statement_item_25(p): if(parse_debug): print('statement_item_25', list(p)) + # { yyerror(@1, "error: Malformed conditional expression."); # p[0] = p[5]; # } @@ -11376,6 +12250,7 @@ def p_statement_item_26(p): if(parse_debug): print('statement_item_26', list(p)) + # { yyerror(@1, "error: Malformed conditional expression."); # p[0] = p[5]; # } @@ -11397,6 +12272,7 @@ def p_statement_item_28(p): if(parse_debug): print('statement_item_28', list(p)) + # { p[0] = pform_compressed_assign_from_inc_dec(@1, p[1]); } () @@ -11406,6 +12282,7 @@ def p_statement_item_29(p): if(parse_debug): print('statement_item_29', list(p)) + # { PExpr*del = p[1]->front(); # assert(p[1]->size() == 1); # delete p[1]; @@ -11421,6 +12298,9 @@ def p_statement_item_30(p): if(parse_debug): print('statement_item_30', list(p)) + p[0] = [p[1], p[2]] + + # { PEventStatement*tmp = p[1]; # if (tmp == 0) { # yyerror(@1, "error: Invalid event control."); @@ -11438,6 +12318,7 @@ def p_statement_item_31(p): if(parse_debug): print('statement_item_31', list(p)) + # { PEventStatement*tmp = new PEventStatement; # FILE_NAME(tmp, @1); # tmp->set_statement(p[3]); @@ -11451,6 +12332,7 @@ def p_statement_item_32(p): if(parse_debug): print('statement_item_32', list(p)) + # { PEventStatement*tmp = new PEventStatement; # FILE_NAME(tmp, @1); # tmp->set_statement(p[5]); @@ -11461,6 +12343,7 @@ def p_statement_item_32(p): def p_statement_item_33(p): '''statement_item : lpvalue '=' expression ';' ''' + """ if(parse_debug): print('statement_item33', list(p)) if p[3]: @@ -11474,6 +12357,9 @@ def p_statement_item_33(p): if(parse_debug): print("expr (python):'%s'" % expr) p[0] = expr + """ + p[0] = absyn.assign3(p[1], p[2], p[3]) + # { PAssign*tmp = new PAssign(p[1],p[3]); # FILE_NAME(tmp, @1); @@ -11487,6 +12373,7 @@ def p_statement_item_34(p): if(parse_debug): print('statement_item_34', list(p)) + # { yyerror(@2, "Syntax in assignment statement l-value."); # yyerrok; # p[0] = new PNoop; @@ -11499,6 +12386,9 @@ def p_statement_item_35(p): if(parse_debug): print('statement_item_35', list(p)) + p[0] = absyn.assign3(p[1], p[2], p[3]) + + # { PAssignNB*tmp = new PAssignNB(p[1],p[3]); # FILE_NAME(tmp, @1); # p[0] = tmp; @@ -11511,6 +12401,7 @@ def p_statement_item_36(p): if(parse_debug): print('statement_item_36', list(p)) + # { yyerror(@2, "Syntax in assignment statement l-value."); # yyerrok; # p[0] = new PNoop; @@ -11523,6 +12414,7 @@ def p_statement_item_37(p): if(parse_debug): print('statement_item_37', list(p)) + # { PExpr*del = p[3]->front(); p[3]->pop_front(); # assert(p[3]->empty()); # PAssign*tmp = new PAssign(p[1],del,p[4]); @@ -11537,6 +12429,7 @@ def p_statement_item_38(p): if(parse_debug): print('statement_item_38', list(p)) + # { PExpr*del = p[3]->front(); p[3]->pop_front(); # assert(p[3]->empty()); # PAssignNB*tmp = new PAssignNB(p[1],del,p[4]); @@ -11551,6 +12444,7 @@ def p_statement_item_39(p): if(parse_debug): print('statement_item_39', list(p)) + # { PAssign*tmp = new PAssign(p[1],0,p[3],p[4]); # FILE_NAME(tmp, @1); # p[0] = tmp; @@ -11563,6 +12457,7 @@ def p_statement_item_40(p): if(parse_debug): print('statement_item_40', list(p)) + # { PAssign*tmp = new PAssign(p[1],p[5],p[7],p[8]); # FILE_NAME(tmp,@1); # tmp->set_lineno(@1.first_line); @@ -11576,6 +12471,7 @@ def p_statement_item_41(p): if(parse_debug): print('statement_item_41', list(p)) + # { PAssignNB*tmp = new PAssignNB(p[1],0,p[3],p[4]); # FILE_NAME(tmp, @1); # p[0] = tmp; @@ -11588,6 +12484,7 @@ def p_statement_item_42(p): if(parse_debug): print('statement_item_42', list(p)) + # { PAssignNB*tmp = new PAssignNB(p[1],p[5],p[7],p[8]); # FILE_NAME(tmp, @1); # p[0] = tmp; @@ -11600,6 +12497,7 @@ def p_statement_item_43(p): if(parse_debug): print('statement_item_43', list(p)) + # { PAssign*tmp = new PAssign(p[1],p[3]); # FILE_NAME(tmp, @1); # p[0] = tmp; @@ -11612,6 +12510,7 @@ def p_statement_item_44(p): if(parse_debug): print('statement_item_44', list(p)) + # { PAssign*tmp = new PAssign(p[1],p[3]); # FILE_NAME(tmp, @1); # p[0] = tmp; @@ -11624,6 +12523,7 @@ def p_statement_item_45(p): if(parse_debug): print('statement_item_45', list(p)) + # { PEventStatement*tmp; # PEEvent*etmp = new PEEvent(PEEvent::POSITIVE, p[3]); # tmp = new PEventStatement(etmp); @@ -11639,6 +12539,7 @@ def p_statement_item_46(p): if(parse_debug): print('statement_item_46', list(p)) + # { PEventStatement*tmp = new PEventStatement((PEEvent*)0); # FILE_NAME(tmp,@1); # p[0] = tmp; @@ -11651,6 +12552,7 @@ def p_statement_item_47(p): if(parse_debug): print('statement_item_47', list(p)) + # { PCallTask*tmp = new PCallTask(lex_strings.make(p[1]), *p[3]); # FILE_NAME(tmp,@1); # delete[]p[1]; @@ -11665,6 +12567,7 @@ def p_statement_item_48(p): if(parse_debug): print('statement_item_48', list(p)) + # { listpt; # PCallTask*tmp = new PCallTask(lex_strings.make(p[1]), pt); # FILE_NAME(tmp,@1); @@ -11679,6 +12582,7 @@ def p_statement_item_49(p): if(parse_debug): print('statement_item_49', list(p)) + # { PCallTask*tmp = pform_make_call_task(@1, *p[1], *p[3]); # delete p[1]; # delete p[3]; @@ -11692,6 +12596,7 @@ def p_statement_item_50(p): if(parse_debug): print('statement_item_50', list(p)) + # { /* ....randomize with { } */ # if (p[1] && peek_tail_name(*p[1]) == "randomize") { # if (!gn_system_verilog()) @@ -11715,6 +12620,7 @@ def p_statement_item_51(p): if(parse_debug): print('statement_item_51', list(p)) + # { pform_name_t*t_name = p[1]; # while (! p[3]->empty()) { # t_name->push_back(p[3]->front()); @@ -11735,6 +12641,7 @@ def p_statement_item_52(p): if(parse_debug): print('statement_item_52', list(p)) + # { listpt; # PCallTask*tmp = pform_make_call_task(@1, *p[1], pt); # delete p[1]; @@ -11748,6 +12655,7 @@ def p_statement_item_53(p): if(parse_debug): print('statement_item_53', list(p)) + # { PChainConstructor*tmp = new PChainConstructor(*p[5]); # FILE_NAME(tmp, @3); # delete p[1]; @@ -11761,6 +12669,7 @@ def p_statement_item_54(p): if(parse_debug): print('statement_item_54', list(p)) + # { yyerror(@3, "error: Syntax error in task arguments."); # listpt; # PCallTask*tmp = pform_make_call_task(@1, *p[1], pt); @@ -11775,6 +12684,7 @@ def p_statement_item_55(p): if(parse_debug): print('statement_item_55', list(p)) + # { yyerror(@2, "error: malformed statement"); # yyerrok; # p[0] = new PNoop; @@ -11785,6 +12695,7 @@ def p_statement_item_55(p): def p__embed0_statement_item(p): '''_embed0_statement_item : ''' + # { PBlock*tmp = pform_push_block_scope(0, PBlock::BL_SEQ); # FILE_NAME(tmp, @1); # current_block_stack.push(tmp); @@ -11795,6 +12706,7 @@ def p__embed0_statement_item(p): def p__embed1_statement_item(p): '''_embed1_statement_item : ''' + # { if (p[3]) { # if (! gn_system_verilog()) { # yyerror("error: Variable declaration in unnamed block " @@ -11815,6 +12727,7 @@ def p__embed1_statement_item(p): def p__embed2_statement_item(p): '''_embed2_statement_item : ''' + # { PBlock*tmp = pform_push_block_scope(p[3], PBlock::BL_SEQ); # FILE_NAME(tmp, @1); # current_block_stack.push(tmp); @@ -11825,6 +12738,7 @@ def p__embed2_statement_item(p): def p__embed3_statement_item(p): '''_embed3_statement_item : ''' + # { PBlock*tmp = pform_push_block_scope(0, PBlock::BL_PAR); # FILE_NAME(tmp, @1); # current_block_stack.push(tmp); @@ -11835,6 +12749,7 @@ def p__embed3_statement_item(p): def p__embed4_statement_item(p): '''_embed4_statement_item : ''' + # { if (p[3]) { # if (! gn_system_verilog()) { # yyerror("error: Variable declaration in unnamed block " @@ -11855,6 +12770,7 @@ def p__embed4_statement_item(p): def p__embed5_statement_item(p): '''_embed5_statement_item : ''' + # { PBlock*tmp = pform_push_block_scope(p[3], PBlock::BL_PAR); # FILE_NAME(tmp, @1); # current_block_stack.push(tmp); @@ -11867,6 +12783,7 @@ def p_compressed_statement_1(p): if(parse_debug): print('compressed_statement_1', list(p)) + # { PAssign*tmp = new PAssign(p[1], '+', p[3]); # FILE_NAME(tmp, @1); # p[0] = tmp; @@ -11879,6 +12796,7 @@ def p_compressed_statement_2(p): if(parse_debug): print('compressed_statement_2', list(p)) + # { PAssign*tmp = new PAssign(p[1], '-', p[3]); # FILE_NAME(tmp, @1); # p[0] = tmp; @@ -11891,6 +12809,7 @@ def p_compressed_statement_3(p): if(parse_debug): print('compressed_statement_3', list(p)) + # { PAssign*tmp = new PAssign(p[1], '*', p[3]); # FILE_NAME(tmp, @1); # p[0] = tmp; @@ -11903,6 +12822,7 @@ def p_compressed_statement_4(p): if(parse_debug): print('compressed_statement_4', list(p)) + # { PAssign*tmp = new PAssign(p[1], '/', p[3]); # FILE_NAME(tmp, @1); # p[0] = tmp; @@ -11915,6 +12835,7 @@ def p_compressed_statement_5(p): if(parse_debug): print('compressed_statement_5', list(p)) + # { PAssign*tmp = new PAssign(p[1], '%', p[3]); # FILE_NAME(tmp, @1); # p[0] = tmp; @@ -11927,6 +12848,7 @@ def p_compressed_statement_6(p): if(parse_debug): print('compressed_statement_6', list(p)) + # { PAssign*tmp = new PAssign(p[1], '&', p[3]); # FILE_NAME(tmp, @1); # p[0] = tmp; @@ -11939,6 +12861,7 @@ def p_compressed_statement_7(p): if(parse_debug): print('compressed_statement_7', list(p)) + # { PAssign*tmp = new PAssign(p[1], '|', p[3]); # FILE_NAME(tmp, @1); # p[0] = tmp; @@ -11951,6 +12874,7 @@ def p_compressed_statement_8(p): if(parse_debug): print('compressed_statement_8', list(p)) + # { PAssign*tmp = new PAssign(p[1], '^', p[3]); # FILE_NAME(tmp, @1); # p[0] = tmp; @@ -11963,6 +12887,7 @@ def p_compressed_statement_9(p): if(parse_debug): print('compressed_statement_9', list(p)) + # { PAssign *tmp = new PAssign(p[1], 'l', p[3]); # FILE_NAME(tmp, @1); # p[0] = tmp; @@ -11975,6 +12900,7 @@ def p_compressed_statement_10(p): if(parse_debug): print('compressed_statement_10', list(p)) + # { PAssign*tmp = new PAssign(p[1], 'r', p[3]); # FILE_NAME(tmp, @1); # p[0] = tmp; @@ -11987,6 +12913,7 @@ def p_compressed_statement_11(p): if(parse_debug): print('compressed_statement_11', list(p)) + # { PAssign *tmp = new PAssign(p[1], 'R', p[3]); # FILE_NAME(tmp, @1); # p[0] = tmp; @@ -12009,6 +12936,7 @@ def p_statement_or_null_list_opt_2(p): if(parse_debug): print('statement_or_null_list_opt_2', list(p)) + # { p[0] = None } () @@ -12017,6 +12945,14 @@ def p_statement_or_null_list_1(p): '''statement_or_null_list : statement_or_null_list statement_or_null ''' if(parse_debug): print('statement_or_null_list_1', list(p)) + print(p[1]) + + tmp = p[1] + if(tmp is None): + tmp = StatementList() + if (p[2]): + tmp.add_statement(p[2]) + p[0] = tmp # { vector*tmp = p[1]; # if (p[2]) tmp->push_back(p[2]); @@ -12030,6 +12966,12 @@ def p_statement_or_null_list_2(p): if(parse_debug): print('statement_or_null_list_2', list(p)) + tmp = StatementList() + if (p[1]): + tmp.add_statement(p[1]) + p[0] = tmp + + # { vector*tmp = new vector(0); # if (p[1]) tmp->push_back(p[1]); # p[0] = tmp; @@ -12042,6 +12984,7 @@ def p_analog_statement_1(p): if(parse_debug): print('analog_statement_1', list(p)) + # { p[0] = pform_contribution_statement(@2, p[1], p[3]); } () @@ -12051,6 +12994,7 @@ def p_task_item_1(p): if(parse_debug): print('task_item_1', list(p)) + # { p[0] = new vector(0); } () @@ -12070,6 +13014,7 @@ def p_task_item_list_1(p): if(parse_debug): print('task_item_list_1', list(p)) + # { vector*tmp = p[1]; # size_t s1 = tmp->size(); # tmp->resize(s1 + p[2]->size()); @@ -12106,6 +13051,7 @@ def p_task_item_list_opt_2(p): if(parse_debug): print('task_item_list_opt_2', list(p)) + # { p[0] = None } () @@ -12125,6 +13071,7 @@ def p_tf_port_list_opt_2(p): if(parse_debug): print('tf_port_list_opt_2', list(p)) + # { p[0] = None } () @@ -12134,6 +13081,7 @@ def p_udp_body_1(p): if(parse_debug): print('udp_body_1', list(p)) + # { lex_end_table(); # p[0] = p[2]; # } @@ -12145,6 +13093,7 @@ def p_udp_body_2(p): if(parse_debug): print('udp_body_2', list(p)) + # { lex_end_table(); # yyerror(@1, "error: Empty UDP table."); # p[0] = None @@ -12157,6 +13106,7 @@ def p_udp_body_3(p): if(parse_debug): print('udp_body_3', list(p)) + # { lex_end_table(); # yyerror(@2, "Errors in UDP table"); # yyerrok; @@ -12188,6 +13138,7 @@ def p_udp_comb_entry_1(p): if(parse_debug): print('udp_comb_entry_1', list(p)) + # { char*tmp = new char[strlen(p[1])+3]; # strcpy(tmp, p[1]); # char*tp = tmp+strlen(tmp); @@ -12205,6 +13156,7 @@ def p_udp_comb_entry_list_1(p): if(parse_debug): print('udp_comb_entry_list_1', list(p)) + # { list*tmp = new list; # tmp->push_back(p[1]); # delete[]p[1]; @@ -12218,6 +13170,7 @@ def p_udp_comb_entry_list_2(p): if(parse_debug): print('udp_comb_entry_list_2', list(p)) + # { list*tmp = p[1]; # tmp->push_back(p[2]); # delete[]p[2]; @@ -12231,6 +13184,7 @@ def p_udp_sequ_entry_list_1(p): if(parse_debug): print('udp_sequ_entry_list_1', list(p)) + # { list*tmp = new list; # tmp->push_back(p[1]); # delete[]p[1]; @@ -12244,6 +13198,7 @@ def p_udp_sequ_entry_list_2(p): if(parse_debug): print('udp_sequ_entry_list_2', list(p)) + # { list*tmp = p[1]; # tmp->push_back(p[2]); # delete[]p[2]; @@ -12257,6 +13212,7 @@ def p_udp_sequ_entry_1(p): if(parse_debug): print('udp_sequ_entry_1', list(p)) + # { char*tmp = new char[strlen(p[1])+5]; # strcpy(tmp, p[1]); # char*tp = tmp+strlen(tmp); @@ -12275,6 +13231,7 @@ def p_udp_initial_1(p): if(parse_debug): print('udp_initial_1', list(p)) + # { PExpr*etmp = new PENumber(p[4]); # PEIdent*itmp = new PEIdent(lex_strings.make(p[2])); # PAssign*atmp = new PAssign(itmp, etmp); @@ -12300,6 +13257,7 @@ def p_udp_init_opt_2(p): if(parse_debug): print('udp_init_opt_2', list(p)) + # { p[0] = None } () @@ -12309,6 +13267,7 @@ def p_udp_input_list_1(p): if(parse_debug): print('udp_input_list_1', list(p)) + # { char*tmp = new char[2]; # tmp[0] = p[1]; # tmp[1] = 0; @@ -12322,6 +13281,7 @@ def p_udp_input_list_2(p): if(parse_debug): print('udp_input_list_2', list(p)) + # { char*tmp = new char[strlen(p[1])+2]; # strcpy(tmp, p[1]); # char*tp = tmp+strlen(tmp); @@ -12338,6 +13298,7 @@ def p_udp_input_sym_1(p): if(parse_debug): print('udp_input_sym_1', list(p)) + # { p[0] = '0'; } () @@ -12347,6 +13308,7 @@ def p_udp_input_sym_2(p): if(parse_debug): print('udp_input_sym_2', list(p)) + # { p[0] = '1'; } () @@ -12356,6 +13318,7 @@ def p_udp_input_sym_3(p): if(parse_debug): print('udp_input_sym_3', list(p)) + # { p[0] = 'x'; } () @@ -12365,6 +13328,7 @@ def p_udp_input_sym_4(p): if(parse_debug): print('udp_input_sym_4', list(p)) + # { p[0] = '?'; } () @@ -12374,6 +13338,7 @@ def p_udp_input_sym_5(p): if(parse_debug): print('udp_input_sym_5', list(p)) + # { p[0] = 'b'; } () @@ -12383,6 +13348,7 @@ def p_udp_input_sym_6(p): if(parse_debug): print('udp_input_sym_6', list(p)) + # { p[0] = '*'; } () @@ -12392,6 +13358,7 @@ def p_udp_input_sym_7(p): if(parse_debug): print('udp_input_sym_7', list(p)) + # { p[0] = '%'; } () @@ -12401,6 +13368,7 @@ def p_udp_input_sym_8(p): if(parse_debug): print('udp_input_sym_8', list(p)) + # { p[0] = 'f'; } () @@ -12410,6 +13378,7 @@ def p_udp_input_sym_9(p): if(parse_debug): print('udp_input_sym_9', list(p)) + # { p[0] = 'F'; } () @@ -12419,6 +13388,7 @@ def p_udp_input_sym_10(p): if(parse_debug): print('udp_input_sym_10', list(p)) + # { p[0] = 'l'; } () @@ -12428,6 +13398,7 @@ def p_udp_input_sym_11(p): if(parse_debug): print('udp_input_sym_11', list(p)) + # { p[0] = 'h'; } () @@ -12437,6 +13408,7 @@ def p_udp_input_sym_12(p): if(parse_debug): print('udp_input_sym_12', list(p)) + # { p[0] = 'B'; } () @@ -12446,6 +13418,7 @@ def p_udp_input_sym_13(p): if(parse_debug): print('udp_input_sym_13', list(p)) + # { p[0] = 'r'; } () @@ -12455,6 +13428,7 @@ def p_udp_input_sym_14(p): if(parse_debug): print('udp_input_sym_14', list(p)) + # { p[0] = 'R'; } () @@ -12464,6 +13438,7 @@ def p_udp_input_sym_15(p): if(parse_debug): print('udp_input_sym_15', list(p)) + # { p[0] = 'M'; } () @@ -12473,6 +13448,7 @@ def p_udp_input_sym_16(p): if(parse_debug): print('udp_input_sym_16', list(p)) + # { p[0] = 'n'; } () @@ -12482,6 +13458,7 @@ def p_udp_input_sym_17(p): if(parse_debug): print('udp_input_sym_17', list(p)) + # { p[0] = 'N'; } () @@ -12491,6 +13468,7 @@ def p_udp_input_sym_18(p): if(parse_debug): print('udp_input_sym_18', list(p)) + # { p[0] = 'p'; } () @@ -12500,6 +13478,7 @@ def p_udp_input_sym_19(p): if(parse_debug): print('udp_input_sym_19', list(p)) + # { p[0] = 'P'; } () @@ -12509,6 +13488,7 @@ def p_udp_input_sym_20(p): if(parse_debug): print('udp_input_sym_20', list(p)) + # { p[0] = 'Q'; } () @@ -12518,6 +13498,7 @@ def p_udp_input_sym_21(p): if(parse_debug): print('udp_input_sym_21', list(p)) + # { p[0] = 'q'; } () @@ -12527,6 +13508,7 @@ def p_udp_input_sym_22(p): if(parse_debug): print('udp_input_sym_22', list(p)) + # { p[0] = '_'; } () @@ -12536,6 +13518,7 @@ def p_udp_input_sym_23(p): if(parse_debug): print('udp_input_sym_23', list(p)) + # { p[0] = '+'; } () @@ -12545,6 +13528,7 @@ def p_udp_input_sym_24(p): if(parse_debug): print('udp_input_sym_24', list(p)) + # { yyerror(@1, "internal error: Input digits parse as decimal number!"); p[0] = '0'; } () @@ -12554,6 +13538,7 @@ def p_udp_output_sym_1(p): if(parse_debug): print('udp_output_sym_1', list(p)) + # { p[0] = '0'; } () @@ -12563,6 +13548,7 @@ def p_udp_output_sym_2(p): if(parse_debug): print('udp_output_sym_2', list(p)) + # { p[0] = '1'; } () @@ -12572,6 +13558,7 @@ def p_udp_output_sym_3(p): if(parse_debug): print('udp_output_sym_3', list(p)) + # { p[0] = 'x'; } () @@ -12581,6 +13568,7 @@ def p_udp_output_sym_4(p): if(parse_debug): print('udp_output_sym_4', list(p)) + # { p[0] = '-'; } () @@ -12590,6 +13578,7 @@ def p_udp_output_sym_5(p): if(parse_debug): print('udp_output_sym_5', list(p)) + # { yyerror(@1, "internal error: Output digits parse as decimal number!"); p[0] = '0'; } () @@ -12599,6 +13588,7 @@ def p_udp_port_decl_1(p): if(parse_debug): print('udp_port_decl_1', list(p)) + # { p[0] = pform_make_udp_input_ports(p[2]); } () @@ -12608,6 +13598,7 @@ def p_udp_port_decl_2(p): if(parse_debug): print('udp_port_decl_2', list(p)) + # { perm_string pname = lex_strings.make(p[2]); # PWire*pp = new PWire(pname, NetNet::IMPLICIT, NetNet::POUTPUT, IVL_VT_LOGIC); # vector*tmp = new vector(1); @@ -12623,6 +13614,7 @@ def p_udp_port_decl_3(p): if(parse_debug): print('udp_port_decl_3', list(p)) + # { perm_string pname = lex_strings.make(p[2]); # PWire*pp = new PWire(pname, NetNet::REG, NetNet::PIMPLICIT, IVL_VT_LOGIC); # vector*tmp = new vector(1); @@ -12638,6 +13630,7 @@ def p_udp_port_decl_4(p): if(parse_debug): print('udp_port_decl_4', list(p)) + # { perm_string pname = lex_strings.make(p[3]); # PWire*pp = new PWire(pname, NetNet::REG, NetNet::POUTPUT, IVL_VT_LOGIC); # vector*tmp = new vector(1); @@ -12663,6 +13656,7 @@ def p_udp_port_decls_2(p): if(parse_debug): print('udp_port_decls_2', list(p)) + # { vector*tmp = p[1]; # size_t s1 = p[1]->size(); # tmp->resize(s1+p[2]->size()); @@ -12679,6 +13673,7 @@ def p_udp_port_list_1(p): if(parse_debug): print('udp_port_list_1', list(p)) + # { list*tmp = new list; # tmp->push_back(lex_strings.make(p[1])); # delete[]p[1]; @@ -12692,6 +13687,7 @@ def p_udp_port_list_2(p): if(parse_debug): print('udp_port_list_2', list(p)) + # { list*tmp = p[1]; # tmp->push_back(lex_strings.make(p[3])); # delete[]p[3]; @@ -12735,6 +13731,7 @@ def p_udp_initial_expr_opt_2(p): if(parse_debug): print('udp_initial_expr_opt_2', list(p)) + # { p[0] = None } () @@ -12744,6 +13741,7 @@ def p_udp_input_declaration_list_1(p): if(parse_debug): print('udp_input_declaration_list_1', list(p)) + # { list*tmp = new list; # tmp->push_back(lex_strings.make(p[2])); # p[0] = tmp; @@ -12757,6 +13755,7 @@ def p_udp_input_declaration_list_2(p): if(parse_debug): print('udp_input_declaration_list_2', list(p)) + # { list*tmp = p[1]; # tmp->push_back(lex_strings.make(p[4])); # p[0] = tmp; @@ -12770,6 +13769,7 @@ def p_udp_primitive_1(p): if(parse_debug): print('udp_primitive_1', list(p)) + # { perm_string tmp2 = lex_strings.make(p[2]); # pform_make_udp(tmp2, p[4], p[7], p[9], p[8], # @2.text, @2.first_line); @@ -12794,6 +13794,7 @@ def p_udp_primitive_2(p): if(parse_debug): print('udp_primitive_2', list(p)) + # { perm_string tmp2 = lex_strings.make(p[2]); # perm_string tmp6 = lex_strings.make(p[6]); # pform_make_udp(tmp2, p[5], tmp6, p[7], p[9], p[12],