Fix dhrystone timing code
[riscv-tests.git] / benchmarks / dhrystone / dhrystone.c
index 418602a45d2345aee0b2feb3251e4df75ef9c676..38e33764f251b6684361ebb6df21579637bdacbc 100644 (file)
@@ -180,3 +180,6 @@ Enumeration Enum_Par_Val;
     return (false);
 } /* Func_3 */
 
+void debug_printf(const char* str, ...)
+{
+}